Anda di halaman 1dari 1

/*program for jk-ff */ module ff_jk (j,k,q,q_n,clk,reset_n);//declaration of input and output. input j,k,clk,reset_n; //declaration of input.

output q,q_n; // declaration of output reg q,q_n; always@(posedge clk or negedge reset_n) begin if(reset_n==0) //if reset is applied. begin q<=0; q_n<=0; end else case({j,k}) 2'b00: begin //when j=0,k=0. q<=q; q_n<=q_n; end 2'b01: begin q<=0; q_n<=1; end 2'b10: begin q<=1; q_n<=0; end 2'b11: begin q<=!q; q_n<=!q_n; end default: begin q<=0; q_n<=0; end endcase end endmodule //when j=0,k=1.

//when j=1,k=0.

//when j=1,k=1.

//defaut case .

Anda mungkin juga menyukai