Anda di halaman 1dari 12

JETri, Volume 2, Nomor 2, Februari 2003, Halaman 9 - 20, ISSN 1412-0372

* Alumni Jurusan Teknik Elektro FTI, Universitas Trisakti




IMPLEMENTASI FILTER DIGITAL IIR
BUTTERWORTH PADA DSP
STARTER KIT TMS320C3x

Irda Winarsih, Suhartati Agoes & Robert Wahyudi*
Dosen-Dosen Jurusan Teknik Elektro-FTI, Universitas Trisakti

Abstract
This article converses TMS320C3x DSP Starter Kit (DSK) board utilization as Butterworth
infinite impulse response (IIR) digital filter. Specification parameters of the filter can be
changed as long as they do not exceed performance limits of the DSK board.
The resulted Butterworth filter performance is compared to the theoretical one obtained
using Matlab signal processing toolbox. Test result shows that performance of the
implemented filter is similar with its theoretical model.

Keywords: Butterworth, TMS320C3x DSK, infinite impulse response, digital filter

1. Pendahuluan
Filter digital memiliki banyak kelebihan dibandingkan dengan
pasangannya filter analog, baik dalam performa yang lebih tinggi dengan
transition zone yang lebih kecil, ketahanan, serta fleksibilitas dalam
menentukan range kerjanya (Smith, 1997: 327). Karena faktor-faktor ini,
filter digital merupakan elemen penting dalam bidang DSP (Digital Signal
Processing).

Terdapat dua metoda untuk mendisain sebuah filter digital. Metoda
pertama dengan menggunakan proses konvolusi antara sinyal input dengan
impulse response dari filter yang dikehendaki, filter jenis ini disebut filter
FIR (Finite Impulse Response). Metoda kedua adalah dengan proses
rekursif, yang merupakan kelanjutan dari metoda konvolusi. Bila dalam
proses konvolusi perhitungan dilakukan dengan hanya menggunakan
sampel input saja, maka dalam proses rekursif perhitungan dilakukan
dengan sampel input yang dijumlahkan dengan sampel output sebelumnya.
Hal ini membuat impulse response filter menjadi sangat panjang mendekati
titik tak berhingga (infinity), oleh karena itu filter jenis ini disebut filter IIR
(Infinite Impulse Response).






JETri, Tahun Volume 2, Nomor 2, Februari 2003, Halaman 9 - 20, ISSN 1412-0372



10
Konfigurasi Butterworth adalah salah satu konfigurasi standar dari
filter rekursif baik dalam bentuk analog maupun digital. Konfigurasi ini
menekankan pada aproksimasi karakteristik lowpass dengan hasil respons
yang mendekati titk nol dengan halus dan rata (smooth and flat)
(Soliman.Srinath, 1990: 436). Filter Butterworth didefinisikan melalui
persamaan magnitude function H() sebagai berikut:

N
H
2
2
1
1
) (
e
e
+
= (1)

di mana N adalah nilai orde filter.

Jelas dari rumus di atas bahwa magnitude function Butterworth
adalah fungsi frekuensi (e) yang menurun secara monoton, dengan nilai
maksimumnya dari unity terjadi pada saat e = 0. Untuk e = 1, nilai
magnitude adalah sama dengan 2 1 untuk semua nilai N. Dengan
demikian, filter Butterworth dalam bentuk normal memiliki frekuensi cut-
off sebesar 3 dB. Gambar 1. menunjukkan plot dari karakteristik magnitude
dari filter ini sebagai fungsi frekuensi (e) untuk beberapa tingkatan orde.
Nampak bahwa semakin tinggi tingkatan orde, karakteristik filter
Butterworth semakin mendekati filter ideal.



Gambar 1. Magnitude response dari filter Butterworth bentuk normal untuk
berbagai tingkatan orde






Irda Winarsih, Suhartati Agoes & Robert Wahyudi, Implementasi Filter Digital IIR Butterworth



11
2. DSK TMS320C3x
DSK TMS320C3x adalah salah satu dari seri DSP Starter Kit
keluaran Texas Instrument. Board DSK TMS320C3x dapat dilihat pada
Gambar 2. beserta skema umum DSK TMS320C3x pada Gambar 3.
Perangkat DSK yang berbasis mikroprosessor TMS320C31-50 ini dapat
digunakan untuk berbagai macam fungsi DSP, termasuk sebagai sebuah
filter digital (Texas instrument, 1996: I-3).








Gambar 2. Board DSK TMS320C3x

Gambar 2. Skema umum DSK TMS320C3x




















Gambar 3. Skema umum dari DSK TMS320C3x
Analog In

Analog Out

XDS510
MPSD port


TLC32040
AIC
Serial port

TMS320C31-50

A23 A0

D31 D0

Control


Emulation port

I/O
expansion
connector

Paralel
Port
Interface







JETri, Tahun Volume 2, Nomor 2, Februari 2003, Halaman 9 - 20, ISSN 1412-0372



12
Komponen-komponen penting yang terdapat dalam kit ini adalah:
1. Mikroprosessor floating-point TMS320C31-50, prosessor ini dilengkapi
dengan memori kerja sebesar 16 MWord.
2. Chip konverter DAC/ADC AIC TLC32040, yang berguna untuk
menerima input analog dari sumber (Signal Generator) kemudian
merubahnya kedalam bentuk digital 16 bit, dan begitu pula sebaliknya
agar hasil filtering dari DSK dapat ditampilkan dalam instrumen
pengukur analog (Oscilloscope).

Board DSK ini terhubung dengan sebuah mikrokomputer melalui jalur
parallel port. Mikrokomputer berfungsi sebagai host yang menyediakan
proses assembling dan debugging yang diperlukan dalam mengolah source
code yang berisi perintah untuk dijalankan oleh mikroprosessor.

3. Perancangan Filter IIR
Terdapat dua buah metoda untuk mendisain sebuah filter digital
IIR, yaitu metoda disain secara langsung (direct design) dan metoda disain
secara tak langsung (indirect design).

Proses direct design memerlukan perhitungan aproksimasi
matematis dan akan membutuhkan pemakaian perhitungan differensial yang
rumit untuk setiap nilai parameter dari transfer function filter yang
dikehendaki.

Hal ini membuat persamaan matematis filter tersebut menjadi non-linier
dan sukar dipecahkan (Antoniou, 1993: 294). Untuk mendapatkan hasil
perhitungan biasanya diperlukan bantuan algoritma metode numerik dengan
sebuah komputer.

Metoda disain secara tak langsung relatif lebih sederhana dan lebih
mudah dilakukan. Metoda ini terbagi dalam dua langkah utama, yaitu:
1. Mendisain secara matematis sebuah filter prototype berupa sebuah filter
analog dengan spesifikasi yang diinginkan. Dari filter analog ini
kemudian dicari persamaan transfer function analognya H(s).
2. Transfer function dari filter prototype kemudian ditransformasikan
kedalam bentuk diskritnya. Proses transformasi ini dapat menggunakan
beberapa macam metoda, seperti impulse-invariant dan billinear
transformation.






Irda Winarsih, Suhartati Agoes & Robert Wahyudi, Implementasi Filter Digital IIR Butterworth



13
3.1 Disain Model Analog
Persamaan umum filter Butterworth yang telah dibahas sebelumnya yang
dapat ditulis kembali dalam bentuk:

( )
N
c
j G
2
2
1
1
| ) ( |
e
e
e
+
=
(2)

Dimana parameter c yang mewakili frekuensi kritis filter dan parameter N
yang menyatakan tingkatan orde. Kemudian ditentukan nilai-nilai yang
memenuhi kriteria untuk mendisain sebuah model filter low-pass dengan
tingkat penguatan yang cukup dan zona transitional band yang tidak terlalu
lebar, seperti:
- Frekuensi passband = 1000 Hertz
- Frekuensi stopband = 1900 Hertz
- Frekuensi sampling = 10 kiloHertz
- Atenuasi stopband minimum = -25 desiBell
- Atenuasi passband maksimum = -0.2 desiBell

Untuk memperoleh transfer function H(s), pertama akan dihitung dahulu
nilai toleransi magnitude pada stopband dan passband dalam karakteristik
monoton Butterwoth:

Pada passband, 20Log
10
(1-1) = -0.2

1 = 0.023

Pada stopband, 20Log
10
(2) = -25

2 = 0.056


Selanjutnya, nilai parameter 1 dan 2 akan digabungkan dengan
nilai parameter frekuensi passband (p) sebesar 1000 Hz dan nilai
parameter s diperoleh dari nilai frekuensi stopband ( s e ) sebesar 1900 Hz
guna mendapatkan tingkatan orde filter yang diperlukan dengan
menggunakan persamaan:






JETri, Tahun Volume 2, Nomor 2, Februari 2003, Halaman 9 - 20, ISSN 1412-0372



14
s
p
N
e
e
o o
o o o
10
2 2
2
10
log
) 2 1 ( ) 1 1 (
2 . ) 1 2 ( . 1
log
2
1

=
(3)


N = 2.93429 3

Setelah diperoleh tingkatan orde filter yang diperlukan, selanjutnya akan
dicari persamaan transfer function dari filter dengan menggunakan
persamaan polinom Butterworth.

Untuk orde tiga, diperoleh persamaan polinom bentuk normal:

1 2 2
1
) (
2 3
+ + +
=
s s s
s H (4)

Setelah mendapatkan nilai kedua parameter 1 dan 2 serta tingkatkan orde
filter yang diperlukan, maka dapat dicari nilai frekuensi kritis filter c:

1
1 1
1
2 2

|
.
|

\
|

=
|
.
|

\
|
o e
e
N
c
p
(5)

c
=
6 18
10 . 862445 . 3
= 1252.5968 Hz

Dengan mensubsitusikan nilai frekuensi kritis c sebesar 1252.6 Hz, maka
dapat dihitung persamaan transfer function:

02 . 1965337868 525 . 3138013 2505
6 . 1252
) (
1
6 . 1252
2
6 . 1252
2
6 . 1252
1
) (
2 3
3
2 3
+ + +
=
+
|
.
|

\
|
+
|
.
|

\
|
+
|
.
|

\
|
=
s s s
s H
s s s
s H







Irda Winarsih, Suhartati Agoes & Robert Wahyudi, Implementasi Filter Digital IIR Butterworth



15
3.2. Transformasi Bilinear dengan MatLab
Setelah diperoleh transfer function H(s) dari filter prototype,
persamaan ini kemudian akan ditransformasikan kedalam bentuk diskritnya
melalui salah satu metoda transformasi yang tersedia. Metoda sering
digunakan adalah transformasi Bilinear, karena metoda ini dikenal cukup
akurat dan bebas gangguan seperti fenomena aliasing (Antoniou, 1993: 186
-187).

Transformasi Bilinear dapat dilakukan dengan bantuan fungsi
butter.m dari Signal Processing Toolbox program MatLab.
Bentuk umum function butterm adalah:

[A,B] = butter(N,Wn, var)

Dimana:
A, B : menyatakan hasil perhitungan koefisien filter, dalam bentuk

) (
) (
) (
z A
z B
z H = (6)

N : menyatakan tingkatan orde filter
Wn : menyatakan frekuensi kritis filter
var : menyatakan parameter tambahan yang tersedia dalam MatLab

Dengan menggunakan nilai-nilai yang telah diperoleh dari filter
prototype, maka dapat diperoleh nilai-nilai koefisien transfer function H(z),
yaitu :

3 2 1
3 2 1
4535 . 0 715 . 1 219 . 2 1
005 . 0 015 . 0 015 . 0 005 . 0
) (
) (
) (


+
+ + +
= =
z z z
z z z
z X
z Y
z H

Dari persamaan diatas dapat digambarkan diagram representasi rekursif
filter seperti Gambar 4 pada halaman berikut.


3.3. Pemrograman pada DSK TMS320C3x
Board DSK TMS320C31-50 menyediakan software assembler dan
debugger guna mengolah kode program dari pengguna agar dapat
menjalankan fungsi-fungsi yang dikehendaki. Agar board DSK ini dapat






JETri, Tahun Volume 2, Nomor 2, Februari 2003, Halaman 9 - 20, ISSN 1412-0372



16
mengimplementasikan sebuah filter digital Butterworth, maka perlu dibuat
terlebih dahulu kode program dalam bahasa assembly keluaran Texas
Instrument yang memuat langkah-langkah proses filtering tersebut.

X(n) Y(n)
0.005
Z
0.001
0.015
2.2
-1.715
0.45 0.005
-1
Z
-1
Z
-1
Z
-1
Z
-1
Z
-1

Gambar 4. Struktur representasi rekursif persamaan digital filter

Kode assembly ini kemudian akan dikompilasi dengan
menggunakan software C3x DSP Starter Kit Assembler rev 1.18. Hasilnya
berupa sebuah output file dengan ekstensi dsk.

File dsk inilah yang kemudian diproses oleh debugger agar dapat
digunakan oleh prosessor TMS320C31-50 dalam melakukan proses
Butterworth filtering yang dikehendaki.

Proses disain program utama dapat dibagi menjadi beberapa
langkah atau prosedur, yaitu:
1. Prosedur utama program. Pada proses ini akan dilakukan proses
perhitungan Butterworth filtering dengan menggunakan transfer
function H(z) dan sampel sinyal input dari chip AIC. Proses ini
menggunakan beberapa metoda pengalamatan dalam TMS320C3x dan
registry yang tersedia. Prosedur ini ditulis dalam bahasa assembly Texas
Instrument.






Irda Winarsih, Suhartati Agoes & Robert Wahyudi, Implementasi Filter Digital IIR Butterworth



17
2. Prosedur inisialisasi timer prosessor. Prosessor TMS320C31-50
memiliki pin output pewaktu timer 0 yang disebut TLCK0. Pin berfungsi
untuk menghubungkan pin master clock (MCLK) dari chip AIC
TLC32040.
3. Prosedur inisialisasi port serial 0. Port serial ini berfungsi untuk
mengatur komunikasi antara mikroprosessor TMS320C31-50 dengan
chip konverter AIC.
4. Prosedur inisialisasi chip AIC TLC32040. Prosedur ini akan mengatur
kerja dari konverter ADC/DAC.
5. Rutin interupsi ADC dan DAC. Rutin interupsi ADC bertugas untuk
mengambil dan mengkonversikan data integer kedalam format floating
point serta menyimpannya pada alamat memori tempat penyimpanan
data sampel sinyal input. Sedangkan rutin interupsi DAC bertugas untuk
menghitung nilai sinyal keluaran hasil proses Butterworth filtering dan
mengkonversikannya kembali kadalam format data serial integer yang
siap dikirim ke konektor keluaran.


4. Pengujian


Gambar 5. Suasana pengujian proses digital filtering di Laboratorium
Telekomunikasi Elektro






JETri, Tahun Volume 2, Nomor 2, Februari 2003, Halaman 9 - 20, ISSN 1412-0372



18
Setelah proses pemrograman utama selesai, hasil dari proses
filtering dari DSK dapat ditampilkan pada instrumen pengukur seperti
oscilloscope. Sinyal input untuk pengujian ini diperoleh dari sebuah Signal
generator dengan ditambahkan beban standar audio sebesar 3 ..

Hasil dari proses filtering pada DSK kemudian dibandingkan
dengan simulasi dengan program Signal Processing Toolbox dari program
MatLab untuk filter digital Butterworth dengan spesifikasi yang serupa.
Simulasi dari program MatLab ini dianggap sebagai model filter ideal.

Grafik dari hasil perbandingan dapat dilihat pada Gambar 6. dan
Gambar 7., dengan warna merah menandakan hasil filter dari DSK, dan
warna biru menandakan filter hasil simulasi MatLab.

Gain Hasil (dB)
-30
-25
-20
-15
-10
-5
0
+5
100
1000
10000
Frekuensi (Hz)
G
a
i
n

(
d
B
)
0
20
40
60
80
100
1st
Qtr
2nd
Qtr
3rd
Qtr
4th
Qtr


Gain Hasil
(dB)


Gambar 6. Grafik Gain hasil proses digital filtering






Irda Winarsih, Suhartati Agoes & Robert Wahyudi, Implementasi Filter Digital IIR Butterworth



19
Grafik Perbandingan Gain Target dan Gain
Hasil Terhadap Frekuensi
-30
-25
-20
-15
-10
-5
0
5
0 500 1000 1500 2000 2500 3000 3500
Frekuensi (Hz)
G
a
i
n

(
d
B
)

Gain
Target
(dB)
Gain
Hasil
(dB)

Gambar 7. Grafik Perbandingan hasil proses filtering dari DSK dengan
simulasi MatLab


5. Kesimpulan
Seperti yang dapat diperhatikan dari grafik hasil pengujian, proses digital
filtering dari DSK TMS320C3x sesuai dengan filter hasil simulasi dari
program MatLab dengan spesifikasi:
- Frekuensi passband = 1000 Hertz
- Frekuensi stopband = 1900 Hertz
- Frekuensi sampling = 10 kiloHertz
- Atenuasi stopband minimum = -25 desiBell
- Atenuasi passband maksimum = 0.2 desiBell






JETri, Tahun Volume 2, Nomor 2, Februari 2003, Halaman 9 - 20, ISSN 1412-0372



20
Daftar Pustaka
1. Antoniou, Andreas. 1993. Digital Filters: Analysis, Design, and
Applications Singapore: McGraw-Hill Inc.
2. Smith, Steven W. 1997. The Scientist and Engineers Guide to Digital
Signal Processing. California, USA: California Technical Publishing.
3. Soliman, Samir S. dan Mandyam D. Srinath. 1990. Continuous and
Discrete Signals and Systems. New Jersey, USA: Prentice-Hall Inc.
4. Texas Instruments. 1996. TMS320C3x DSP Starter Kit Users Guide.
Owensville, Missouri, USA: Custom Printing Company.

Anda mungkin juga menyukai