Anda di halaman 1dari 110

VISUALIZACIN DE IMGENES EN PANTALLA GRFICA ADQUIRIDAS VA WPAN

UTILIZANDO UN MICROCONTROLADOR




por
Jos Arturo Jimnez Ostos


Presentado a la academia de Sistemas Digitales y Comunicaciones
del Instituto de Ingeniera y Tecnologa de
La Universidad Autnoma de Ciudad Jurez
para su evaluacin






LA UNIVERSIDAD AUTNOMA DE CIUDAD JUREZ
Marzo 2010






Alejandra Mendoza Carren.
Presidente de la academia
___________________________________________


Diego Arenivar
Asesor


___________________________________________


Jos Arturo Jimnez Ostos
Alumno


____________________________________________















INTRODUCCIN
Durante los ltimos aos los avances tecnolgicos son ms frecuentes en el desarrollo de
sistemas multimedia (computadoras, reproductores de msica, celulares, sistemas GPS,
impresoras, los tabloides digitales, los lbumes de fotos digitales, entre otros).

En un principio el acceso a las imgenes, fotos y videos se haca directamente a una memoria
no voltil como un disco duro interno en un celular, luego, la aparicin de memorias externas
permiti la portabilidad de sus archivos almacenados desde distintos medios, como una cmara
digital y una computadora. Finalmente la aparicin de protocolos de comunicacin inalmbrica
para el compartimiento de archivos entre los medios que los utilizan. En la actualidad son
posibles sistemas altamente convergentes para el uso y manipulacin de recursos compartidos
en un disco duro central (servidor).

La capacidad de disminuir recursos de hardware y software que no son necesarios en
aplicaciones especficas como en el presente proyecto donde se pretende tener acceso a
imgenes almacenadas en una ruta de directorio de una computadora o un disco duro central
utilizando un pequeo modulo que permita la adquisicin de los datos y la visualizacin de
estos en la pantalla.

En el presente documento se describe el porqu la necesidad de desarrollar un sistema con las
caractersticas de bajo costo y portabilidad, tomando en cuenta sistemas antecesores. Tambin
se describe sin profundizar la teora que justifique el diseo y la metodologa que habr que
realizar para cumplir con el objetivo final, adems de una calendarizacin de objetivos y metas








ANTECEDENTES
Existen en el mercado ya algunos productos (sistemas) que aprovechan esa disminucin de
recursos y portabilidad siendo los lbumes de fotos digitales lo ms parecido al proyecto que se
pretende. Estos en su versin ms simple estn dedicados a la adquisicin de imgenes en
algn formato como .jpeg o .bmp desde una memoria sd (Secure Digital memory card) y en las
versiones ms complejas y avanzadas ya existe la posibilidad de obtener las imgenes
remotamente mediante un mdulo wifi que trabajan bajo el estndar de IEEE 802.11 (WLAN en
ingls, Wireless Local Area Network) aunque estas son de muy alto costo debido a que
desempean funciones como reproducir msica.

PLANTEAMIENTO DE EL PROBLEMA
Si bien ya encontramos en el mercado productos como el lbum de fotos HP Dreamscreen 100
(Figura 1) que puede ofrecer diversas funciones multimedia, la conectividad y acceso a los
datos como imgenes, se hace por el estndar IEEE 802.11 y requiere procesos de
configuracin ms complicados a los que IEEE 802.15 (WPAN, en ingls Wireless Personal
Area Networks) podra sustituir. Es por eso que con este proyecto se pretende que desde un
pequeo modulo se tenga acceso a los recursos (Imgenes) que se encuentran en una
computadora remota por algn medio de comunicacin del estndar IEEE 802.15 y adems si
bien ahora los mdulos WPAN tienen rangos de transferencia ente 1Mb/s y 3Mb/s la llegada de
UWB (Ultra Wide Band) promete en un futuro hacer de las WPAN una fortaleza en
comunicaciones de dispositivos con transferencias de hasta 480Mb/s [5].

Figura 1. lbum de fotos Dreamscreen 100.

FUNDAMENTOS TEORICOS
En la figura 2 se muestra el diagrama de bloques del prototipo, para posteriormente hacer una
descripcin de cada elemento.







Figura 2. Diagrama de bloques del prototipo

Uno de los dispositivos ms utilizados en las aplicaciones multimedia que ahora encontramos
en el mercado de la tecnologa son las pantallas graficas, que permiten la interfaz usuario-
computador, dichas pantallas en su mayora son del tipo LCD (acrnimo del ingls Liquid
Crystal Display) la cual es una pantalla delgada y plana formada por un nmero de pxeles en
color o monocromos colocados delante de una fuente de luz o reflectora que se controla a
travs de una seal de video.

Inicialmente la seal de vdeo era formada por un nmero de lneas agrupadas en varios
cuadros y estos a la vez divididos en dos campos que portan la informacin de luz y color de la
imagen. En la actualidad hay multitud de estndares diferentes para video anlogo y para video
digital. Con el avance de las tecnologas las pantallas graficas ya no estn diseadas solo para
mostrarle grficos al usuario, sino que se han innovado pantallas tctiles que le permiten al
usuario comunicarse con el sistema en el que estn implementadas [3].
Las transferencias de datos inalmbricas son otro elemento agregado y utilizado cada vez ms
en los dispositivos multimedia. Una parte importante de las comunicaciones inalmbricas son
las WPAN (acrnimo del ingls Wireless Personal Area Networks) que se refieren a
comunicaciones de corta distancia. Las bases del concepto de red para espacio personal
provinieron de ideas que surgieron en el ao 1995 en el MIT Las diferentes soluciones de PAN
incluyen lo siguiente: Proyecto Oxygen (MIT), Pico-radio, Infared Data Association (IrDA),
Bluetooth, ZigBee, IEEE 802.15 [1] [2],
MCU
!
FLASH

RAM
PERIFERICOS
E/S
IEEE 802.15

PC

IMAGENES
PantallaLCDTFT

Video
Teclado
La implementacin de los distintos mdulos que conforman un sistema multimedia no es
posible si no existe una unidad central que procese las funciones que el sistema debe realizar;
tal como una computadora que requiere de un procesador que controle, y coordine la accin
de los perifricos como el teclado, el ratn, la impresora, y el monitor. Y al igual que en esta
situacin para la realizacin del proyecto ser necesario una unidad central (microprocesador)
que permita manipular la pantalla y el modulo de comunicacin WPAN.
El microcontrolador (MCU) es un circuito integrado que contiene algunos o todos los elementos
hardware de un sistema; es decir, que incluye una CPU, memoria y puertos de entrada y salida.
El microprocesador est compuesto bsicamente por: varios registros, una unidad de control,
una unidad aritmtico-lgica. La seleccin del microcontrolador para que este sea la unidad que
controle un sistema embebido depender de la arquitectura y funciones que este pueda ofrecer
as como las necesidades que se requieren cumplir siendo as que existen diversos fabricantes
que ofrecen una amplia gama de opciones como son Microchip, Freescale, Atmel, e Intel
algunas de ellas [4].
METODOLOGIA
Objetivo General: Realizar un modulo que tenga acceso a imgenes en un servidor remoto
aplicando las comunicaciones WPAN y las despliegue en la pantalla grafica.
Objetivos Especficos:
Llevar a cabo investigacin sobre el manejo de pantallas graficas.
Llevar a cabo investigacin de las comunicaciones WPAN.
Identificar los componentes idneos para la conjuncin del sistema (LCD, Modulo
WPAN, RAM, Microcontrolador, etc.).
Realizar prcticas referentes al uso de cada uno de los componentes que se utilizaran
en el diseo del sistema.
Construir el diseo en forma fsica.
Realizar pruebas del manejo de grficos y la comunicacin.
Documentar el proyecto.
Presentacin del proyecto en examen.

Metas
Etapa: Diseo
1. Investigar al menos 5 fuentes de informacin sobre el manejo de video as como de los
mdulos WPAN para la 1er semana de clases.
Acciones meta 1:
1.1. Investigar con el asesor las principales fuentes de informacin sobre el tema.
1.2. Investigar en la Biblioteca Otto Campbell.
1.3. Investigar en Internet las mejores fuentes de informacin.
1.4 Investigar en revistas y artculos.

2. Identificacin y uso de los componentes necesarios del 9 al 22 de agosto.
Acciones meta 2:
2.1. Buscar proveedores pantallas graficas y mdulos WPAN.
2.2. Buscar el microcontrolador adecuado para la realizacin del proyecto.
2.3. Revisar la hoja de datos para su funcionamiento.

3. Realizar prcticas referentes a los componentes con el fin de conocer mejor su
comportamiento. Del 23 de agosto al 5 de septiembre.
Acciones meta 3:
3.1. Realizar distintos diseos que impliquen la utilizacin de cada uno de los
componentes referidos a hardware y software.
3.2. Adquirir el mejor dominio posible sobre los componentes a utilizar.

Etapa: De construccin y pruebas

4. Diseo en forma fsica del sistema. 6 al 19 de septiembre.
Acciones meta 4:
4.1. Diseo de circuito esquemtico.
4.2. Seleccin de dispositivos electrnicos.
4.3. Armado del sistema en protoboard o en tablilla.

5. Realizar pruebas del manejo de grficos y la comunicacin utilizadas del 20 de
septiembre al 7 de Noviembre
Acciones meta 5:
5.1. Realizar en mdulos el cdigo que integra los componentes y funciones del sistema.
5.2. Realizar pruebas a manera modular de las funciones del sistema.
5.3. Realizar la configuracin necesaria en la computadora para utilizar una ruta como
archivero (servidor).
5.4. Realizar pruebas y demostracin del sistema como un conjunto.
5.5. Anotar conclusiones sobre el rendimiento del sistema comparado con el diseo
pretendido.
5.6. Realizar al menos 3 pruebas para comprobar su funcionamiento.

Etapa: Documentacin
6. Terminar la documentacin y reporte final del 8 al 19 de noviembre.
Acciones meta 6:
6.1. Documentar las actividades realizadas.
6.2. Organizar la documentacin por fechas propuestas.
6.3. Revisar la ortografa.
6.4. Revisar que la documentacin est correcta.
6.5. Revisar que no descartemos una actividad realizada.
6.6. Realizar la impresin del documento ya una vez terminado.

Requerimientos y materiales
Requerimientos para la meta 1:
Asesoramiento.
Credencial de la UACJ.
Computadora con internet.
Visitar la biblioteca Otto Campbell.




Requerimiento para la meta 2:
Computadora con Internet.

Requerimiento para la meta 3:

Material de electrnica (cables, pinzas, multimetro, osciloscopio).
Componentes a utilizar fsicamente (LCD, Microcontrolador, Modulo WPAN).
Computadora para pruebas.
Compilador y software necesario.

Requerimiento para la meta 4:
Software para la realizacin de esquemticos y diseos PCB.
Material para armado de diseos electrnicos (Cautn, Tablilla para impresin, cables)

Requerimiento para la meta 5:
Material de electrnica (cables, pinzas, multimetro, osciloscopio).
Componentes a utilizar fsicamente (LCD, Microcontrolador, Modulo WPAN).
Computadora para pruebas.
Compilador y software necesario.

Requerimiento para la meta 6:
Computadora
Impresora








Cronograma
Agosto Septiembre Octubre Noviembre
Etapa:
Diseo
Semanas Semanas Semanas Semanas
Actividad 1.1
1
Actividad 1.2
1
Actividad 1.3
1
Actividad 1.4
1
Actividad 2.1 2 3
Actividad 2.2 2 3
Actividad 2.3 2 3
Actividad 3.1 4 1
Actividad 3.2 4 1
Agosto Septiembre Octubre Noviembre
Etapa:
Construcci
n Y Pruebas Semanas Semanas Semanas Semanas
Actividad 4.1
2
Actividad 4.2
2 3
Actividad 4.3
3
Actividad 5.1
4 1 2 3 4
Actividad 5.2 4 1 2 3 4
Actividad 5.3 2 3 4
Actividad 5.4 2 3 4 1
Actividad 5.5 1
Actividad 5.6 1
Agosto Septiembre Octubre Noviembre
Etapa:
Documenta
cin Semanas Semanas Semanas Semanas
Actividad 6.1
2
Actividad 6.2
2
Actividad 6.3
2
Actividad 6.4
3
Actividad 6.5 3
Actividad 6.6 3


REFERENCIAS
[1] Coexistencia de redes WLAN & WPAN
http://catarina.udlap.mx/u_dl_a/tales/documentos/lem/valle_i_lf/
Luis Fernando Valles Islas, Universidad de las Amricas de Puebla, Licenciatura en Ingeniera
en Electrnica y Comunicaciones.
[2] WLANs and WPANs towards 4G Wireless
Ramjee Prasad and Luis Muoz
[3] How Does LCD TV Work?
http://www.ehow.com/how-does_4895924_lcd-tv-work.html
Amanda Kondolojy, Publicista de eHow
[4] Proveedores Microcontroladores
www.freescale.com
www.microchip.com
www.atmel.com/
[5] Digital Photo Frames
http://h20331.www2.hp.com/hho/us/en/pclc/articles/series-dreamscreen-what-can-you-do.html
http://www.memorex.com/en-us/Home-Entertainment/Digital-Photo-Frames/

SISTEMA DE ACCESO Y VISUALIZACIN DE IMGENES MEDIANTE EL USO DE UN
MICROCONTROLADOR Y TECNOLOGA BLUETOOTH




por
Jos Arturo Jimnez Ostos


Presentado al comit revisor del Instituto de Ingeniera y Tecnologa de
La Universidad Autnoma de Ciudad Jurez
para obtener el ttulo de


INGENIERO EN SISTEMAS DIGITALES Y COMUNICACIONES





LA UNIVERSIDAD AUTNOMA DE CIUDAD JUREZ
Noviembre 2010


UNIVERSIDAD AUTNOMA ECIUDAD JUREZ
Instituto de Ingeniera y Tecnologa
EVALUACIN DE EXAMEN Fecha: 25 de Noviembre del 2010
PROFESIONAL INTRACURRICULAR Horario: 11 :00 - 13:00 HRS.
NIVEL: LICENCIATURA
TEMA: "Vis alizacin de imgenes en pantalla grfica adquiridas va
WPAN utilizando un microcontrolador"
La evaluacin del examen profesional intracurricular consta de 4 partes:
(Desarrollado en 1 hora)
1._ Exposicin por parte de los alumnos (mximo 20 minutos).
2._ Rplica por parte del jurado.
3._ Comentarios y/o recomendaciones.
4._ Entrega de resultados.
Nombre del alumno: Jos Arturo Jimnez Ostos
Calificacin Maestro de la materia (30%)
Calificacin Director de Trabajo (40%)
Calificacin del Jurado (30%)
TOTAL
Se recomienda que el documento se deposite para consulta en la BIBLIOTECA
SiD

Director de Trabajo Jurado
.10
"Proyecto de "
UACJ
df1
Dr.Abimoel Jimnez Prez
/

OEPAR ME ITa DE
INGENIE' ELCTRICA
Y COMPUTACiN
FIRMADO EN ORIGINAL

i

SISTEMA DE ACCESO Y VISUALIZACIN DE IMGENES MEDIANTE EL USO DE UN
MICROCONTROLADOR Y TECNOLOGA BLUETOOTH




Los miembros del comit revisor que revisaron el proyecto de titulacin de: Jos Arturo Jimnez Ostos.



Dr. Abimael Jimnez

Asesor


___________________________________________

Dr. Jos Mreles
Titular de la materia


___________________________________________

Dr. Humberto Ochoa

Sinodal



____________________________________________

Dra. Leticia Ortega
Sinodal


____________________________________________





ii

AGRADECIMIENTOS

Primero que nada quiero dar gracias a Dios por poner siempre en mi camino los medios para
realizarme como profesionista. Tambin quiero agradecer a mis padres que durante toda mi vida
me han brindado el apoyo incondicional para lograr mis metas. As tambin agradezco a mis
maestros que tuvieron una importante aportacin en mis estudios y a mis amigos que
seguramente han aportado algo en la formacin del carcter requerido para siempre salir adelante
pese a las circunstancias que se presenten. Y quiero dar mi especial agradecimiento a mi
hermano Carlos Eduardo Jimnez Ostos quien siempre me dio la confianza para sacar adelante
este proyecto, adems de ser quien despert mi inters en la electrnica y los sistemas digitales.

Jos Arturo Jimnez Ostos














iii

CONTENIDO

AGRADECIMIENTOS ................................................................................................................................ ii
LISTA DE FIGURAS .................................................................................................................................. vi
LISTA DE TABLAS ................................................................................................................................. viii
LISTA DE ACRNIMOS ........................................................................................................................... ix

CAPTULO 1 INTRODUCCIN .............................................................................................................. 11
1.1 Introduccin ...................................................................................................................................... 11

CAPITULO 2 PLANTEAMIENTO DEL PROBLEMA ........................................................................... 13
2.1 Definicin del Problema ................................................................................................................... 13
2.2 Objetivo General ............................................................................................................................... 14
2.2.1 Objetivos Especficos ................................................................................................................. 14
2.3 Justificacin ...................................................................................................................................... 14
2.4 Delimitacin ...................................................................................................................................... 16
2.4.1 Alcances ..................................................................................................................................... 16
2.4.2 Lmites ....................................................................................................................................... 16

CAPTULO 3 MARCO TERICO ........................................................................................................... 17
3.1 Introduccin ...................................................................................................................................... 17
3.2 Concepto de Multimedia ................................................................................................................... 17
3.3 Clasificacin de los Servicios Multimedia ........................................................................................ 17
3.3.1 Servicios Interactivos ................................................................................................................. 17
3.3.2 Servicios de Distribucin ........................................................................................................... 18
3.4 Redes Inalmbrica de rea Personal ................................................................................................ 18
3.5 Bluetooth ........................................................................................................................................... 19
3.5.1 Arquitectura de Hardware y Software de Bluetooth. ................................................................. 19
3.6 Transceptores Bluetooth RF-BTMX417 ........................................................................................... 21
3.6.1 Caractersticas Generales ........................................................................................................... 21
3.7 Interfaz Grfica de Usuario ............................................................................................................... 22
3.7.1 Conceptos Bsicos del Video ..................................................................................................... 23

iv

3.7.2 LCD Display de Cristal Liquido ................................................................................................ 23
3.8 Controlador de Video Picasso uVGA-MD1 ..................................................................................... 25
3.8.1 Funcionamiento e Interpretacin de Sintaxis ............................................................................. 26
3.8.2 Funcin de Dibujo de Lnea ....................................................................................................... 26
3.9 Microcontroladores. .......................................................................................................................... 27
3.10 Microcontrolador Freescale MCF51QE128 .................................................................................... 27
3.10.1 Arquitectura Interna Coldfire V1 ............................................................................................. 28
3.10.2 Organizacin de la Memoria .................................................................................................... 29
3.10.3 Caractersticas Generales. ........................................................................................................ 30
3.11 Compilador CodeWarrior IDE ........................................................................................................ 32
3.11.1 Caractersticas Generales ......................................................................................................... 33
3.11.2 Processor Expert ...................................................................................................................... 34
3.11.3 Requerimientos del Sistema. .................................................................................................... 34
3.12 Microsoft Visual C# Development Studio ...................................................................................... 35

CAPTULO 4 METODOLOGA Y DESARROLLO DEL SISTEMA .................................................... 36
4.1 Introduccin ...................................................................................................................................... 36
4.2 Diseo y Desarrollo Modular del Sistema ........................................................................................ 36
4.2.1 Algoritmo ................................................................................................................................... 36
4.2.2 Etapa de Visualizacin ............................................................................................................... 37
4.2.3 Etapa de Adquisicin ................................................................................................................. 41
4.2.4 Etapa de Comunicacin ............................................................................................................. 43
4.2.5 Etapa de Control ........................................................................................................................ 44
4.2.6 Conexin e Integracin de las Etapas. ....................................................................................... 46
4.3 Metodologa de Desarrollo ................................................................................................................ 49
4.3.2 Pruebas del Controlador Picasso uVGA-MD1. ......................................................................... 49
4.3.3 Pruebas de Dibujo de Imagen en Pantalla. ................................................................................. 49
4.3.4 Errores de Prueba con el Controlador de uVGA-MD1 .............................................................. 50
4.3.5 Controlador de Video Solomon SSD1963 y Pantalla TFT de 7 como Alternativa. ................. 50
4.3.6 Comunicacin Bluetooth ............................................................................................................ 51
4.3.7 Comunicacin Bluetooth de Computadora a Microcontrolador. ............................................... 51
4.3.8 Ejercicios de Control de Datos ................................................................................................... 52
4.3.9 Regreso al Controlador uVGA-MD1 ......................................................................................... 53

v

CAPITULO 5 RESULTADOS Y CONCLUSIONES ............................................................................... 54
5.1 Conclusiones ..................................................................................................................................... 54
5.2 Trabajo a Futuro ................................................................................................................................ 54

REFERENCIAS .......................................................................................................................................... 56
APNDICE A ............................................................................................................................................. 57
APNDICE B ............................................................................................................................................. 63
APNDICE C ............................................................................................................................................. 74
APNDICE D ............................................................................................................................................. 78
APNDICE E ............................................................................................................................................. 81
APNDICE F.............................................................................................................................................. 86
APNDICE G ............................................................................................................................................. 89

vi

LISTA DE FIGURAS
Figura Pgina
2.1 Sistema de ayuda en lneas de produccin implementado actualmente vs Sistema
propuesto de acceso y visualizacin de imgenes _______________________________ 13
2.2 lbum de fotos Dreamscreen 100 ____________________________________________ 15
3.1 Arquitectura de Bluetooth __________________________________________________ 20
3.2 Interfaz grfica (GUI) _____________________________________________________ 22
3.3 Pixel de 3 segmentos RGB _________________________________________________ 24
3.4 Controlador Picasso uVGA-MD1 ___________________________________________ 25
3.5 Lnea dibujada por uVGA-MD1 _____________________________________________ 26
3.6 Encapsulado del mdulo uVGA y asignacin de pines ____________________________ 27
3.7 Microcontrolador MCF51QE128 ____________________________________________ 28
3.8 Fragmento de registros de memoria __________________________________________ 28
3.9 Arquitectura ColdFire V1 __________________________________________________ 29
3.10 Mapa de memoria MCF51QE128 __________________________________________ 29
3.11 Codewarrior IDE _______________________________________________________ 33
3.12 Plataforma de Visual C# 2010 ______________________________________________ 35
4.1 Diagrama de Bloques del Sistema Segmentado en etapas _________________________ 36
4.2 Interfaz grfica __________________________________________________________ 37
4.3 Tarjeta de video con conexiones al bus del DAC. ________________________________ 38
4.4 Conversin digital-anlogo a conector VGA DB15 ______________________________ 39
4.5 Conexiones interfaz serial de la tarjeta uVGA __________________________________ 39
4.6 BMPSender.exe __________________________________________________________ 41
4.7 Ventana de Bsqueda para abrir archivos .bmp _________________________________ 42
4.8 Etapa de comunicacin Bluetooth ____________________________________________ 44
4.9 Diagrama de estados del sistema _____________________________________________ 46
4.10 Arreglo de lista de ttulos _________________________________________________ 47
4.11 Circuito de adaptacin de niveles de voltaje entre Puerto COM y mdulo Bluetooth ___ 48
4.12 Base de tarjeta de video uVGA con conector VGA _____________________________ 48
4.13 Demo de la tarjeta, verificacin de funcionalidad ______________________________ 49
4.14 Prueba fallida, paleta de colores equivocada ___________________________________ 50
4.15 Imgenes de las pruebas realizadas con el controlador SSD1963 y la pantalla TFT 7 __ 50
4.16 Pantallas de resultado de comunicacin con mdulos RF-BTMX417 _______________ 51
4.17 Conexiones en Protoboard de mdulos Bluetooth ______________________________ 51

vii

4.18 Conexin Computadora-Bluetooth y Bluetooth-Microcontrolador _________________ 52
4.19 Imagen que representa el arreglo de cada carcter de la lista de nombres ____________ 52
4.20 Imagen representa ndices adquiridos para el control de ttulos ____________________ 53
4.21 Despliegue de imagen con la paleta de colores BGR correcta _____________________ 53



viii

LISTA DE TABLAS
Tabla Pgina
3.1 Tipos de acceso permitidos por regin ________________________________________ 30


ix

LISTA DE ACRNIMOS

WPAN Wireless Personal Area Network (Red inalmbrica de rea personal).
TFT Thin-Film Transistor (Transistor de pelcula fina).
HTML HyperText Mark Language (Lenguaje de marcado de hipertexto).
LAN Local Area Network (Red de rea local).
LCD Liquid Crystal Display (Pantalla de cristal lquido).
RAM Random Access Memory (Memoria de acceso aleatorio).
PCB Printed Circuit Board (Circuito Impreso).
IEEE (Institute of Electrical and Electronics Engineers (Instituto de ingenieros en electricidad y
electrnica.)
ITU International Telecommunication Union (Unin internacional de telecomunicaciones).
WLAN Wireless Local Area Network (Red inalmbrica de rea local).
PC Personal Computer (Computadora personal).
PDA Personal Digital Assistant (Asistente digital personal).
MCU Microcontroller Unit (Microcontrolador).
SDP Service Discovery Protocol (Protocolo de servicios de descubrimiento).
RFCOMM Radio Frequency Communication (Comunicacin de radio frecuencia).
L2CAP Logical Link Control and Adaption Protocol (Protocolo de control y adaptacin del
enlace lgico).
LMI Link Management Interface (Interface de administracin de enlace).
LCI Link Control Interface (Interface de control de enlace).
FHSS Frequency Hopping Spread Spectrum (Espectro ensanchado por salto de frecuencia).
RF Radio Frequency (Radio frecuencia).
DPSK Differential Phase Shift Keying (Modulacin por desplazamiento diferencial de fase).
EDR Enhanced Data Rate.
USB Universal Serial Bus (Bus serie universal).
UART Universal Synchronous Asynchronous Receiver Transmitter (Receptor transmisor
sncrono asncrono universal).
SPI Serial Peripheral Interface (Interfaz perifrica serial).
PCM Pulse Code Modulation (Modulacin por impulsos codificados).
RoHS Restriction of Hazardous Substances (Restriccin de sustancias peligrosas).

x

GUI Graphic User Interface (Interfaz grfica de usuario).
RGB Red, Green, Blue (Rojo, Verde, Azul).
VGA Video Graphics Array (Adaptador de video grafico).
ASCII American Standard Code for Information Interchange (Cdigo estadunidense estndar
para el intercambio de informacin).
RISC Reduced Instruction Set Computer (Computadora con juego de instrucciones reducido).
ARM Advances RISC Machines (Maquinas avanzadas RISC).
FIFO First in, First out (Primero en entrar, primero en salir).
GPIO General Purpose Input/Output (Entradas/Salidas de propsito general).
ADC Analogue to Digital Converter (Convertidor anlogo digital).
DAC Digital to Analogue Converter (Convertidor digital anlogo).
SCI Serial Communication Interface (Interface serial de comunicacin).
IDE Integrated Development Environment (Entorno de desarrollo integrado).
ANSI American National Standard Institute (Instituto Nacional estadunidense de estndares)
HSYNC Horizontal Synchronization.
VSYNC Vertical Synchronization.


Captulo 1 Introduccin
11

CAPTULO 1
INTRODUCCIN

1.1 Introduccin
Durante los ltimos aos los avances tecnolgicos se producen con mayor frecuencia y de la
mano de estos se desarrollan nuevos sistemas multimedia (computadoras, reproductores de msica,
celulares, sistemas GPS, impresoras, tabloides digitales, lbumes de fotos digitales, entre otros). Sin
embargo, estos nuevos sistemas son de ndole comercial, su caracterstica multifuncional los ha
marginado de ser utilizados en la industria.

En la industria se prefiere el uso de sistemas dedicados, que cumplan con una funcin especfica a
realizar, esto le permite a la industria reducir costos en equipo tecnolgico y minimizar su mantenimiento.
Por esta razn, existe una brecha aislada entre los avances de tecnologa comerciales y los de ndole
industrial.

En base a lo anterior, se construy un sistema de visualizacin de imgenes en una pantalla
grfica adquiridas va WPAN, con el fin de innovar y dar solucin a un problema encontrado en la
industria maquiladora, cuyo planteamiento se encuentra detallado en el captulo 2 de este mismo
documento.

Para que el sistema de acceso y visualizacin de imgenes cumpla con las caractersticas que la
maquiladora busca al adquirir nuevas tecnologas, se han considerado factores especficos descritos en el
siguiente prrafo, permitiendo adems, que el sistema se convierta en una tecnologa de aplicaciones
industriales.

El desarrollo modular del sistema, detallado en el captulo 4, es la primera caracterstica
considerada, pues permite que con el avance de las tecnologas se pueda actualizar fcilmente alguno de
los mdulos, sin afectar a los dems. La segunda caracterstica, plantea el uso de tecnologas que
compitan a la par con aquellas utilizadas en diseos comerciales; en el caso de este sistema el uso del
protocolo Bluetooth como mtodo de comunicacin inalmbrica satisface la condicin.

El uso de componentes de bajo costo, capaces de realizar la funcin requerida por el sistema sin
exceder los recursos de hardware y software lo categorizan como un sistema dedicado, es decir, no sera
Captulo 1 Introduccin
12

necesario un disco duro, tarjetas de audio, entre otros dispositivos. Tampoco sera necesario contar con un
sistema operativo complejo cuyas capacidades exceden los requerimientos del sistema antes mencionado.

Hoy en da, es posible que interactuemos cotidianamente con algn sistema que manipule audio,
texto o video, ya sea a travs de simples botones, alguna pantalla tctil o hasta con instrucciones de voz,
indicndole al sistema que realice alguna operacin y nos regrese un resultado. En el mundo de la
electrnica a esta clase de sistemas se les conoce como multimedia. Existe una tendencia creciente en el
uso de estos sistemas, es por esto, que con el desarrollo de este proyecto, adems obtener un producto, se
obtiene un conocimiento detallado sobre el funcionamiento de estos sistemas.

El tema principal de este trabajo consiste en desarrollar un sistema embebido cuya arquitectura
sea compuesta por una pantalla TFT, un microcontrolador, un enlace Bluetooth y una computadora. La
idea es que el usuario del sistema pueda desde algn sitio lejano a su computadora, acceder a las
imgenes encontradas en un folder de su computadora.

La interaccin entre el usuario y el sistema se produce al momento de que el sistema permite al
usuario observar una lista de los nombres de las imgenes contenidas en el folder. El usuario presiona
botones para desplazarse entre ttulos, adquirir alguna imagen o borrar la pantalla. El intercambio de datos
como los ttulos y las imgenes con la computadora servidor se realiza por medio de un microcontrolador
y un enlace inalmbrico Bluetooth.

Para que el sistema tenga entendimiento con la computadora, el usuario deber instalar una
aplicacin de software (desarrollado como parte del sistema) en la computadora para permitir que algn
folder de la computadora funcione como un folder compartido y para que a travs de este programa se de
entendimiento a las requisiciones hechas por el usuario que se encuentra en el sistema de visualizacin.

En el captulo 2 de este documento se puede encontrar el planteamiento del problema, los
objetivos generales y especficos de desarrollo del proyecto, la delimitacin que tiene el proyecto y la
justificacin del mismo. En el captulo 3 se proporciona informacin general y conceptos bsicos como
marco terico sobre las tecnologas que se consideraron para la realizacin del proyecto.

El capitulo 4 se describe el desarrollo y la metodologa utilizada para que el sistema funcione
adecuadamente y cumpla con los objetivos propuestos. Finalmente, en el captulo 5 se describen las
conclusiones obtenidas con el desarrollo de este proyecto.
Captulo 2

2.1 Defin
E
empleado
para la m
optimizad
ltimos a

L
sistema m
implemen
de una ln

E
procedimi
LAN que
una repre
actualidad
Figura 2.1
2
icin del Pro
En Ciudad Ju
s con la resp
mejora de su
dos con el us
os.
La problemtic
multimedia ha
ntado para ofr
nea de produc
El sistema de
ientos de ensa
usualmente
esentacin gr
d y el sistema
1. - Sistemas de
PLA
oblema
rez, conocid
ponsabilidad d
us procedimie
o de las nuev
ca principal p
a sido observ
recer ayudas v
cin.
ayudas visua
amble, prueba
requiere de m
rfica del sis
a propuesto de
e ayuda en lne
CA
ANTEAMIEN
da como una
de iniciar nue
entos interno
vas tecnologa
por la cual sur
vada en la in
visuales a los
ales se trata d
a, y calidad; t
mucho mante
stema de ayu
e acceso y vis
eas de producci
y visualiz
APITULO 2
NTO DEL P

a fuerte regi
evos proyecto
os, dejando v
as en sistemas
rge la idea de
ndustria maq
s operadores q
de un sistema
todo a travs
nimiento y a
udas visuales
sualizacin de
in implement
zacin de img

PROBLEMA
n industrial,
os, y que poc
ver que dich
s electrnicos
e realizar un s
quiladora; en
que trabajan a
a que desplieg
de una pgin
administracin
s como se e
e imgenes.
tado actualmen
genes.
Planteam
A
las maquilad
cas veces imp
hos procedim
s que se han d
istema con ca
donde se ob
a lo largo de
ga solo img
a HTML y un
n de red. La
encuentra im
nte vs Sistema p
iento del Prob
doras suelen
plementan av
mientos puede
desarrollado e
aractersticas
bserv un si
las distintas e
genes acerca d
na topologa d
figura 2.1 mu
mplementado
propuesto de a
blema
13
tener
vances
en ser
en los
de un
stema
etapas
de los
de red
uestra
en la

acceso
Captulo 2 Planteamiento del Problema
14

Si se considera que se han utilizado computadoras para la implementacin de dicho sistema, se
puede observar que existe un exceso de recursos de hardware y software (disco duro, teclado
alfanumrico, circuitera extensa, sistema operativo y software con licencia), lo que provoca que el
sistema sea una solucin costosa en espacio, precio, configuracin inicial y mantenimiento.

2.2 Objetivo General
Realizar un mdulo que tenga acceso a imgenes en un servidor remoto utilizando una
comunicacin Bluetooth y las muestre en una pantalla grfica tipo TFT.

2.2.1 Objetivos Especficos
Investigar sobre el manejo de pantallas grficas a travs de una revisin bibliogrfica en libros,
publicaciones, artculos e internet.
Investigar acerca de las comunicaciones WPAN grficas a travs la consulta bibliogrfica en
libros, publicaciones, artculos e internet.
Identificar, en base a la informacin en las hojas de datos, los componentes idneos para la
conjuncin del sistema (LCD, Mdulo WPAN, RAM, Microcontrolador, etc.), a travs de una
comparacin de las caractersticas que cada uno de los componentes ofrece y un anlisis de
simplicidad para su implementacin.
Describir el funcionamiento de cada uno de los componentes que se utilizarn en el diseo del
sistema, a travs de la construccin de circuitos simples que permitan la operacin de los
componentes en un modo similar al que se emplearn en el proyecto.
Construir el diseo final en forma fsica, habiendo diseado su diagrama electrnico y su
diagrama de impresin PCB.
Estimar resultados y obtener conclusiones del funcionamiento del sistema diseado, realizando
pruebas del manejo de grficos y la comunicacin en conjunto.

2.3 Justificacin
Los costos econmicos por el exceso uso de recursos al utilizar diez o ms computadoras en una
lnea de produccin solo para mostrar imgenes y texto al usuario pueden ser en ocasiones muy elevados.
Asimismo, la configuracin y mantenimiento de software requiere tiempo y un especialista en el manejo
redes de cmputo. Sin embargo, para una aplicacin similar, aprovechando las novedades tecnolgicas, se
puede desarrollar un sistema dedicado que contenga una pantalla, un par de botones y un mdulo de
Captulo 2

comunica
como lo e

C
computad
imgenes
se podra
diez dispo

C
de fotos d
cuales pue
como im
una red E
IEEE 802
adems, d







2
acin inalmb
es un protocol
Con el diseo
dora, es decir
y texto sin te
reducir a so
ositivos remot
Comparado co
digitales como
eden ofrecer
genes, se re
Ethernet, lo cu
2.15. Por esta
de que ofrece
brica con un
lo de rea per
de dicho sist
r, adquirir im
ener presente
lo una compu
tos.
on el sistema
o el sistema m
diversas func
ealiza a trav
ual es un proc
razn se dec
e una velocida
Figu
protocolo de
rsonal WPAN
tema se preten
mgenes de m
un disco dur
utadora confi
que se dise
ms parecido.
ciones multim
s del estnda
ceso ms com
idi utilizar u
ad de transmis
ura 2.2. lbum
comunicaci
N, por ejemplo
nde minimiza
manera inalm
ro o un lector
figurada como
y desarroll
Productos co
media. En este
ar IEEE 802.1
mplicado que
un protocolo
sin hasta de
m de fotos Dre
n menos com
o Bluetooth.
ar el uso de r
mbrica perm
r de memoria
o servidor pr
, encontramo
omo el HP Dr
e dispositivo l
11, lo que ind
el requerido
como Blueto
3Mb/s .
eamscreen 100
Planteam
mplejo al de
recursos, al co
mitir al usuar
as. El uso de d
roveedor de im
os en el merc
reamscreen 10
la conectivida
dica la neces
por los proto
ooth para el e
0
iento del Prob
una red Eth
ompararlo co
rio tener acc
diez computa
mgenes y te
cado a los lb
00 (Figura 2.2
ad y acceso a
idad de confi
ocolos del est
enlace inalm
blema
15
hernet,
on una
ceso a
adoras
exto a
bumes
2), las
datos
figurar
tndar
mbrico,
Captulo 2 Planteamiento del Problema
16

2.4 Delimitacin
2.4.1 Alcances
1. Se desarrollar el sistema como ha sido descrito y estar compuesto por una pantalla, un
microcontrolador como unidad de control, un mdulo inalmbrico WPAN y un software que
permita la configuracin de una raz ruta en una computadora.
2. Se realizar el estudio necesario sobre cada mdulo que requiera el sistema.
3. El desarrollo del sistema se enfocar en el tratado de imgenes y texto en base a su representacin
binaria a travs de los componentes; es decir, a travs del enlace entre el microcontrolador y la
pantalla.

2.4.2 Lmites
1. El dispositivo desarrollado obtendr solo imgenes y texto remotamente, al acceder a una pc.
2. La resolucin y tamao que manipular el sistema, estar limitada por la capacidad de la tarjeta
seleccionada. La decisin de que tarjeta utilizar est relacionada con los recursos econmicos que
se tienen para el desarrollo del proyecto.
3. No se realizaran ms de un sistema para la demostracin del mismo, pues se demostrarn las
funciones para la que ha sido diseado y no su capacidad de ser implementado en una topologa
de red completa.



Captulo 3 Marco Terico
17

CAPTULO 3
MARCO TERICO

3.1 Introduccin
Para desarrollar un sistema multimedia se requiere la conjuncin de distintos elementos que
caracterizan a un sistema como tal, por tal motivo los conceptos que se describen a continuacin detallan
tericamente los elementos que se han considerado para el desarrollo del sistema propuesto en este
trabajo.

3.2 Concepto de Multimedia
Segn el Diccionario de la Real Academia Espaola, el adjetivo multimedia significa: Que
utiliza conjunta y simultneamente diversos medios, como imgenes, sonidos y texto, en la transmisin de
una informacin. Sin embargo, una definicin ms arraigada a un sistema multimedia se describe como
la coleccin de tecnologas basadas en el uso de ordenadores que permiten al usuario la capacidad de
acceder y procesar informacin en los distintos formatos digitales, como lo son imgenes, sonido y texto
[1].
En realidad la palabra multimedia puede ser descrita desde muchos puntos de vista, es por esto
que el concepto multimedia lo interpretaremos en esta tesina desde dos puntos de vista:
1. La palabra multimedia que manipula y muestra datos, llamndose as multimedia a la informacin
de texto, imgenes y audio regularmente manejada por un procesador.
2. La palabra multimedia en concepto de comunicacin, donde una comunicacin multimedia
implica la manipulacin, transmisin y el control de seales audiovisuales a travs de una red de
telecomunicacin.

3.3 Clasificacin de los Servicios Multimedia
La ITU (Unin Internacional de Telecomunicaciones) ha realizado una clasificacin de los
servicios multimedia, los servicios interactivos y los servicios de distribucin multimedia.

3.3.1 Servicios Interactivos
Los servicios interactivos son aquellos en los que existe una relacin bidireccional entre las
entidades involucradas en la comunicacin. Pertenecen a este grupo los servicios convencionales, los de
extraccin de informacin y los de mensajera.
Captulo 3 Marco Terico
18

Los servicios convencionales son aquellos en los que las entidades involucradas se intercambian
simultneamente flujos de informacin similares, por ejemplo, la telefona, o la videoconferencia.

Por su parte los servicios de extraccin de informacin se caracterizan porque el usuario enva
peticiones a un servidor, el cual responde con la informacin solicitada. Se trata de servicios asimtricos
en los que el canal descendente (servidor-usuario) es de mucho mayor capacidad que el canal ascendente
(usuario-servidor) [1].

Finalmente, los servicios de mensajera soportan el intercambio de informacin. En este caso la
comunicacin entre ambos implicados es en tiempo diferido.

3.3.2 Servicios de Distribucin
En los servicios de distribucin multimedia, se proporciona la misma informacin solo que para
un nmero mayor de usuarios, estos servicios tienen una subdivisin entre servicios de control de usuario
y servicios de distribucin, en donde los primeros poseen interaccin usuario-distribuidor y en los ltimos
tienden a ser de pura recepcin, un ejemplo de este servicio es la televisin.

3.4 Redes Inalmbrica de rea Personal
Las WPAN (Wireless Personal Area Network) representan una parte de comunicaciones
inalmbricas, que se encuentran estandarizadas desde el ao 2003 como IEEE 802.15 y desde entonces
cuatro grupos de trabajo se dedican a desarrollar esta tecnologa. Uno de los cuatro grupos de trabajo es la
divisin que desarrolla el estndar 802.15.1, adaptado de las especificaciones de la tecnologa
Bluetooth, misma tecnologa seleccionada para ser implementada en este proyecto.

Algunas caractersticas generales del estndar 802.15 son su flexibilidad de red, en donde a
diferencia de las redes de rea local (WLAN), una conexin echa a travs de una WPAN involucra que
exista muy poca o nula infraestructura con conexiones directas hacia el mundo exterior.

Otra caracterstica, es que en este tipo de conexiones la duracin del enlace no tiene que ser
establecida por un tiempo indefinido, aqu la duracin del enlace suele ser igual al requerido para que la
informacin se trasmita de un dispositivo a otro, lo que resulta en un bajo consumo de energa durante su
operacin. Adems, ha sido ideado para aplicaciones prcticas en las que se comunican dispositivos
Captulo 3 Marco Terico
19

porttiles (PCs, PDAs, telfonos, sensores, etc.) como una solucin de un solo encapsulado permitindole
ser una tecnologa de bajo costo y de poca circuitera [2].

3.5 Bluetooth
Bluetooth es una tecnologa que se actualiza constantemente, inicialmente fue aprobada en 2002,
revisada en 2005 y se encuentra sujeta a revisin en el 2010. Se caracteriza por ser una tecnologa de bajo
costo, bajo consumo de potencia y categorizada como tecnologa de radio frecuencia para comunicaciones
de corto alcance. Originalmente fue ideado para sustituir el cable en dispositivos electrnicos y proveer
redes ad hoc1.

La especificacin de Bluetooth define un canal de comunicacin con una velocidad mxima de
720Kb/seg, se clasifica en tres clases distintas segn su rango de alcance y consumo de potencia. La clase
1, la clase 2 y la clase 3, mismas que tienen rangos de 100 metros, 10 metros y 1 metro respectivamente.
La frecuencia de radio con la que trabaja est en el rango de 2.4Ghz a 2.48Ghz, mismo rango que utiliza
WLAN (Wireless Local Area Network), sin embargo, no interfieren entre s debido a sus distintas
especificaciones en su capa fsica y de control de acceso [3 - 4].

Para brindar seguridad en su transmisin utiliza modulacin con espectro ensanchado por salto de
frecuencia en donde la seal se emite sobre una serie de radiofrecuencias aparentemente aleatorias,
saltando de frecuencia en frecuencia sincrnicamente con el transmisor. Los receptores no autorizados
escucharn una seal ininteligible. La potencia de salida para transmitir a una distancia mxima de 10m
es de 0dBM (1 mW), mientras que la versin de largo alcance transmite entre -30 y 20dBM (100 mW)
[5].

3.5.1 Arquitectura de Hardware y Software de Bluetooth.
El funcionamiento de Bluetooth puede ser comprendido estudiando cada componente de
hardware y software que se integra en su arquitectura. En la Figura 3.1, se muestra la arquitectura de
Bluetooth donde es posible observar cmo est relacionada cada capa de software con su respectivo
componente de hardware [6].
Captulo 3


A
relacin c

1) H
re
qu
pr
se
m

2) L
in
so
ca
co
de

3
A continuaci
con las capas d
Host Controlle
esulta un com
ue a su vez
rotocolo RFC
e encarga de
mayor tamao
Link Control
ntegrado al c
oftware, la in
apa de contro
onexiones fs
e realizar dive
F
n se describ
de software c
er (PC/MCU)
mponente opci
manipulan di
COMM, encar
la segmenta
a travs de la
Processor.- E
controlador d
nterfaz del co
olador de enla
icas para dich
ersas tareas c
Figura 3.1. A
be cada comp
con el fin de c
).- Su traduc
ional, es el en
istintos proto
rgado de emu
acin y reens
a conexin Bl
Es el proces
de cliente. M
ontrolador de
ace (LCI) [7]
ho controlado
omo :
Arquitectura de
ponente de h
conocer el ord
cin se refier
ncargado de m
ocolos como
ular conexione
amblaje de l
luetooth[7].
sador de con
Manipula las
cliente, la ca
]. La interfaz
or, las siguien
Bluetooth.
hardware que
den en que fun
re a Controla
manipular las
el de servici
es de puerto s
los paquetes
ntrol de enla
tres capas in
apa de admin
z del controla
ntes dos capas

e conforma
nciona Blueto
ador de Clien
capas de apl
io de descubr
serial y el pro
para poder e
ce, en ocasio
nferiores de
nistracin de
ador de client
s LMI y LCI
Marco Te
a Bluetooth,
ooth [7].
nte y en el d
icacin (softw
rimiento (SD
otocolo L2CA
enviar paquet
ones se encu
la arquitectu
enlace (LMI
te define el ti
son las encar
erico
20
y su
diseo
ware),
DP), el
AP que
tes de
uentra
ura de
I) y la
ipo de
rgadas
Captulo 3 Marco Terico
21


Envo y recepcin de datos
Empaginamiento y peticiones
Determinacin de conexiones
Autenticacin, negociacin y determinacin de tipos de enlace
Determinacin del tipo de cuerpo de cada paquete
Ubicacin del dispositivo en modo sniff o hold.

3) Controlador de banda base.- Este componente es el encargado de seleccionar los canales y
enlaces fsicos de la comunicacin, aparte de otros servicios tales como informacin de conexin,
errores de conexin, seleccin de canales y seguridad. Bluetooth utiliza FHSS (Frequency
Hopping Spread Spectrum) como mtodo de modulacin que no es ms que la modulacin por
salto de frecuencia. Esto le permite a Bluetooth admitir topologas de conexin llamadas piconet,
multi-slave y scatternet, las cuales son bsicamente conexiones punto-punto o punto-multipunto
[7].

4) Transceptor RF.- Es el dispositivo que obedece a las seales moduladas para trasmitir o recibir
los datos por medio de una antena fsica. Entre la circuitera del transceptor y la antena se utilizan
diversos diseos para amplificar o filtrar la seal con el fin de mejorar la comunicacin [7].

3.6 Transceptores Bluetooth RF-BTMX417
Los transceptores Bluetooth RF-BTMX417 se eligieron para el desarrollo de este sistema de entre
una amplia gama de productos del mismo tipo que se pueden encontrar en el mercado por su costo y
facilidad de uso, lo que permite tambin disminuir el tiempo de desarrollo de sistemas de comunicacin
inalmbrica.

3.6.1 Caractersticas Generales
Bluetooth con especificaciones de la versin 2.0
Sistema de velocidad de datos mejorada (Enhanced Data Rate EDR) para velocidades de datos de
2Mbps y 3Mbps por modulacin /4-DPSK y 8DPSK.
Protocolo de comunicacin RFCOMM.
Mdulo clase 2 con rango de transmisin de 10 m.
Voltaje de alimentacin requerido de 3.3V.
Captulo 3

M
In
S
S
C

L
en las hoj

3.7 Interf
L
ingles, la
fcilmente
de invest
computad
[8]


L
tecnologa
e imgene

L
mismos, e
dibujar, n
3
Mnimo de com
nterfaces USB
oporte para m
oporte para c
Cumple con la
Las caracterst
as tcnicas an
faz Grfica d
La interfaz gr
a aparicin d
e con los siste
tigadores de
dora personal
Las interfaces
a de video, pu
es que permit
Los fundamen
es decir, cuan
no importand
mponentes ex
B, UART, SP
memoria FLA
oexistencia d
a directiva Ro
ticas fsicas c
nexas al apnd
de Usuario
rfica (Figura
de esta interf
emas de tecno
la universi
cuya interfaz
grficas, GU
ues la parte fu
an al usuario
ntos que se ut
ndo se desplie
o si se trata
xternos requer
I, y PCM.
ASH externa d
de redes 802.1
HS.
como la descr
dice A de este
a 3.2) de usu
faz surge tra
ologa, el prim
dad de Sand
z consista en
Figura 3.2.
UI por sus sig
undamental d
un lenguaje d
ilizan para de
ega una imag
de imgenes
ridos.
de hasta 8Mb.
11.
ripcin de pin
e documento.
uario es tamb
as la necesid
mer sistema g
dford, dicho
hipervnculo
Interfaz Grfic
glas en ingles
de las interfac
de comunicac
esplegar img
gen en la pant
s, texto vid

nes y caracter
.
bin conocida
dad de permi
grfico es des
o sistema es
s en modo de
ca (GUI).
s, no seran p
ces grficas es
cin fsico con
genes y texto
talla se enva
deo. Lo nic
rsticas elctr
a como GUI
itir al usuari
sarrollado en
conocido c
e texto gobern

posibles sin c
s la presentac
n los disposit
o en una inter
a la informaci
co que la pan
Marco Te
ricas se encue
por sus sigl
io interactuar
1973 por un
como Xerox
nados por un
contar antes c
cin visual de
tivos de Hardw
rfaz grfica so
in de cada p
ntalla interpre
erico
22
entran
las en
r ms
grupo
, una
ratn.
con la
e texto
ware.
on los
pixel a
eta es
Captulo 3 Marco Terico
23

informacin referente al nivel de intensidad de cada color. Un par de seales en el tiempo (detalladas ms
adelante en la seccin 3.7.2) ubican al pixel en algn punto de la pantalla. Independientemente de si la
informacin sobre el pixel es percibida por la pantalla como una seal anloga digital, la pantalla solo
interpreta pixeles.

3.7.1 Conceptos Bsicos del Video
Uno de los dispositivos ms utilizados en las aplicaciones multimedia que se encuentran
actualmente en el mercado de la tecnologa son las pantallas grficas, que permiten la interfaz usuario-
computador, dichas pantallas en su mayora son del tipo LCD (Liquid Crystal Display) debido a los bajos
costos que representa su construccin. La pantalla LCD es una pantalla delgada y plana formada por un
nmero de pxeles en color o monocromos colocados delante de una fuente de luz o reflectora que se
controla a travs de una seal de video.

Inicialmente la seal de vdeo era formada por un nmero de lneas agrupadas en varios cuadros y
estos a la vez divididos en dos campos que portan la informacin de luz (luminancia) y color de la imagen
(Crominancia).

La principal diferencia de la seal analgica y la seal digital radica en el hecho de que una es de
naturaleza continua y la otra de naturaleza discreta. Por lo tanto, la seal digital viene representada por un
nmero especfico de valores, mientras que la seal analgica lo hace a travs de una funcin de puntos
infinitos [9].

La digitalizacin de una imagen es entonces la mejor aproximacin posible de la seal anloga,
representada por un nmero finito de valores, tpicamente de 0 a 255 bits. En la actualidad hay una
multitud de diferentes estndares para video anlogo y digital. Algunos de los estndares de formato ms
utilizados para asignar pixeles en una imagen digital son RGB656 y RGB565 que no representan ms que
la manera en que se forma un pixel.

3.7.2 LCD Display de Cristal Liquido
El LCD representa una de las tecnologas ms empleadas para la visualizacin e interpretacin de
seales de video. stas consisten en un panel compuesto por cristales lquidos que responden a una seal
elctrica aplicada. Cuando esta seal es aplicada, los cristales se alinean al campo producido por la carga.
Los cristales no emiten luz propia, sin embargo permiten que la luz pase o no a travs de ellos.
Captulo 3


E
TFT como
un arregl
segmento
rojo, verd

L
ejemplo e
color verd
tonalidade
que permi

A
tanto, es n
pues la re
cuadro de
transmiten
se desplie
seal hori
lneas ento



3
Existen 2 tipo
o el que se ut
lo de transis
s. Para forma
de y azul (RGB
Los niveles de
en RGB565 c
de y cinco bi
es por pixel.
iten la conver
Adems, de lo
necesario un
solucin est
e una imagen.
n los pixeles,
ega una imag
izontal logram
onces tendrem
s bsicos de
tiliza en el pre
tores que pu
ar colores per
B). Dichos co
e color que c
inco bits corr
its para la int
En la Figura
rsin del dato
F
s datos de pix
controlador d
directamente
. Una seal ho
otra seal lla
gen. Por esta
mos transmiti
mos una resol
pantalla LCD
esente proyec
ueden ser co
rceptivos al o
olores corresp
ada pixel pro
respondern a
tensidad del
a 3.3 se mues
o digital a la in
Figura 3.3. Pi
xel, distintas
de video para
e relacionada
orizontal se e
amada seal v
relacin es q
ir 320 pixeles
lucin de 320
D, las esttica
cto es del tipo
ontrolados pa
ojo humano d
ponden a un p
oduce depend
a la intensida
color azul, c
stra un pixel d
ntensidad de l
xel de 3 segme
seales tienen
a sincronizar
con las seal
encarga de act
vertical se en
que se contem
s y entre cada
0x480. [10]
as y las activ
o activa. Este
ara formar s
de manera re
pixel que se fo
den del forma
ad del color ro
onsiderando
de tres segme
luz correspon

entos RGB.
n que ser pro
los tiempos e
les de reloj qu
tualizar cada
ncarga de actu
mpla la resolu
a pulso de la
vas. Una pant
tipo de panta
seales elctr
al solo se ne
orma por tres
ato que se es
ojo, seis bits
que se puede
entos RGB y
ndiente [10].
cesadas por u
en que cada p
ue sincronizan
lnea horizon
ualizar cada c
ucin, si entr
seal vertical
Marco Te
talla LCD de
allas correspo
ricas en peq
cesitan los co
segmentos. [
st empleando
a la intensida
en formar div
los mdulos
una pantalla, p
pixel es color
n cada lnea y
ntal una vez q
cuadro una ve
re cada pulso
l transmitimo
erico
24
el tipo
onde a
queos
olores
[10]
o. Por
ad del
versas
DAC
por lo
reado,
y cada
que se
ez que
de la
os 480
Captulo 3

3.8 Contr
P
utilizar el
por 4DSy
con interf
como el d


E
facilitar e
del mdul

C
E
V
R
5
el
In
D
In

E
programab
VGA de 6
3
rolador de V
ara la parte d
l controlador
ystems como u
faz VGA. Ad
desarrollado e
El mdulo uV
l diseo de pr
lo uVGA se e
Controlador in
Encapsulado p
Voltaje de ope
Resolucin gr
12Kb de SRA
l modo VGA
nterfaz serial
Deteccin auto
nterfaz serial
El mdulo uV
bles por softw
640x480 pixe
ideo Picasso
del sistema en
Picasso uVG
un sistema em
dems, cumpl
n este proyec
Figu
GA-MD1 ha
roductos que
enlistan a con
ntegrado para
plstico de 28
eracin compr
fica de 256 c
AM que perm
y 1 pgina pa
asncrona UA
omtica de Ba
de perifricos
VGA-MD1 t
ware, los tres
les y en SVG
uVGA-MD1
ncargada de
GA-MD1 mos
mbebido que
e con el requ
cto.
ura 3.4.- Contro
sido disead
necesiten dis
ntinuacin.
pantallas gr
Pines.
rendido entre
colores forma
mite almacenar
ara cuando op
ART con lnea
audrate de ent
s (SPI) para c
tiene la capa
distintos mo
GA de 800x60
1
desplegar las
strado en la f
permite el f
uerimiento de
olador Picasso
do para ser fc
sminuir el tiem
ficas con inte
3.0V y 3.6V.
ato BGR233.
r 8 pginas de
pera en SVGA
as TX y RX p
tre 2400 Baud
conectarse a m
acidad de de
odos de resolu
00 pixeles.
s imgenes y
figura 3.4. Es
cil manejo d
e bajo costo p
uVGA-MD1.
cilmente inte
mpo de come
erfaz QVGA,
.
e video para e
A.
para una fcil
dios hasta 1M
memorias del
esplegar grf
ucin son en
la interfaz g
ste controlado
de grficos en
para el desarr
egrado a diver
ercializacin.
VGA y SVG
el modo QVG
interfaz con
Mbit/seg.
mismo proto
ficos en dist
QVGA de 32
Marco Te
rfica, se opt
or fue desarro
n cualquier mo
rollo de un si
rsas aplicacio
Las caracter
GA.
GA, 2 pgina
el CPU.
colo.
tintas resoluc
20x240 Pixel
erico
25
t por
ollado
onitor
stema

ones y
sticas
s para
ciones
les, en
Captulo 3

3.8.1 Fun
L
texto, im
interpreta
variable e
como el c

A
interpreta
puede enc

3.8.2 Fun
Sintaxis: c
Cmd: En
los parm
X1: Inicio
Y1: Inicio
X2: Fin d
Y2: Fin d
Color: Co

Descripci
en la pant

3
ncionamient
La librera que
mgenes, icon
cin de los v
entre cada fun
comando y se
A continuaci
cin de los co
contrar en el m
ncin de Dib
cmd, x1(msb
hexadecimal
metros sucesiv
o de lnea en p
o de lnea en p
e lnea en pos
e lnea en pos
olor de lnea e
n: Este com
talla como se
to e Interpre
e el mdulo u
nos, entre otr
valores hexad
ncin, es dec
eguido de este
n se detalla
omandos y pa
manual del co
bujo de Lne
:lsb), y1(msb
0x4C o en
os.
posicin horiz
posicin verti
sicin horizon
sicin vertica
en 1 byte de lo
mando dibuja u
muestra en la
Fig
etacin de Si
utiliza permite
ra variedad
decimales que
cir, para cada
e debern ven
la funcin D
armetros. Un
ontrolador Pic
ea
:lsb), x2(msb
ASCII L se
zontal de 2 by
ical de 2 byte
ntal de 2 byte
al de 2 bytes d
ongitud.
una lnea col
a figura 3.5
ura 3.5.- Lnea
intaxis
e dibujar en p
de funciones
e reciba por l
funcin corr
nir uno, dos, tr
Dibujo de ln
na descripci
casso uVGA-M
b:lsb), y2(msb
e interpreta c
ytes de longit
s de longitud
es de longitud
de longitud.
oreada de la
a dibujada por u
pantalla lnea
s de control
la interfaz se
responde un d
res o hasta se
nea con el f
n ms detalla
MD1.
b:lsb), color.
omo dibujo d
tud.
.
d.
posicin (X1
uVGA-MD1.
s, crculos, re
; cada funci
erial. La sinta
dato de 8 bit
eis bytes de pa
fin de explic
ada de los dist
de lnea en pa
1,Y1) hasta la

Marco Te
ectngulos, el
in responde
axis es de lon
ts que se inte
armetros.
ar grficamen
tintos comand
antalla y respo
a posicin (X
erico
26
lipses,
e a la
ngitud
rpreta
nte la
dos se
onde a
X2,Y2)
Captulo 3

L
controlado
controlado

3.9 Micro
U
contiene t
tarea dete
El microc
gobernar
tarea que

C
aumentar
Algunos f
Este dato

3.10 Micr
L
inters de
Freescale
se muestr
V1 Core
comparab
recursos p
[12].
3
La figura 3.6
or. Si se de
or se puede c
F
ocontrolador
Un microcontr
todos los com
rminada y de
controlador e
una aplicaci
le fue asignad
Cada vez exis
sustancialme
fabricantes de
puede dar un
rocontrolado
La mayora d
e este proyec
para llevar a
a el el microc
(Conjunto de
ble con arquit
para control d
6 representa
esean consult
onsultar el ap
Figura 3.6. E
res.
rolador es un
mponentes de
ebido a su tam
es un disposit
n determinad
da [11].
ten ms prod
ente sus ben
e microcontro
na idea de lo m
or Freescale M
de los microc
to, se decidi
cabo el contr
controlador M
e Instruccione
tecturas ARM
de dispositivo
la organizac
tar las carac
pndice B de e
Encapsulado de
n circuito int
una computa
mao reducido
tivo dedicado
da; una vez co
ductos que in
neficios, redu
oladores supe
masivo que es
MCF51QE12
controladores
utilizar el m
rol de los dist
MCF51QE128
es Reducido)
M (Advanced
os como pue
cin de los
ctersticas el
este documen
el mdulo uVG
tegrado progr
adora, se emp
o, suele ir inc
o. En su mem
onfigurado y
ncorporan uno
ucir su tama
eran los millo
s el uso del m
28
s poseen cara
microcontrola
tintos mdulo
8 de 32 bits, e
de longitud v
d RISC Mach
rtos configur
pines fsica
ctricas y la
nto.

GA y asignacin
gramable de a
plea para cont
corporado en
moria solo re
programado
o o varios m
o, reducir c
ones de unida
microcontrolad
actersticas m
ador MCF51
os que conform
el cual posee
variable, su
hines). Adem
rables de entr
amente en el
descripcin
n de pines.
alta escala d
trolar el func
el propio sist
eside un prog
solamente sir
microcontrolad
costos y mejo
ades producid
dor en la actua
muy similare
QE128 de la
man al sistem
una arquitect
capacidad de
ms, cuenta c
rada/salida, te
Marco Te
l encapsulad
de cada pi
e integracin
cionamiento d
tema que gob
grama destin
rve para reali
dores con el f
orar su fiabi
dos en una sem
alidad.
s, aunque pa
a familia Flex
ma. En la figu
tura RISC Co
e procesamien
con los princ
emporizadore
erico
27
do del
in del
n, que
de una
bierna.
nado a
izar la
fin de
ilidad.
mana.
ara el
xis de
ura 3.7
oldfire
nto es
ipales
es, etc
Captulo 3



3.10.1 Ar
L
donde tod
memoria,

L
direccin
mayora d
realizar s
instrucci
Este tipo d




3
rquitectura
La arquitectura
dos los elem
etc.), estn im
La figura 3.8
de memoria
de los micro
simultneame
n, optimizand
de segmentac
Figu
Interna Col
a interna del
mentos del si
mplementado
representa u
es etiquetada
controladores
nte la ejecuc
do as la velo
cin es conoci
Figur
ura 3.7.- Micro
dfire V1
microcontrol
stema (temp
os como regist
un segmento
a con un nom
s aplican la t
cin de una
ocidad y el co
ido tambin c
ra 3.8 Fragme
ocontrolador M
lador MCF51
orizadores, p
tros etiquetad
de la pgina
mbre con el f
tcnica de se
instruccin
onsumo de cic
como Pipeline
ento de registro
MCF51QE128.
1QE128 est
puertos de en
dos; lo que ha
a de registro
fin de facilita
egmentacin,
y la bsque
clos necesario
e [13].
os de memoria

basada en ba
ntrada y sali
ace fcil su ide
os en memori
ar el acceso a
la cual perm
eda de cdig
os para realiz
a.
Marco Te
ancos de regi
ida, posicion
entificacin.
ia, en donde
a cada registr
mite al proce
go de la sigu
zar una instruc
erico
28
istros;
nes de
e cada
ro. La
esador
uiente
ccin.

Captulo 3

E
la arquite
optimizan
ejecucin
reloj, red


3.10.2 Or
L
regin pa
RAM con
registros d
permite ac
La figura
3
En la figura 3.
ectura Coldfir
ndo as la ejec
de operando
duciendo hasta
rganizacin
La memoria i
ara memoria d
n capacidad d
de perifricos
ccesar a un ra
3.10 muestra
9 se muestra
re V1 es apli
cucin de cad
mostrados en
a cuatro veces
F
de la Memo
nterna del M
de programa
de 8 KB, reg
s de acceso r
ango importan
a el mapa de m
Figur
el ncleo de
icada en dos
da instruccin
n la figura, ex
s el tiempo de
Figura 3.9. - A
oria
MCF51QE128
flash con ca
gin de mem
pido. La arqu
nte de direcci
memoria del m
ra 3.10. - Mapa
arquitectura
etapas conec
n. Tanto en e
xisten dos pro
e procesamien
Arquitectura Co
8 se encuentr
apacidad de 1
moria reservad
uitectura Cold
ones compren
microcontrola
a de memoria M
Coldfire V1.
ctadas por un
el segmento d
ocesos paralel
nto [13].
oldFire V1.
ra dividida e
128 KB, otra
da para regist
dfire V1 cuen
ndido de 0x(0
ador MCF51Q
MCF51QE128
La tcnica d
n bfer de m
de pre-bsqu
los que utiliza

en cuatro; en
regin para
tros de perif
nta con un bu
00)00_0000 a
QE128 [14].

8.
Marco Te
de segmentaci
memoria tipo F
eda como en
an un solo cic
donde exist
memoria de
fricos y regi
us de 24 bits l
a 0x(00)FF_F
erico
29
in en
FIFO,
el de
clo de
te una
datos
n de
lo que
FFF.
Captulo 3

E
aplicacin
utilizado.

Tabla 3.1
C
producen
reinicializ

3.10.3 Ca
L
desarrollo

N
M
M
O
Fu
T
C
2
2
M
S

3
El acceso a la
n real, la tab
Tipos de Acceso
X
Cualquier acce
un error de
zacin por exc
aracterstica
Las caracters
o de este sistem
Ncleo 50Mhz
Memoria no vo
Memoria RAM
Oscilador inter
uncin perro-
Temporizadore
Convertidor an
Interfaces de
Interfaces de
Mdulo de rp
oporta hasta 7
a memoria es
la 3.1 muestr
o permitidos por
Dato soporta
eso a regione
el tipo direcc
cepcin.
as Generales
sticas ms im
ma se encuen
z Coldfire V1
oltil tipo FLA
M de lectura y
rno
-guardin par
es.
nlogo digital
e comunicaci
e comunicaci
pido acceso de
70 lneas de p
st restringido
ra la memori
r regin
ado en la regi
es de memori
cionamiento
s.
mportantes d
ntran enlistada
.
ASH de 128K
y escritura con
ra y modos de
l (ADC).
n serial (SCI
n serial de pe
e 16 vas RGP
propsito gen
o segn el tip
ia y su acces
n -- Dato no

ia no compre
ilegal que p
de este micro
as a continuac
KB para alma
n capacidad d
e ahorro de en
I) asncrona c
erifricos (SP
PIO.
eral, configur
po de dato q
so permitido
o soportado e
endidas en lo
odra genera
ocontrolador
cin:
acenamiento d
de 8KB para a
nerga.
configurables
PI) de doble b
rables como e
que se quiere
en relacin
en la regin
os rangos des
ar en el siste
que se con
de programa.
almacenamien
de protocolo
bfer de mem
entrada/salida
Marco Te
manipular e
a tipo de lon

scritos en el m
ema un even
nsideraron pa

nto de datos.
UART.
oria.
a.
erico
30
n una
ngitud
mapa,
nto de
ara el
Captulo 3 Marco Terico
31

La lista completa de caractersticas, la descripcin del encapsulado y pines que posee el
microcontrolador se encuentran anexas en el apndice C.

Ncleo 50Mhz Coldfire V1.- Resulta el elemento ms importante del microcontrolador, es
tambin conocido como Unidad Central de Proceso (CPU) y determina sus caractersticas tanto a nivel
hardware como software. Se encarga de direccionar la memoria de instrucciones, recibir el cdigo de
operacin de las instrucciones en curso, la decodificacin y ejecucin obteniendo as distintos resultados
que la aplicacin programada requiere obtener.

Memoria de programa.- Est destinada a contener el programa con instrucciones que gobiernan la
aplicacin y se le puede escribir y borrar varias veces de forma elctrica. Esta memoria es tipo FLASH
de dos ciclos de acceso y de bajo consumo de potencia. El controlador de FLASH permite el acceso por
especulacin como tcnica de reduccin de tiempos y eficiencia en la ejecucin del programa.

Memoria de Datos.- Esta memoria se utiliza para el almacenamiento de datos y variables
contenidos en el cdigo de la aplicacin. Este tipo de memoria es voltil y se le conoce como memoria
RAM, La informacin que reside en ella es temporal y puede ser modificada en el transcurso de la
aplicacin. La RAM que posee este microcontrolador esta implementada sobre un bus de alta velocidad
ocupando solo un ciclo de acceso.

Lneas de Entrada y Salida.- Conocidos como puertos o pines de entrada y salida, su direccin es
programable en cdigo. Se utilizan como buses paralelos entre el microcontrolador y los dispositivos que
ste gobierna. El MCF51QE128 soporta hasta 70 lneas de entrada/salida, entre los cuales se encuentran
16 lneas RGPIO que funcionan de la misma manera, pero con respuesta a cambios de estado mucho ms
rpidos que en las lneas tradicionales. Las lneas RGPIO se utilizan para establecer buses paralelos con
dispositivos que requieren la informacin durante tiempos muy cortos.

Oscilador Interno.- El microcontrolador dispone de un circuito oscilador interno que genera una
seal cuadrada de alta frecuencia de 31.25Khz a 38.Khz o de 1Mhz hasta 16Mhz. Dicha frecuencia es
configurable por software y hardware y, permite controlar la sincronizacin y la velocidad de operacin
de las funciones del microcontrolador.

Temporizadores.- Se utilizan para controlar eventos en tiempos precisos, ya sea que se utilice
como un reloj disparador de la funcin o como un contador que permita que la operacin sea realizada
Captulo 3 Marco Terico
32

durante un tiempo establecido. Son comnmente utilizados para sincronizar seales y procesos entre
dispositivos.

Perro guardin y modos de ahorro de energa.- El perro guardin en cdigo es conocido como
watchdog y se encarga de producir una re-inicializacin del cdigo y todo el hardware del
microcontrolador si existe un fallo en algn temporizador. Los modos de ahorro de energa en el
microcontrolador permiten ahorrar energa y aumentar la vida de operacin del mismo. Los modos de
ahorro de energa en la mayora de los microcontroladores de Freescale, permiten llevarlo hasta un
mnimo de consumo cercano a los 6 A.

Convertidor Anlogo Digital.- Como su nombre lo indica son convertidores incorporados al
microcontrolador para la transformacin de seales digitales ceros y unos de voltaje discreto a seales
anlogas de voltaje continuo.

Interfaz de comunicacin serial (SCI) asncrona.- La interfaz SCI puede ser configurada para
transmitir en full dplex, donde la transferencia de informacin se realiza sobre dos lneas: TX
(Transmisin) y RX (Recepcin) en donde los datos se trasladan al ritmo de una frecuencia controlada
internamente por el mismo controlador. La frecuencia a la que se realiza la transmisin suele ser en un
valor normalizado en bits por segundo (ej. 2400, 9600, 38000, 115200, etc).

Interfaz de comunicacin de perifricos (SPI).- La interfaz SPI Incluye una lnea de reloj, una
lnea de dato entrante, lnea de dato saliente y un pin de chip select. El pin chip select permite conectar o
desconectar la operacin del dispositivo con el que uno desea comunicarse. De esta forma, se logra
cambiar el orden de esclavo a maestro o viceversa. Este tipo de comunicacin es sncrona, es decir,
requiere de una seal de reloj compartida para sincronizar los periodos de transmisin

3.11 Compilador CodeWarrior IDE
Codewarrior Development Studio es un software desarrollado por Controller Continuum para
compilar y depurar aplicaciones en microcontroladores de arquitectura RS08, HCS08, y Coldfire V1.
An cuando la aplicacin desarrollada sea sencilla, por ejemplo, en una arquitectura de 8 bits o hasta una
aplicacin compleja de 32 bits, Codewarrior ofrece las herramientas para facilitar la programacin,
depuracin y compilacin de cualquier aplicacin [15].

Captulo 3

E
con impo
aplicacion


3.11.1 Ca
A
la escritur

C
G
In
Pr
de
In
M
E
co

3
En la figura 3
ortantes carac
nes.
aracterstica
Algunas caract
ra, programac
Correccin de
Genera los arc
ncluye las libr
rovee asisten
e cdigo.
ncluye librera
Macros de ens
El compilador
ompilando c
.11 se muest
ctersticas que
as Generales
tersticas pers
cin y depura
sintaxis basa
hivos estnda
reras estnda
cia para migr
as estndar de
amblador par
provee detec
digo innecesa
tra la interfaz
e permiten al
Figura 3.11
s
sistentes por
acin del micr
da en las norm
ar ELF/DWA
ar de program
racin de cdi
e lenguaje C+
ra las familias
ccin de cdig
ario.
z visual del c
l desarrollado
. Codewarrio
las cuales se
rocontrolador
mas de progra
ARF para la eje
macin ANSI C
igo etiquetand
++ para arquit
s HCS08 y Co
go muerto per
compilador C
or optimizar
or IDE.
eligi el com
r se enlistan a
amacin ANS
ecucin y dep
C.
do en donde s
tecturas Cold
oldfire V1.
rmitiendo opt
odewarrior ID
y simplificar
mpilador Cod
a continuacin
SI C.
puracin de a
se requieren a
dfire V1.
timizar la mem
Marco Te
DE, el cual c
r el cdigo d

dewarrior IDE
n.
aplicaciones.
ajustes manua
moria no
erico
33
cuenta
de sus
E para
ales
Captulo 3 Marco Terico
34

En el nivel de depuracin posee un entorno grfico sencillo de usar con ventanas fciles de
comprender.
Ventana de seguimiento de cdigo en lenguaje C
Ventana de seguimiento de cdigo en lenguaje ensamblador
Puntos de ruptura para ayudar a resolver problemas sofisticados.
Emulador grfico del microcontrolador y su comportamiento fsico.
Despliegue grfico en tiempo real de valores y estructuras de datos.
Habilidad de programar la operacin de depuracin en la misma velocidad interna del
microcontrolador.

3.11.2 Processor Expert
Processor Expert es una herramienta de programacin basada en componentes pre-configurados
para cada funcionalidad que tenga el microcontrolador. La herramienta permite configurar los registros
internos con una ventana simple y utilizable con solo dar clicks en el ratn. Es posible generar cdigo a
partir de funciones preestablecidas con solo arrastrarlas a la seccin principal del cdigo. Los errores de
configuracin son etiquetados antes de que el desarrollador pueda compilar el cdigo dejando nula
posibilidad de error al compilar [15].

3.11.3 Requerimientos del Sistema.
Para poder utilizar el compilador es necesario contar con una computadora con los privilegios
para instalar y con las caractersticas de hardware y software siguientes.

Procesador Pentium de 1Ghz o superior.
Sistema operativo Windows XP o Vista.
Mnimo de 512MB de Memoria RAM.
2GB de memoria no voltil en disco duro.
Lector de CD-Rom para instalacin.
Puertos USB para comunicacin con el hardware de programacin.




Captulo 3

3.12 Micr
R
capaz de
aplicacin

M
para dise
programa

3
rosoft Visual
Recordando qu
adquirir im
n de software
Microsoft Visu
ar programa
en visual C#
l C# Develop
ue el objetiv
genes desde
que permita
ual C# mostr
as de comput
# por ser un en
Figu
ment Studio
o principal d
e un servido
interpretar y c
rado en la fig
tadora de ma
ntorno conoci
ura 3.12. Pla

del proyecto
or remoto en
configurar un
gura 3.12 ha s
anera fcil y
ido para cualq
ataforma de Vis
es la realizac
ncontramos n
n folder raz e
sido por muc
rpida. Tam
quier program
sual C# 2010.
cin de un si
necesario el
en una compu
ho tiempo un
mbin se ha d
mador que con
Marco Te
istema multim
desarrollo de
utadora.
na plataforma
decidido reali
nozca lenguaj

erico
35
media
e una
a ideal
zar el
je C.
Captulo 4


4.1 Introd
E
del sistem

4.2 Dise
E
por cuatro
como pro
Esta imag
desarrollo

L
adquisici
las etapas
comportam
desarrolla

4.2.1 Alg
E
de cada co

4
duccin
En este captu
ma de visualiz
o y Desarrol
El diseo del s
o principales
totipo para el
gen nos perm
o del proyecto
Fi
Las etapas m
n, sin embar
s. Es por ell
miento total
adas de visual
goritmo
El siguiente al
omponente.
METODOL
lo se darn a
acin de img
llo Modular d
sistema de vis
etapas o md
l sistema y q
mite observar
o.
igura 4.1. Dia
s importante
rgo, para que
lo que previ
del sistema
lizacin, adqu
lgoritmo fue
CA
LOGA Y D
a conocer las
genes adquiri
del Sistema
sualizacin de
dulos. En la
que finalmente
r las cuatro e
agrama de Blo
es del sistem
el sistema cu
o al diseo
a descrito a
uisicin, comu
elaborado pre
APTULO 4
ESARROLL
herramientas
idas va WPA
e imgenes de
figura 4.1 se
e termin por
etapas y su r
ques del Sistem
ma se debate
umpla con su
del sistema
continuacin
unicacin y d
evio al desarr
Metod

LO DEL SIS
s y metodolog
AN con un mic
esarrollado en
e muestra el
r ser desarrol
relacin con
ma Segmentad
en entre la e
u objetivo no
se desarroll
n. Posteriorm
de control.
rollo del siste
dologa y Des
STEMA
ga utilizadas
crocontrolado
n este proyect
diagrama de
llado sin ning
los materiale
do en etapas.
etapa de cont
puede prescin
un algoritm
mente se des
ema, consider
arrollo del Si
s para el desa
or.
to est confor
bloques plan
guna modifica
es utilizados

trol y la etap
ndir de ningu
mo prelimina
scriben las e
rando la oper
stema
36
arrollo
rmado
nteado
acin.
en el
pa de
una de
ar del
etapas
racin
Captulo 4

1. E
2. D
en
3. E
en
4. A
co
5. In
co
6. U
se
7. A
8. E
9. E
10. S

4.2.2 Eta
E
distintos t
la imagen
E
rectngulo
4
Encendido del
Dibujo de la p
n el microcon
El programa d
n el folder com
Alimentacin
omando que d
nteraccin Us
ontenidas en e
Una imagen s
ervidor, el nm
Adquisicin y
El envi de la
El usuario visu
e repite el pro
apa de Visua
En la figura 4
ttulos de las
n.
En esta parte d
o, borrado d
monitor y blo
pantalla inicia
ntrolador.
diseado en la
mpartido.
de ttulos a la
dispara la fun
suario - Pant
el folder raz
solicitada env
mero de ndic
filtrado de la
imagen al mi
ualiza la imag
oceso desde e
alizacin
4.2 se muest
imgenes con
del desarrollo
e pantalla, e
oqueo de se
al presentada
a computadora
a pantalla. El
ncin de escrit
talla. Aqu el
del servidor y
va un nme
ce identifica l
a seccin de im
crocontrolado
gen requerida
el punto 3.
tra la interfaz
ntenidas en e
Figura 4.2
o de la interfa
escritura de t
ales de sincro
al usuario. C
a adquiere un
l microcontro
tura de texto.
l usuario pue
y puede mand
ro de ndice
la imagen cor
magen corresp
or con el form
en pantalla.
z grfica don
l servidor y d
2. Interfaz gr
faz se utilizaro
texto y dibuj
Metod
onizacin hor
Cada elemento
na lista de ttu
olador da for
ede visualizar
darla llamar.
generado en
rrespondiente
pondiente en
mato ideal par
nde el usuar
donde tambi
fica.
on las funcio
jo de imagen
dologa y Des
rizontal y vert
o previamente
ulos de las im
rmato al texto
r los nombre
n el microco
.
el programa.
ra ser despleg
rio podr des
n se podr pr

ones de autob
n del control
arrollo del Si
tical.
e escrito en c
mgenes conte
o y lo concate
es de las im
ontrolador ha

gado en panta
splazarse entr
reguntar y ad
baudrate, dibu
lador. Los co
stema
37
cdigo
enidas
ena al
genes
cia el
lla.
re los
dquirir
ujo de
olores
Captulo 4

disponible
suelen ser

L
BLUE1:B
DAC para

P
el DAC d

E
conectado
como en u
para la fig

E
interfaz vg

4
es para el dib
r representado
Las seales q
BLUE2, HSY
a facilitar la in
or otro lado e
e conversin
En la figura
os a un bus d
una autopista
gura 4.3; el bu
El DAC perm
ga, es necesar
bujo de estos
os en un byte
que el contro
YNC, VSYNC
nterpretacin
en las figuras
entre interfac
Figura 4.3.
4.3 antes vis
de doble senti
a de dos sentid
us desemboca
ite convertir
rio adquirir la
elementos e
con valores e
lador entrega
C y BLANK
por parte del
4.3 y 4.4 se m
ces, respectiva
Tarjeta de vid
sta, se puede
do, es decir,
dos. La figura
a en un DAC
la seal digi
a seal de las
estn limitado
entre 0x00 y 0
a a sus salid
(R,G,B). Est
l monitor, com
muestra el dia
amente.
deo con conexi
e observar la
por este bus
a 4.4 muestra
anlogo hech
tal a una se
tres compone
Metod
os a los 256 c
0xFF.
das son: RED
tas salidas es
mo se mencio
agrama de con
iones al bus de
a tarjeta de
se representa
a a donde va a
ho por resisten
al anloga, p
entes de color
dologa y Des
colores que s
D0:RED2, G
stn conectad
on en la secc
nexiones de la

el DAC.
video y tod
an flujos de e
a comunicar
ncias.
para el caso d
r, azul, verde
arrollo del Si
soporta la tarj
GREEN0:GRE
das a travs
cin 3.7.2.
a tarjeta de vi
dos sus perif
entrada y sali
el bus mencio
de un monito
y rojo.
stema
38
rjeta y
EEN2,
de un
ideo y
fricos
ida tal
onado
or con
Captulo 4


P
unidad de
interfaz e
para luego

4
or otra parte,
e control (figu
s serial asncr
o ser interpret
Figura 4.4.
, en la tarjeta
ura 4.5) sea un
rona y cuenta
tados por la li
Figura 4.5.
Conversin di
a de video, s
n microcontro
a con dos lne
ibrera interna
. Conexiones
igital-anloga a
e encuentra l
olador o un m
eas: TX y RX
a del mdulo
s interfaz serial

Metod
a conector VGA
la interfaz qu
microprocesad
X que permite
uVGA-MD1
l de la tarjeta u
dologa y Des
A DB15.
ue comunica
dor (AVR, PIC
en a la tarjeta
1.

uVGA.
arrollo del Si
a la tarjeta c
C, ARM, etc.
a recibir com
stema
39

con la
).Esta
mandos
Captulo 4 Metodologa y Desarrollo del Sistema
40

Para que el monitor pueda operar, la tarjeta de video uVGA responde a una serie de bytes que
disparan las funciones de su librera interna, tal como se describe en su funcionamiento en la seccin
3.8.2.

Entonces, para encender el monitor se dispara la funcin autobaudrate en la tarjeta al recibir por
su interfaz serial el dato 0x55. La funcin autobaudrate provoca el bloqueo de las seales de
sincronizacin vertical y horizontal para la resolucin de 640x480 seleccionada en esta aplicacin. Las
seales vertical y horizontal que genera la tarjeta cumplen con los tiempos especificados para VGA de
dicha resolucin. Esta funcin debe ser disparada antes que cualquier otra funcin. La especificacin de
las seales VGA se encuentran anexas al apndice D de este documento.

Seguido de la funcin autobaudrate desde el microcontrolador se envan comandos y parmetros
para dibujar la interfaz de usuario. El comando dibujo de rectngulo responde a la sintaxis cmd,
x1(msb:lsb), y1(msb:lsb), x2(msb:lsb), y2(msb:lsb), color en donde el dato 0x72 dispara la funcin,
seguido de las coordenadas de inicio y fin del rectngulo y el color deseado.

Una vez dibujados los rectngulos necesarios, se escriben las lneas de texto que responden a la
sintaxis cmd, column, row, font, colour, char1,.., charN, terminator en donde el comando 0x73 dispara la
funcin, seguido de la columna y el rengln donde se quiere iniciar la cadena. Para indicar a la tarjeta el
fin de la cadena se utiliza el valor 0x00 en el parmetro terminator.

Para adquirir una imagen en la etapa de adquisicin es necesario conocer el formato que el
controlador uVGA-MD1 puede desplegar. Dicho formato es el llamado BGR 2:3:3 cuya organizacin de
bits se representa del bit ms significativo al menos significativo por 2 bits para el color azul, 3 bits para
el color verde, y 3 bits para el color rojo. Cabe mencionar que dicho formato es poco comn en paletas de
colores, ya que, es tpico encontrar paletas definidas en orden RGB de 24 bits donde la representacin es
de 8 bits para cada color. Aqu la etapa de adquisicin realiza el proceso de entendimiento y conversin
de cada pixel a su formato de 8bits BGR.

Durante la interaccin con el usuario las distintas funciones del microcontrolador son llamadas y
utilizadas de manera repetida creando cambios en el texto o imgenes desplegadas en la pantalla. La
manera en que las cadenas de datos son recibidas por el controlador de video han sido previamente
tratados por el microcontrolador en la etapa de control.

Captulo 4

4.2.3 Eta
E
desarrolla
puede adq

A
disponible
La lista
automtic
interpreta

L
los nombr
genera o a
del sistem

U
quedando

E
del coman
la imagen
4
apa de Adqu
Es la etapa e
ado en Visual
quirir.
Al correr el p
es. Las imge
es adquirida
camente cada
da por el siste
La directiva di
res asignados
actualiza el d
ma de visualiz
Una vez adqui
o listo para la
El programa B
ndo autobaud
n adquirida.
uisicin
encargada de
C# permite
programa lo
enes que pued
a desde un
a 30 minutos
ema de coman
ir /b *.bmp b
s a las imge
documento de
acin de img
irida la lista d
transmisin d
BMPSender.ex
drate (0x55), e
e colocar a
tener control
primero que
den ser manip
documento
s con la sin
ndos MS-DO
busca en el m
enes siempre
texto con la
genes a la que
de nombres s
de la misma p
xe mostrado
el comando d
Figura 4.6
la computad
l sobre el fold
e hace es ad
puladas por el
de texto ge
ntaxis dir /b
OS de la comp
mismo directo
y cuando su
lista de nomb
e se ha nombr
e convierte la
por la etapa de
en la figura 4
de borrado de
. BMPSende
Metod
dora en estad
der que contie
dquirir la lis
l programa so
enerado por
*.bmp >file
putadora.
orio donde se
extensin se
bres que lueg
rado BMPSen
a cadena de d
e comunicaci
4.6 contiene b
e pantalla (0x4
er.exe.
dologa y Des
do de servid
ene las imge
sta de ttulos
on de extensi
un archivo
elist.txt. La s
encuentra el
ea .bmp. La s
go es adquirid
nder.exe.
datos a un arr
n.
botones manu
45) y un bot
arrollo del Si
dor. Un prog
enes que el us
s de las im
n .bmp de 24
batch que
sintaxis pued
l archivo batc
sintaxis >filel
da por la aplic
reglo de cara
uales para el
n para el env
stema
41
grama
suario
genes
4 bits.
corre
de ser
ch por
list.txt
cacin
acteres
envi
vo de

Captulo 4


E
una veloc

E
buscar la


L
imagen re
imagen b
siguientes

L
como una
primeros
archivo y

4
El botn Con
idad de 1152
El botn Bro
imagen que s
La parte ms
epresenta una
itmap de 24
s prrafos.
La estructura b
a imagen bitm
bytes que id
la direccin d
nnect permite
00 baudios, 8
owse abre u
se desee envia
Figura 4.7.
importante d
a gran cantid
bits por defi
bitmap file h
map. Las letra
dentifican a l
de inicio de lo
e abrir el pue
8 bits de bloqu
una segunda v
ar manualmen
- Ventana de B
del programa
ad de bytes f
inicin contie
header contien
as B y M en
la imagen. Su
os pixeles que
erto serial de
ue, sin bits de
ventana auxi
nte hacia el si
Bsqueda para
radica en la
fue necesario
ene 3 estructu
ne los bloque
ASCII o el d
ucesivo a est
e corresponde
Metod
la computado
e paridad y po
iliar mostrada
stema de visu
a abrir archivos
adquisicin
o comprender
uras de datos
es de informa
dato 0x42 0x4
tos dos byte
en a la imagen
dologa y Des
ora, configura
or el puerto C
a en la figur
ualizacin de
s .bmp.
de la imagen
r el contenido
s; las cuales
acin que ide
4D en hexade
s se encuent
n.
arrollo del Si
ado en cdigo
COM5.
ra 4.7 que pe
imgenes.

n. Debido a q
o de la mism
se explican e
entifican al ar
ecimal son lo
tran el tama
stema
42
o para
ermite
que la
ma. La
en los
rchivo
os dos
o del
Captulo 4 Metodologa y Desarrollo del Sistema
43

La estructura bitmap info header contiene los bloques de informacin sobre las propiedades de la
imagen, bytes de datos que nos dicen la resolucin horizontal, la resolucin vertical, el nmero de bits por
pixeles, el mtodo de compresin, entre otros tantos datos de propiedades que se pueden encontrar en este
bloque.

La estructura bitmap line contiene los bloques por pixeles de la imagen, la longitud de este bloque
es proporcional a la cantidad de pixeles que contiene la imagen por el formato utilizado. En el caso de una
imagen bitmap 24-bits de 200x150 pixeles la estructura contiene:

(200x150pixeles)(24bits)/(8 bytes) = 90000 bytes.

La estructura de una imagen .bmp de 24 bits nos dice que el inicio de la imagen est ubicado en el
byte 54 de toda la imagen. Utilizando este dato es posible tomar solo la imagen omitiendo las estructuras
de identificacin y de informacin, para poder almacenarla en un arreglo de bytes listo para ser
transmitido por la etapa de comunicacin. El cdigo del programa y la estructura de un bitmap de 24 bits
se encuentran en el apndice E y F de este documento.

4.2.4 Etapa de Comunicacin
La etapa de comunicacin est encargada de transmitir de manera inalmbrica los nombres de las
imgenes y los comandos creados para hacer un sistema de visualizacin de imgenes autnomo. La
transmisin es sencilla pues utiliza el protocolo de comunicacin asncrono UART haciendo sencilla su
configuracin tanto en software como hardware.

La computadora se conecta por su puerto serial denominado COM hacia un mdulo transceptor
RF-BTMX417 que transmite la informacin a otro mdulo del mismo tipo por protocolo Serial Bluetooth.
El mdulo que recibe la informacin se conecta por el puerto serial hacia el microcontrolador
entregndole los datos requeridos. La figura 4.8 muestra grficamente el proceso de la etapa de
comunicacin.

Captulo 4


4.2.5 Eta
E
mismo pa

L
conectado
al usuario
botn adq

E
planteado
C, estable
funcin as

E
el arreglo
de los da
parmetro
4
apa de Contr
Esta etapa es r
ara gobernar l
La interfaz fs
os a tierra y c
o mover los t
quirir. Tamb
El microcontr
anteriorment
eciendo que
signada.
En el caso de l
los nombres
atos para des
os necesarios
Figur
rol
representada
os dispositivo
sica del usuar
configurados c
ttulos de las
in se agreg
olador decid
te. Las funcio
si alguno de
los botones d
s de las imge
plegar un tit
para que la ca
ra 4.8. Etapa
fsicamente p
os externos, m
rio para com
con resistenci
s imgenes ha
un botn de
e en que tie
ones de los b
los botones
e desplazami
enes que deb
tulo, aadiend
adena de text
a de comunicac
por el microc
manipular y tr
municarse con
ias pull-ups e
acia arriba o
reinicio.
empo utilizar
otones son ve
se encuentra
ento, la funci
e transmitir. U
do a cada no
to pueda ser in
Metod
cin Bluetooth.
controlador y
rasladar la inf
n la pantalla e
en el microco
hacia abajo
r algn dispo
erificadas con
a en nivel lg
in interna de
Un procedim
ombre de im
nterpretada po
dologa y Des

.
y aprovecha la
formacin.
est conform
ontrolador, los
y selecciona
ositivo, sigui
n condiciones
gico cero ent
e la condicin
miento de cd
magen los byt
or el controla
arrollo del Si
as capacidade
mada por 5 bo
s botones per
arla al presion
endo el algo
s IF del len
tonces realiz
n IF identifi
igo hace el tr
tes de coman
ador.
stema
44
es del
otones
rmiten
nar el
oritmo
nguaje
ar la
fica en
ratado
ndo y
Captulo 4 Metodologa y Desarrollo del Sistema
45

Los nombres de las imgenes llegan a la memoria RAM del microcontrolador por medio de una
rutina inicial encargada de solicitar la lista a la etapa de adquisicin por el puerto serial. La capacidad de
memoria RAM en el microcontrolador ha sido planeada con la intencin de mantener un arreglo de
capacidad de hasta 3000 caracteres. Si consideramos un promedio de 12 caracteres por nombre de la
imagen(ej. imagen_1.bmp) podramos almacenar una lista de hasta 250 imgenes accesibles en el
servidor.

Al igual que los botones de desplazamiento, el botn de borrado de imagen, verifica que est
presionado para transmitir al controlador de video el comando de dibujo de un cuadrado negro que
sobrescribe pixeles sobre la imagen mostrada anteriormente.

El botn adquirir de la interfaz tiene un comportamiento distinto al adquirir las imgenes, debido
a que para almacenar una imagen de 200x150 pixeles en memoria se requieren de 30KB y no se cuenta
con una memoria adicional. Por lo tanto, se dise una subrutina que traslada los datos recibidos por el
puerto serial 1 del microcontrolador hasta el puerto serial 2 del mismo, que se encuentra conectado al
controlador de video. Al requerir una imagen en el microcontrolador no se tiene relacin entre la lista de
nombres y el archivo de la imagen por lo que se diseo una variable ndice que se asigna tanto a cada
nombre como a cada imagen para establecer la relacin. El nmero de ndice viaja hasta el programa en el
servidor y solicita la imagen correspondiente.

La manipulacin de las grandes cantidades de datos que pasan por el microcontrolador pueden ser
manipuladas en infinidad de formas permitiendo jugar con el diseo planteado originalmente. Tambin es
posible optimizar el tratado de los datos agregando bancos de memoria que permitan almacenar imgenes
completas en la unidad de control. Estas son algunas discusiones que se retoman en la seccin de
conclusiones que adems propone posibles mejoras al diseo del sistema.







Captulo 4

4.2.6 Con
L
pues en es
En la figu
que se enc
U
identificad
en el folde
L
ttulos dir
carcter id
con cdig
posible de
4
nexin e Inte
La integracin
sta radica el o
ura 4.9 se mue
carga de dibuj
Una vez dibu
dor al softwa
er compartido
La lista obteni
rectamente de
dentificador,
go el final de
esplazar en pa
egracin de
n de las distint
orden de los p
estra el diagra
ujar la interfaz
Fig
ujada la inte
are BMPSend
o.
ida es manipu
esde un arreg
en este caso
cada nombre
antalla los dis
las Etapas.
tas etapas que
procesos inter
ama de estado
z grfica para
gura 4.9.- Diagr
erfaz grfica
der.exe solicit
ulada en el es
glo (figura 4.
el valor de 0
e de imagen,
stintos ttulos.
e conforman a
nos. Se utiliz
os del sistema
el usuario.
rama de estado
en el mon
tando la lista
stado de obte
.10). El arreg
0x20 hexadec
de tal maner
.
Metod
al sistema est
zo una metodo
a, en donde pr
os del sistema
nitor, el mic
de los nomb
encin de ttu
glo contiene e
cimal; con di
ra que al hace
dologa y Des
t basada en la
ologa de cont
rimero, existe
crocontrolador
bres de las im
ulos en donde
entre cada tt
icho valor es
er un corrimie
arrollo del Si
a etapa de con
trol por estad
e un estado in
r manda un
mgenes conte
e se accede a
tulo de imag
posible ident
ento del arreg
stema
46
ntrol,
dos.
nicial,
byte
enidas
a estos
en un
tificar
glo es
Captulo 4

A
nuevo un
como un
imagen co
microcont
la tarjeta
imagen.
F
se puede
aplicacin
E
de los do
lista de n
permite m
el puerto s
E
sucede en
procesado
cuentan c
conexin

4
Al presionar e
byte identifi
numero de n
orrespondient
trolador, el m
de video para
inalmente, la
repetir el pr
n.
En la figura 4.9
s tipos de for
nombres de l
manipularla. E
serial SCI1 ha
En la parte fs
n una computa
or (ej. la mem
on los compo
de los mismo
F
el botn adqu
icador a la ap
ndice seguido
te. Al cargar
microcontrolad
a ser dibujada
aplicacin de
roceso de sol
9 mostrada an
rmato que m
as imgenes
En el caso de
asta el puerto
sica ste es un
adora donde e
moria RAM,
onentes neces
os.
Figura 4.10.- A
uirir, despus
plicacin BM
o de su longit
la imagen la
dor permite q
a en pantalla;
e la etapa de c
licitar una im
nteriormente,
anipula el sis
contenidas e
recibir una im
o serial SCI2 d
n sistema int
es fcil integr
el disco dur
sarios para fun
Arreglo de lista
de haber sel
MPSender.exe
tud; de esta m
a convierte en
que la imagen
; a esta etapa
control regres
magen indefin
tambin se m
stema. Al rec
en el servido
magen .bmp,
debido princip

tegrado por m
rar e incluso
ro, la tarjeta
ncionar en su
Metod
a de ttulos
leccionado un
, el programa
manera el pro
n un arreglo d
n que est reci
a se le conoce
sa al estado d
nidamente, h
muestra al cen
cibir un docum
or la lista se
, la imagen es
palmente a la
mdulos dedic
actualizar los
de video, etc
u misma tabli
dologa y Des
n titulo de im
a interpreta e
ograma busca
de bytes y la
ibiendo pase
e como estado
de obtencin d
hasta que el u
ntro una repre
mento de tex
almacena en
s trasladada d
a incapacidad
cados a cada
s dispositivos
c). Los mdu
illa lo que hac
arrollo del Si
magen, se env
el valor que r
a el titulo y a
transmite ha
directamente
o de desplieg
de ttulos, en d
usuario reini
esentacin del
xto que contie
n memoria, l
directamente
de retenerla.
funcin, tal
gobernados
ulos seleccio
ce an ms f
stema
47
va de
recibe
abre la
acia el
hasta
gue de
donde
cie la
l flujo
ene la
o que
desde
como
por el
nados
cil la
Captulo 4

U
un circuit
computad

F

E
diseada
transistore
seguido d
document

F
transcepto




4
Una serie de b
to de adapta
dora a niveles
igura 4.11. C
En la intercon
(Figura 4.11
es para dise
de un conec
to).
inalmente, pa
or solo se util
buses seriales
acin de volt
TTL evitand
Circuito de adap
nexin existe
) por el mis
ar un DAC y
tor DE15 V
Figura 4.12. -
ara la conex
izaron cables
interconectan
tajes (Figura
do daos al co
ptacin de nive
ente entre el
smo desarroll
y convertir la
VGA (Esquem
- Base de tarjet
in entre el
de cobre com
n al sistema, e
4.10) para r
omunicarse co
eles de voltaje
monitor y e
lador del con
as seales dig
mtico se en
ta de video uVG
controlador
mo vas de co
Metod
en el caso de
regular las s
on el transcep
entre Puerto C
l controlador
ntrolador que
gitales RGB
ncuentra en l

GA con conect
de video uV
municacin s
dologa y Des
l servidor-tra
salidas del p
ptor.

COM y mdulo
r de video se
e tiene solda
a componen
las figuras 4
tor VGA.
VGA, el micr
serial.
arrollo del Si
ansceptor se re
puerto COM
o Bluetooth.
e utiliz una
ados resistenc
tes anlogas
4.3 y 4.4 de
rocontrolador
stema
48
ealiz
de la
a base
cias y
VGA
e este
r y el
Captulo 4

4.3 Metod
P
pruebas a
adquiridas

4.3.2 Pru
L
del mism
correspon
Docklight
secuencia
fsica se tu
niveles l


4.3.3 Pru
D
intento de
proceso d
cumplir c
Docklight
propio y s

4
dologa de D
ara comprob
a cada uno d
s desde un ser
uebas del Co
La primera pru
mo. Se desp
ndiente al com
t para uso de
as a trasmitir,
uvo que adap
gicos TTL.
uebas de Dib
Despus de co
e dibujar una
de conversin
on la convers
t para transmi
sin restriccion
esarrollo
bar y garantiz
e los mdulo
rvidor remoto
ontrolador P
ueba realizad
plegaron en
mando y par
el puerto seria
adems de v
ptar utilizando
Figura 4.13.-
bujo de Imag
omprobar qu
a imagen com
y adquisicin
sin y la trasm
itir ms de 10
nes en cuanto
zar el funcio
os que en co
o.
Picasso uVGA
da con el con
pantalla alg
metros de ca
al de la comp
ver los resulta
o un circuito p
- Demo de la t
gen en Panta
ue el controla
mpleta. Debid
n de la image
misin de los
024 bytes sug
a la cantidad
onamiento co
onjunto forma
A-MD1.
ntrolador de v
gunos dibujo
ada funcin f
putadora. Do
ados de respu
previamente d
tarjeta, verifica
alla.
ador funciona
do a la carenc
en, se realizar
s datos por el
iri la realiza
d de bytes que
Metod
orrecto del p
an el sistema
video fue la v
os (Figura 4
fue realizada
ocklight es un
uesta en una c
diseado para
acin de funcio
aba con dibu
cia de un pro
ron dos progra
l puerto seria
acin de un pr
e pueden ser tr
dologa y Des
prototipo se r
a de visualiza
verificacin d
4.13). La se
a directamente
n programa q
comunicacin
a adaptar los n
onalidad.
ujos simples
ograma capaz
amas en visua
al. La incapac
rograma de co
transmitidos.
arrollo del Si
realizaron al
acin de im
de la funciona
ecuencia de
e con el prog
que permite g
n serial. La in
niveles de vol
se hizo el p
z de hacer to
al C# que pud
cidad del prog
omunicacin
stema
49
gunas
genes
alidad
datos
grama
grabar
nterfaz
ltaje a

primer
odo el
dieran
grama
serial
Captulo 4

4.3.4 Err
L
pretendi
imposibili
contenida


4.3.5 Con
S
sustituir la
y se utiliz
como la
resolucin
Fi


4
rores de Pru
Las primeras d
mandar una
idad de dibuj
a en foros del
ntrolador de
e adquiri un
a tarjeta de v
zaron librera
pantalla dig
n RGB565; as
igura 4.15.- Im
ueba con el C
dificultades s
a imagen bit
ujar una imag
desarrollador
Figura 4.1
e Video Solo
n controlado
ideo plantead
as existentes
ital. Los for
s como una p
mgenes de las
Controlador
se dieron cua
tmap de 8 b
gen con los
r del controlad
14.- Prueba fall
omon SSD19
r de video d
da en primera
en internet p
rmatos de im
paleta de colo
pruebas realiz
de uVGA-M
ando al dar f
bits generada
colores corre
dor provoc l
lida, paleta de
963 y Pantall
distinto y una
a instancia. Po
para hacer fun
magen en es
ores de hasta 6
zadas con el con
Metod
MD1
formato de la
a por el prog
ectos (Figura
la bsqueda d
colores equivo
la TFT de 7
a pantalla di
osteriormente
ncionar el nu
ste controlado
65000 colores
ntrolador SSD
dologa y Des
a imagen de
grama paint
a 4.14) y la p
de soluciones
ocada.
como Alte
igital de 7 (
e, se realiz c
uevo controla
or tenan ca
s.
D1963 y la pant
arrollo del Si
manera manu
de Microsof
pobre inform
alternas.

ernativa.
(Figura 4.15)
cdigo no exi
ador propuest
apacidad de
talla TFT 7
stema
50
ual se
ft. La
macin
) para
stente
to; as
mejor

Captulo 4

4.3.6 Com
U
comunica
se proced
el puerto s
4.3.7 Com
C
voltaje, pe
del transc
sencilla la
poco ms
microcont
4
municacin
Utilizando los
ara desde una
di a realizar l
serie, con el f
Figura 4
municacin
Conectar el tra
ero facilita la
ceptor al micr
a parte fsica
s compleja. P
trolador y ver
Bluetooth
s transceptore
computadora
la lectura en
fin de verifica
4.16.- Pantallas
Figura 4.17.-
Bluetooth d
ansceptor a u
a configuraci
rocontrolador
pero la conf
Por esta raz
rificar que la
es RF-BTMX
a una cadena d
otra computa
ar una comun
s de resultado d
- Conexiones e
de Computad
una computad
n de velocid
no se requier
figuracin por
n, fue neces
comunicacin
X417 de MD
de datos hacia
adora (Figura
icacin ptim
de comunicaci

en Protoboard d

dora a Micro
dora requiere
dad, paridad y
re una adapta
r software de
sario compro
n fuera exitos
Metod
DFLY se rea
a un nodo del
a 4.16). stos
ma (Figura 4.1
n con mdulo
de mdulos Bl
ocontrolado
e de un circui
y bits que se
acin de nivel
e la velocidad
obar la opera
sa en todo sen
dologa y Des
aliz una pru
l transceptor y
fueron conec
17).
os RF-BTMX4

luetooth.
or.
ito de adapta
desean mand
les de voltaje
d en un micro
atividad del t
ntido (Figura
arrollo del Si
ueba en la q
y, con el otro
ctados siempr
417.
acin se nivel
dar. En la con
e por lo que e
ocontrolador
transceptor c
4.18).
stema
51
que se
o nodo
re por

les de
nexin
es ms
es un
con el
Captulo 4


4.3.8 Eje
E
de la info
pretenda
microcont
simulacio

4
Figura
rcicios de C
El manejar gra
ormacin en l
manipular ar
trolador (Figu
nes y al final
Figura 4.1
a 4.18.- Conexi
Control de D
andes cantidad
os sistemas, e
rreglos y alm
ura 4.19 y F
condujeron a
19. - Imagen qu
in Computado
atos
des de datos
es por eso qu
macenar caden
igura 4.20). D
al planteamien
ue representa e
ora-Bluetooth y
con un micro
ue se realizaro
nas de caracte
Diversos resu
nto de un algo
el arreglo de ca
Metod
y Bluetooth-M
ocontrolador v
on distintos c
eres en arregl
ultados fallid
oritmo ptim
ada carcter de
L
dologa y Des
Microcontrolado
vuelve compl
cdigos de pr
los de bytes
dos o exitosos
mo para el siste

la lista de nom
ista de nombr
arrollo del Si
or.
lejo el flujo n
rueba en los q
internamente
s se obtuvier
ema.
mbres.
res
stema
52

natural
que se
en el
ron en
Captulo 4


4.3.9 Reg
L
una soluc
sobre los
desde una
en cdigo
el proyect

4
Figur
greso al Con
La imposibilid
in a los prob
formatos de i
a imagen .bmp
o. Las pruebas
to con este co
4
ra 4.20. - Imag
ntrolador uV
dad de adquir
blemas encon
imgenes y p
p de 24 bits a
s correspondi
ontrolador (Fi
4.21. - Desplieg
gen representa
VGA-MD1
rir algn otro
ntrados en la
aletas de colo
a una imagen
ientes a la sol
gura 4.21).
gue de imagen
ndices adquiri
controlador
tarjeta uVGA
ores se encont
BGR de 8 bi
lucin arrojar
n con la paleta d
Metod
idos para el con
por tiempo y
A-MD1, tras
ntr que la sol
its utilizando
ron buenos re
de colores BGR
Indic de
cada titul
Indic d
dologa y Des
ntrol de ttulos
y dinero, hizo
consultar de
lucin al prob
mascaras y c
esultados, perm
R correcta.
e longitud por
lo
de ttulos
arrollo del Si

s.
o retomar y b
nuevo la lite
blema era con
orrimientos d
mitiendo con
r
stema
53
buscar
ratura
nvertir
de bits
ntinuar

Capitulo 5 Resultados y Conclusiones
54

CAPITULO 5
RESULTADOS Y CONCLUSIONES

5.1 Conclusiones
El desarrollo final del prototipo de un sistema de visualizacin de imgenes cumple con el
objetivo de adquirir las imgenes desde un servidor remoto. El proyecto logr el objetivo de comunicar
dos mdulos para poder transferir imgenes y result una herramienta eficaz que permite la interaccin
del usuario con su computadora desde un lugar remoto. Este sistema puede alcanzar hasta los 100 metros
de distancia con un mdulo Bluetooth clase 1. Lo que proporciona grandes beneficios en cuanto a costo,
espacio y aplicaciones que se le pueden dar al sistema.

Recordamos que en el planteamiento del problema se sembr la idea de utilizar este sistema como
un sistema de ayuda para ser operado en las lneas de produccin de una maquiladora, o como un sistema
publicitario de noticias en una universidad. Con el sistema realizado en este trabajo, se puede observar
que es posible la incursin de un producto con estas caractersticas en distintas aplicaciones.

Finalmente, se cumple con el objetivo principal proyecto, habiendo realizado un sistema operante
capaz de realizar las funciones establecidas. Su manejo es sencillo y cmodo con la posibilidad de
mejorarlo en distintas funciones. Otro de los logros obtenidos al realizar este proyecto, fue el de
establecer un antecedente en la realizacin de una interfaz grfica que podra ser retomado para su
implementacin en otra gama de aplicaciones.

5.2 Trabajo a Futuro
El uso de mdulos con interfaz serial en el sistema hace que ste se vuelva ms verstil y simple,
adems posibilita la actualizacin continua del mismo, siempre y cuando se sigan desarrollando
actualizaciones en cada uno de los mdulos que integran el sistema. Entre estas actualizaciones se
contempla: el desarrollo de un mejor transceptor de Bluetooth o un controlador con mejor resolucin y
capacidad de dibujo.

Aunque la idea principal de este proyecto fue la de adquirir las imgenes desde una computadora
como servidor de imgenes, es posible pensar, en no slo utilizar una computadora y realizar una red de
sistemas que compartan las imgenes entre ellos mismos.

Capitulo 5 Resultados y Conclusiones
55

La integracin de memorias externas en el sistema mejorara las capacidades que el sistema puede
ofrecer al usuario, pues con una memoria lo suficientemente grande se podran incluso solicitar
animaciones grficas.


56

REFERENCIAS

[1] Comunicaciones en Redes Wlan: Wifi, Voip, Multimedia, Seguridad, David Roldn
Martnez, Jos M. Huidobro Moya, Creaciones Copyright, Europa Empresarial 2006.
[2] Coexistencia De Redes Wlan & Wpan, Luis Fernando Valle Islas, Universidad de las
Amricas De Puebla, Licenciatura en Ingeniera Electrnica y Comunicaciones, 13 de Mayo
2005.
[3] IEEE Approves IEEE 802.15.1 Standard for Wireless Personal Area Networks Adapted
from the Bluetooth Specification Ian Gifford, Dr. Chatschik Bisdikian, Bob Heile, Karen
Mccabe, Http://Standards.Ieee.Org/Announcements/802151app.Html
[4] Reaffirmation of IEEE 802.15.1-2005 John R. Barr, Jrbarr, Ltd. & Bluetooth Sig, 21939
Old Farm Road, Deer Park, Il 60010 Usa.
[5] Overview of Bluetooth Technology, Hongfeng Wang, Pennstate, Dept. of Electrical
Engineering State College, Pa16802, Julio 2001.

[6] Comparison Of The Ieee 802.11, 802.15.1, 802.15.4 And 802.15.6 Wireless Standards,
Jan Magne Tjensvold, 2007, Http://Janmagnet.Wordpress.Com/

[7] Hardware and Software Implications of Creating Bluetooth Scatternet Devices, David
Johnson,

[8] A History of the GUI, By Jeremy Reimer, Ars Technica , May 5 2005,
[9] Video Digital, Mario Rubiales Gmez, Antonio Bentez Corbacho, Ediciones Anaya
Multimedia, 2006

[10] Introduction to Graphics and Lcd Technologies, Nxp Product Line Microcontroller,
Febrero 2009.

[11] Microcontroladores PIC Diseo Practico de Aplicaciones Primera Parte. Pic16f84, Jos
M. Angulo Usategui E Ignacio Angulo Martnez, Tercera Edicin, Editorial Mc Graw Hill,
2003

[12] V1 Coldfire Core Architecture, Coldfire Embedded Controllers, Document Number:
Coldfirev1crfs, Www.Freescale.Com/Coldfire.

[13] Version 1 Coldfire White Paper. Freescale Semiconductor, Document Number: V1cfwp,
White Paper, Rev. 0, 07/2006

[14] MCF51QE128RM, Coldfire Microcontroller Datasheet, Freescale.

[15] Codewarrior Development Studio, for Microcontrollers V6.3, Controller Continuum,
Freescale Semiconductor, Inc. 2009, www.Freescale.com

57















APNDICE A
HOJA DE DATOS DE MDULO TRANSCEPTOR BLUETOOTH RF-BTMX417





















Blue etooth
Wirele

ess TTL Transcceiver M
M
w
Modules
Model: RFBTM
www.MDFLY
58

MX417
Y.com




59



60




61



Note: When the Bluetooth module es are paired, the D2 LED wwill stay on, or r it will stay flas
62
shing.




63















APNDICE B
HOJA DE DATOS DE TARJETA DE VIDEO PICASSO uVGA-MD1


















64






USERS MANUAL
VGA PICASO MD1
Tiny VGA Graphics Controller QVGA, VGA,
SVGA
Revision 1.2
4D Systems

65

1 Features
The VGA-PICASO-MD1 module is aimed at being integrated into a variety of
different applications using a wealth of features designed to facilitate the designer to
quickly and cost effectively complete a product and thus reduce time to market.
These features are as follows:
Intelligent and fully integrated VGA/SVGA Display Graphics Controller.
Tiny 28 pin module, powered by the 4D LABS PICASO chip. A powerful
DSP/Controller based multi purpose graphics engine.
Low Power design. 3.0Volts to 3.6Volts input supply @90ma.
256 Colours with standard resolution modes for QVGA (320x240), VGA
(640x480) and SVGA (800x600, to be implemented in the near future). The
VGA-PI CASO-MD1 supports multiple resolutions with in the same module.
Resolutions are selectable during run time under host control. Resizable
viewing window allows partial/full screen control.
The digital video signals, RED0:RED2, GREEN0:GREEN2, BLUE1:BLUE2,
HSYNC, VSYNC and BLANK(R,G,B), facilitate using a simple Resistor-DAC to
drive any standard VGA monitor.
512K bytes of onboard SRAM for video memory allows 8 pages for QVGA, 2
pages for VGA and 1 page for SVGA resolutions. Utilising the multiple pages
allows double buffering which can be used for smooth animations and
windowing of menu systems.
RX and TX signals (TTL levels) provide a simple serial host interface. The serial
interface allow the VGA-PI CASO-MD1 graphics module to be connected to
any host controller such as a PIC, AVR, STAMP, ARM, Propeller just to name a
few as well as a PC. The host controls the module by sending simple serial
commands. Auto baud rate detection from 2400 baud to 1Mbit/sec.
Powerful, easy to use and understand built in graphics functions allow drawing
of lines, rectangles, circles, ellipses, text, images, icons, user defined bitmaps
and much more
SPI signals (SDI, SDO, SCK) allow the module to be connected to a number of
SD and MMC memory cards (from 64Mb up to 4Gb) that can store images,
icons, and other graphics objects.
Future upgrades and enhancements are easily achieved by uploading PmmC
(Personality module micro Code) files. PmmC files allow the PICASO chip to be
uploaded with the latest micro-Code firmware.
System designers can incorporate the VGA-PICASO-MD1 module directly
into their application, saving space and cost. Reference designs enable the
user to create a platform to incorporate the VGA-PICASO easily.

NOTE: Usable resolutions are; QVGA: 310x210, VGA: 620x420 and SVGA: 800x560.
These resolutions are chosen to maximise the number of display pages from the video
RAM.

PI N
7, 21
8, 15, 20
28
14
1
24
25
26
27
16
17
2
3
4
5
6
18
19
22
23
12
11
10
9
13



2 P

FUNCTI O
VCC
GND
RESET
I/O1
I/O3
(SCK) I/O6
(SDI) I/O5
(SDO) I/O
(CS_FLASH
I/O2
PGMRX
PGMTX
VSYNC
HSYNC
BLANK_G
BLANK_R
BLANK_B
RED0
RED1
RED2
GREEN0
GREEN1
GREEN2
BLUE0
BLUE1
RUN/DEMO
Pin De
N DESCRI P
Regulated
Ground
Module H
General p
General p
6
General p
SPI mode
5
General p
in SPI mo
4
General p
in SPI mo
H) General p
interface.
Serial Rec
Serial Tra
VGA Vert
VGA Hori
G GREEN D
R RED Disp
B BLUE Dis
RED inten
RED inten
RED inten
GREEN in
GREEN in
GREEN in
BLUE inte
BLUE inte
O
Internal D
Also runs
escript
PTI ON
d 3.3 Volts Sup
Hardware Reset
purpose Input/O
purpose Input/O
purpose Input/O
e.
purpose Input/O
ode.
purpose Input/O
ode.
purpose Input/O
.
ceive Pin
ansmit Pin
ical Synchronis
zontal Synchro
Display Enable b
play Enable blan
play Enable bla
nsity bit 0
nsity bit 1
nsity bit 2
ntensity bit 0
ntensity bit 1
ntensity bit 2
ensity bit 1
ensity bit 2
Demo Mode wh
s 4DGL user pro
tion
pply Input @90
t. Active Low si
Output 1
Output 3
Output 6. Also
Output 5. Also
Output4. Also u
Output 2. Also
sation Output.
onisation Outpu
blanking signal
nking signal.
anking signal.
hen Active Low
ograms from po
0mA average, m
ignal > 20us w
used as the Cl
used as the D
used as the Da
used as the Ch
Serial
upload
future
These
the Pix
ut.
.
These
bus. C
extern
w on Power-Up
ower-up if the
max. 150ma
will reset the mo
lock signal for
ata In signal fo
ata Out signal f
hip Select signa
interface for h
ding PmmC file
e upgrades.
e form the VGA
xel Data Bus si
e signals combi
Connect these a
nal Video DAC.
if the module i
module is runn
odule
external SD/M
or external SD/
for external SD
al for external
host processor c
es into the PICA
A Control signal
ignals to an ex
ned form the 8
along with the

is running the
ning 4DGL plat
66
MC memory ca
/MMC memory
D/MMC memory
SD/MMC mem
commands. Als
ASO module fro
s. Connect the
xternal Video DA
8 bit 256 colour
VGA Control si
Serial Comman
tform.

ard interface in
card interface
y card interface
ory card SPI
so used for
om the PC for
ese along with
AC.
r Pixel Data
ignals to an
nd platform.
e


3 R
The f
be int
the f
from
www
Desig
"

Refere
following ref
tegrated into
following link
4D as a stan
.4dsystems.
gns/

ence D
ference desig
o a wide ran
k for update
ndard option
com.au/dow
Designs
gn show how
nge of applic
ed informat
n also for ge
wnloads/micr
s
w easily the
cations with
ion as it be
eneral purpos
ro-VGA/uVGA
VGA-PICA
very simple
ecomes avai
se use and t
A-PICASO-M
ASO-MD1 m
e circuitry. Pl
lable. This
testing.
MD1/Referen
67
module can
lease check
is available
nce-




68


69

Graphics Command Set (Serial)
The heart of the VGA-PI CASO-MD1 module is its rich graphics oriented command
set. This comprises of powerful instructions that can draw lines, circles, rectangles,
text, images, etc. to provide a full graphical user interface. The commands can be
either sent via the serial link or called as functions from the built-in library if the
platform is running a higher level language such as 4DGL. The command set is divided
into 2 groups:
General Command Set
o These commands are generic and are standard commands that apply across all
applications.
Extended Command Set

o The VGA-PI CASO-MD1 module supports an external micro-SD (SD)
memory card. This feature is not implemented yet, but when it
becomes available the revised firmware will be available for download
to re-program the module via PmmC operation. This Extended
Command set will be documented fully when it is implemented. Check
the 4D website for details.
The General Command set is described in detail in the following section with its
syntax. Please note that all command examples listed below are in hex (00hex). Due
to the high resolution nature of the VGA-PICASO-MD1, a pixel horizontal and
vertical address coordinates (x, y) will not fit into a single byte, which can only hold a
maximum value of
255. Therefore each of the x and y pixel address data are represented as a 2 byte
value, x(msb:lsb) and y(msb:lsb). The most significant byte (msb) is transmitted first
followed by the least significant byte (lsb). This format is called the big endian. So for
a 2 byte coordinate value of 013Fhex the byte order can be shown as
(01hex),(3Fhex).
NOTE: When transmitting the command and data bytes to the VGA, do not include
any separators such as commas , or spaces or brackets ( ) between the bytes.
The examples show these separators purely for legibility; these must not be included
when transmitting data to the VGA.
When a command is sent, the VGA will reply back with a single acknowledge byte
called the ACK (06hex). This tells the host that the command was understood and the
operation is completed. It will take the VGA anywhere between 1 to several
milliseconds to reply back with an ACK, depending on the command and the operation
the VGA has to perform. If the VGA receives a command that it does not
understand it will reply back with a negative acknowledge called the NAK (15hex).
If a command that has 5 bytes but only 4 bytes are sent, the command will not be

70

executed and the VGA will wait until another byte is sent before trying to execute the
command. There is no timeout on the VGA when incomplete commands are sent.
The VGA will reply back with a NAK for each invalid command it receives. For correct
operation make sure the command bytes are sent in the correct sequence.





Gen
(A) A

eral Comm
Add User Bit
Ge
mand Set
tmapped Ch
eneral C
aracter
Commannd Set
71


72

Serial Interface (TTL Level)
The VGA-PICASO-MD1 module communicates with the outside world via its serial
link. The serial link is always used as a means to upload a PmmC file for a new
platform change or to update the module with enhancements. If the module is
running the Serial Command platform, it can be used by a host processor to send
serial commands to the module so that characters and graphics can be displayed on
the screen. If the module is running the 4DGL High Level Language platform
then user application code is downloaded into the PICASO processor via the same
serial link.
Note: The serial connection (RX/TX) is at TTL levels (0 3.3V) and the logic levels are
high = 1 = 3.3V, low = 0 = 0V. If interfacing to a host system running at voltage
levels greater than 3.6V, then a 1K series resistor must be inserted between the Host
TX and the
VGA-PICASO RX signal.
Auto Baud Detect: The VGA-PICASO-MD1 has an auto-baud detect function
which can operate from 2400 baud to 1M baud. Prior to any graphical formatting
and commands being sent, it must first be initialized by sending the ASCII character
U (55h) after power-up. This will allow the module to determine and lock on to the
baud rate of the host automatically without needing any further setup. This must be
done every time the module is powered up or reset.
If the module needs its baud rate changed, then it must be powered down and
powered back up again or go through a reset. The U command cannot be used to
change the baud rate during the middle of normal usage.
Serial Command Timing:
Each serial command is made up of a sequence of data bytes. Some commands are a
single byte and others are multiple bytes. When a command is sent to the module and
the operation is completed, it will reply back with a single acknowledge byte called the
ACK (06h). This tells the host that the command was understood and the operation is
completed. It will take anywhere between 1 to several milliseconds to reply back with
an ACK, depending on the command and the operation the VGA-PI CASO-MD1 has
to perform. If it receives a command that it does not understand it will reply back with
a negative acknowledge called the NAK (15h).
For example, if a command has 5 bytes but only 4 bytes are sent, the command
will not be executed and when the next following command bytes are sent the
module will reply back with a NAK for each and every byte it receives. For correct
operation make sure the command bytes are sent in the correct sequence.
Note: No termination character is to be sent at the end of the command sequence.
i.e. dont send any CR, or Null, or any other end of command bytes.



11 M
The VG


Mechan
GA-PICASO
nical D
O-MD1 mod
Details
ule dimensi
s
ons are 19..5mm x 24.33mm x 5.5mmm.
73



74

















APNDICE C
LISTA COMPLETA DE CARACTERSTICAS, DESCRIPCIN DEL ENCAPSULADO Y PINES
DEL MICROCONTROLADOR MCF51QE128
















75

A continuacin se enlistan las principales caractersticas del MCF51QE128.
Ncleo 50Mhz Coldfire V1 con velocidad de bus de hasta 25 Mhz.
Memoria FLASH de 128KB para almacenamiento de programa.
Memoria RAM de 8KB para almacenamiento de datos.
256 fuentes de interrupciones internas y externas.
Voltaje de alimentacin comprendido entre 2.1V y 3.6V
Temperatura de operacin de -40C a 85C.
Circuito de seguridad para aislamiento de memorias.
3 modos de ahorro de energa, con modo de operacin mnimo de hasta 6uA.
Oscilador interno con operacin de 31.25Khz a 38.Khz o de 1Mhz hasta 16Mhz.
Modulo de programacin serial de 1 va.
Funcin Perro Guardin para optimizacin de procesamiento.
2 Mdulos Comparadores anlogos con opcin de referencia interna.
Mdulo PWM. (Modulacin por ancho de pulsos)
Convertidor Anlogo Digital con resolucin de 12-Bits y selector de 24 canales.
2 Interfaces de comunicacin serial (SCI) asncrona configurables de protocolo UART.
2 Interfaces de comunicacin serial de perifricos (SPI) de doble bfer de memoria.
2 interfaces de comunicacin I2C.
Modulo de rpido acceso de 16 vas GPIO.
3 Moduladores por tiempo de impulsos (TPM)
Soporta hasta 70 lneas de propsito general, configurables como entrada/salida.
Proteccin de cdigo FLASH.
Proteccin ante fallo de alimentacin.
Deteccin de cdigo errneo.

Pines y sus funciones.

EL microcontrolador MCF51QE128 est disponible para el desarrollador en encapsulado de 64 y 80 pines
tipo LQFP (Encapsulado cuadrado plano de perfil bajo). La nomenclatura e identificacin de cada pin se
muestra a continuacin. La tabla muestra la descripcin de la funcin que cumple cada pin del
microcontrolador.



Tabla 4.1
Nombre d
PTA0-PT
PTB0-PT
PTC0-PT
PTD0-PT
PTE0-PT
PTF0-PT
PTG0-PT
PTH0:PT
KBI1P0-K
KBI2P0-K
TPM1CH
TPM2CH
TPM3CH
TPM1CL
ACMP1+
ACMP2+
ADP0-AD
VREFH,
GPIO0-G
Funcin de los
del Pin
TA7
TB7
TC7
TD7
TE7
TF7
TG3
TH1, PTH6:P
KBI1P7
KBI2P7
H0-TPM1CH
H0-TPM2CH
H0-TPM3CH
LK,TPM2CL
+,ACMP1-,A
+,ACMP2-,A
DP23
VREFL
GPIO15
Figura 4.2
s pines del MCF
PTH7
H2
H2
H5
LK,TPM3CL
ACMP1O
ACMP2O
Encapsulado
F51QE128.
Funcin
Entradas
Entradas
Entradas
Entradas
Entradas
Entradas
Entradas
Entradas
Puerto 1
Puerto 2
Puertos d
Puertos d
Puertos d
LK Pines de
Pines de
Pines de
Canales
Pines de
Pines de
LQFP de 64-Pi
n
s/Salidas digit
s/Salidas digit
s/Salidas digit
s/Salidas digit
s/Salidas digit
s/Salidas digit
s/Salidas digit
s/Salidas digit
para Interrup
2 para Interrup
de modulaci
de modulaci
de modulaci
e E/S de reloj
e entrada para
e entrada para
Anlogos par
e voltaje de re
e E/S de rpid
ines en MCF51Q
tales del puer
tales del puer
tales del puer
tales del puer
tales del puer
tales del puer
tales del puer
tales del puer
pciones de tec
pciones de tec
n por tiempo
n por tiempo
n por tiempo
para mdulos
a uso del modu
a uso del modu
ra conversin
eferencia para
do acceso para

QE128
rto A
rto B
rto C
rto D
rto E
rto F
rto G
rto H
clado
clado
o de impulsos
o de impulsos
o de impulsos
s TPM
ulo 1 de Com
ulo 2 de Com
n de uso por e
a el ADC.
a el bus de 16
mdulo 1
mdulo 2
mdulo 3
mparador
mparador
el ADC
6-Bit GPIO
76


77

MOSI1,MISO1,SS1,SPSCK1 Pines de E/S de control para comunicacin serial SPI mdulo 1
MOSI2,MISO2,SS2,SPSCK2 Pines de E/S de control para comunicacin serial SPI mdulo 2
BKGD/MS Pin de control de depuracin de fondo.
RESET Pin de reinicio de modo del microcontrolador
RSTO Pin de salida que cambiante de estado siempre que se detecte un
reinicio en el pin de RESET, permitiendo as comunicar a otros
dispositivos cuando se produce un reinicio.
IRQ Pin configurable para producir un evento al disparar una
interrupcin externa.
VDDAD, VSSAD Alimentacin anloga del ADC y tierra anloga del ADC
respectivamente, cuando no existe alimentacin adicional son
internamente conectados a la alimentacin del microcontrolador.
VDD Pin de alimentacin del microcontrolador. Operacin tpica es de
3.2V
VSS Pin diferencial a tierra del microcontrolador.



















78

















APNDICE D
ESPECIFICACIONES DE SEALES VGA


















VGA V
You are at: H
S
T
v
Tabl e of C
1 VGA Video
VGA Vid
A color VGA
signals (R, G
HSYNC
electron
HSYNC and
determined
HSYNC and
continuous
electron gun
different leve
A single do
information.
example, a f
In order to
and top-to
deflection



Vi deo Si
Home > Hardwa
Summary
This page explai
video signal, not
Cont ent s
Signal Format 2 Vi
deo Signal F
A video signal is
G, B)
C Horizontal sync
n beam restart at
d VSYNC signa
by the value of R
d VSYNC signa
(analog) voltage
n that makes th
els of brightness
ot of colour on
But a frame co
frame of VGA vid
paint a frame, th
o-bottom across
circuits at the rig
bottom to
i gnal Fo
re > VGA Video
ns VGA video s
the modern DVI
deo Modes and Th
Format
composed by 5
c. Make electron
t first screen's sc
ls determines th
R, G and B signa
ls are a train of
e range from +0V
e screen's phos
of the 3 primary
a video monitor
omposed of mul
deo has 480 lines
here are deflectio
the screen. The
ght times so that
o form an image.
r mat an
Signal Format a
ignal format and
(Digital Video In
heir Signal Timings
different signals
n beam restart at
canline (starts a n
he screen resol
al. Each color is a
f squared pulse
V (absolutely da
sphor bright a ba
y colours.
r doesnt impart
ltiple lines can p
s and each line u
on circuits in the
se deflection circ
a line of pixels is
The timing for th
nd Ti mi n
nd Timing Speci
d its timing for th
terface) signal in
3 VGA Connector
s, two synchroniz
t next screen's s
new frame) R Re
ution (for examp
a combination of
es of +5V (+3.3V
ark) to +0.7V (m
asic colour (R, G
t much informat
present an imag
usually contains
monitor that mov
cuits require two
s painted across
he VGA synchron
ng Spec i
ifications
he different video
n used today.
4 References
zation signals (H
scanline (starts a
ed intensity G Gr
ple 640x480) w
the 3 primary co
V serves too) w
maximum brightn
G or B) in a pix
tion. A horizonta
ge on the monit
s 640 pixels (see
ve the electrons
synchronization
s the monitor and
nization signals i
i f i c at i on
o modes. I refer
HSYNC and VSY
a new line) VSYN
reen intensity B B
whereas the colo
olours R, G and B
whereas RGB sig
ess). Each of th
xel. Any colour i
al line of pixels
tor screen. In a
later).
emitted from the
n signals in order
d the lines stack u
is shown in Figur
ns
to the classic a
YNC) and three
NC Vertical sync
Blue intensity
our of every pix
B.
gnals take value
his 3 signals con
is the visual mix
s carries a bit m
640x480 mode
e guns both leftto
to start and stop
up from the top t
re 2.

79
nalog
video
c. Make
el is
es in a
ntrols a
xture of
more
e, for
o-right
p the
to the



Pulses on H
edges of the
Pulses on V
lines betwee
As you may
resolution is
Vi deo M
The followin
image corre
pixel clock f
etc).




HSYNC signal ma
e visible screen a
VSYNC signal ma
en the top and bo
y have guessed
s determined typi
Modes and
g table shows th
ectly (without blin
requency that yo
ark the start and
area.
ark the start and
ottom edges of th
, the horizontal
cally by a pi x el
d Thei r Si g
he time restriction
nks). The pixel c
ou want, the only
end of a line an
end of a frame m
he visible monito
resolution of ea
c l oc k . Every ri
gnal Ti mi n
ns that video sig
clock frequency i
y important thing
nd ensure that th
made up of video
or screen.
ach line i s not
ising edge of the
ngs
gnal must obey in
is only orientativ
is that the video

he monitor displa
o lines and ensu
ac t ual l y det e
e pixel clock mark
n order to the mo
ve, when designi
o signal fits with t
ays the pixels be
re that the monit
er mi ned and c
ks the start of a n
onitor can synch
ing a video hard
the time restrictio
etween the left an
tor displays the
could be anythin
new pixel.
ronize and displ
dware, you can u
ons (measures A
80
nd right
ng, this
ays the
use the
A, B, C,




81















APNDICE E
CODIGO DE PROGRAMA DE ETAPA DE ADQUISICIN



















82

usingSystem;
usingSystem.Collections.Generic;
usingSystem.ComponentModel;
usingSystem.Data;
usingSystem.Drawing;
usingSystem.Linq;
usingSystem.Text;
usingSystem.Windows.Forms;
usingSystem.IO.Ports;//PermiteutilizarelpuertoserieRS232.
usingSystem.Threading;//Permiteutilizarunafuncinderetardoenms.
usingSystem.IO;//Permiteutilizarfuncionesdeescrituradearchivos.

namespaceWindowsFormsApplication1
{

publicpartialclassForm1:Form
{
byte[]bmArray=newbyte[300810];//Almacenalaimagenenbytes.
Bitmapbm2;
byte[]dBytes=newbyte[255];
//Almacenalosnombresdelasimgenescontenidosenelarchivofilelist.txt
char[]dChars=newchar[255];
intn=0;
intindice,longitud;
byte[]cmd={0x01,0x02};
intnReceived=0;
char[]imageTitle=newchar[15];

/*************************************************************************************/

publicForm1()
{
InitializeComponent();
}

/*************************************************************************************/

privatevoidbutton3_Click(objectsender,EventArgse)
{
byte[]arrayToSend=newbyte[300810];
//CreaunnuevoarregloparaalmacenarlaimagenaenviarconelformatoBGR

arrayToSend[0]=0x49;//Comandodisparadordefuncindedibujodeimagen
arrayToSend[1]=0x00;//Coordenadahorizontal
arrayToSend[2]=0xD2;
arrayToSend[3]=0x00;//Coordenadavertical
arrayToSend[4]=0x00;
arrayToSend[5]=bmArray[19];
//Anchodelaimagenenhorizontal,lotomadelencabezado
//arrayToSend[6]=(byte)(bmArray[18]+0x02);
arrayToSend[6]=bmArray[18];
arrayToSend[7]=bmArray[23];
//Anchodelaimagenenvertical,lotomadelencabezado
arrayToSend[8]=bmArray[22];
arrayToSend[9]=0x08;
//Parmetrodemododecolor,8bitsporpixel(mx.256colores)


83

intimgStart=54;//Direccindeiniciodelaimagen
intimgSize=(bmArray.Length0x280xe);
//Determinaeltamaodelaimagensinlosencabezados

for(inta=10;a<=imgSize/3;a++)
{
arrayToSend[a]=(byte)((bmArray[imgStart]&0xC0)+((bmArray[imgStart+1]
&0xE0)>>2)+((bmArray[imgStart+2]&0xE0)>>5));
//Corrimientodeconversindebitmapde24bitsabitmapde8bitsBGR
imgStart=imgStart+3;//Brincadecadapixelde24bits
}

groupBox2.Enabled=false;
textBox2.Text="EnviandoImagen";
//Bloquealainterfazmanualmientrasenvalaimagen
sp.Write(arrayToSend,0,arrayToSend.Length);
//Envaelarregloconlaimagenenelformatode8bitsconel
comandoquedisparalafuncindedibujodeimagen
groupBox2.Enabled=true;
}
/***********************************************************************************/

privatevoidlabel1_Click(objectsender,EventArgse)//Boton"about"
{
MessageBox.Show("Author:ArturoJimenez\nBMPSender\nVersion:1.0August
2010");//Muestraelautordelprograma
}

/***********************************************************************************/

privatevoidForm1_Load(objectsender,EventArgse)
{

StreamReaderfo=File.OpenText(@"C:\Users\R2RO10\Pictures\filelist.txt");
//Abrelalistadenombresdeimgenes
stringinput=null;
stringfList="";
input=fo.ReadToEnd();//Sealmacenalalistaenlacadenainput
textBox3.Text=input;//Muestralalistadenombresenlainterfazmanual

foreach(charcininput)
{
if(c==13)
{
}
elseif(c==10)
{
fList+='';
}
else
{
fList+=c;
}
}

System.Text.UTF8Encodingencoding=newSystem.Text.UTF8Encoding();
dBytes=encoding.GetBytes(fList);


84

for(inti=0;i<dBytes.Length;i++)
{dChars[i]=(char)dBytes[i];}

sp.Open();
label7.Text="Conectado";
}

/***************************************************************************************/

privatevoidserialPort_DataReceived(objectsender,SerialDataReceivedEventArgse)
{
byteindata=(byte)sp.ReadByte();
if(nReceived==0)
{
if(indata==0xff)
{
sp.Write(dBytes,0,dBytes.Length);
sp.Write(cmd,0,1);
}
}

if(nReceived==1)
{
indice=indata;
}

if(nReceived==2)
{
longitud=indata1;
//sp.Close();
sendimage();
nReceived=0;
}

nReceived++;
}
/***************************************************************************************/

voidsendimage()
{
stringImagen=newstring(dChars,indice,longitud);

Bitmapbm=newBitmap(@"C:\Users\R2RO10\Pictures\"+Imagen);
Thread.Sleep(100);
pictureBox1.Image=bm;//Lacajadeimagenmuestralaimagenseleccionada
bm2=bm;//Setrasladalaimagenaunaestructurabitmap
Thread.Sleep(3000);
System.IO.MemoryStreammem=newSystem.IO.MemoryStream();
//Segeneraunobjetotemporalparaalmacenarlosbytesdelaimagen
bm.Save(mem,System.Drawing.Imaging.ImageFormat.Bmp);
//Elobjetocreadollamadomemalmacenalosbytesdelaimagen
bmArray=mem.ToArray();
Thread.Sleep(3000);

byte[]arrayToSend=newbyte[300810];
//CreaunnuevoarregloparaalmacenarlaimagenaenviarconelformatoBGR
Thread.Sleep(100);
arrayToSend[0]=0x49;//Comandodisparadordefuncindedibujodeimagen

85

arrayToSend[1]=0x00;//Coordenadahorizontal
arrayToSend[2]=0xD2;
arrayToSend[3]=0x00;//Coordenadavertical
arrayToSend[4]=0x00;
arrayToSend[5]=bmArray[19];
//Anchodelaimagenenhorizontal,lotomadelencabezado
//arrayToSend[6]=(byte)(bmArray[18]+0x02);
arrayToSend[6]=bmArray[18];
arrayToSend[7]=bmArray[23];
//Anchodelaimagenenvertical,lotomadelencabezado
arrayToSend[8]=bmArray[22];
arrayToSend[9]=0x08;
//Paraetrodemododecolor,8bitsporpixel(max256colores)

intimgStart=54;//Direccindeiniciodelaimagen
intimgSize=(bmArray.Length0x280xe);
//Determinaeltamaodelaimagensinlosencabezados

for(inta=10;a<=imgSize/3;a++)
{
arrayToSend[a]=(byte)((bmArray[imgStart]&0xC0)+((bmArray[imgStart+1]&
0xE0)>>2)+((bmArray[imgStart+2]&0xE0)>>5));
//Corrimientodeconversindebitmapde24bitsabitmapde8bitsBGR
imgStart=imgStart+3;//Brincadecadapixelde24bits
}

sp.Write(arrayToSend,0,arrayToSend.Length);
//Envaelarregloconlaimagenenelformatode8bitsconelcomandoque
disparalafuncindedibujodeimagen
}}}

















86















APNDICE F
ESTRUCTURA DE BITMAP 24 BITS



















87

Example of a 22 Pixel, 24-Bit Bitmap (Windows DIB Header BITMAPINFOHEADER)
Offset Size Hex Value Value Description
BMP Header
0h 2
42 4D
"BM" Magic Number (unsigned integer 66, 77)
2h 4
46 00 00 00
70 Bytes Size of the BMP file
6h 2
00 00
Unused Application Specific
8h 2
00 00
Unused Application Specific
Ah 4
36 00 00 00
54 bytes The offset where the bitmap data (pixels) can be
found.
DIB Header
Eh 4
28 00 00 00
40 bytes The number of bytes in the header (from this point).
12h 4
02 00 00 00
2 pixels The width of the bitmap in pixels
16h 4
02 00 00 00
2 pixels The height of the bitmap in pixels
1Ah 2
01 00
1 plane Number of color planes being used.
1Ch 2
18 00
24 bits The number of bits/pixel.
1Eh 4
00 00 00 00
0 BI_RGB, No compression used
22h 4
10 00 00 00
16 bytes The size of the raw BMP data (after this header)

88

26h 4
13 0B 00 00
2,835 pixels/meter The horizontal resolution of the image
2Ah 4
13 0B 00 00
2,835 pixels/meter The vertical resolution of the image
2Eh 4
00 00 00 00
0 colors Number of colors in the palette
32h 4
00 00 00 00
0 important colors Means all colors are important
Start of Bitmap Data
36h 3
00 00 FF
0 0 255 Red, Pixel (0,1)
39h 3
FF FF FF
255 255 255 White, Pixel (1,1)
3Ch 2
00 00
0 0 4 byte alignment (Could be a value other than zero)
3Eh 3
FF 00 00
255 0 0 Blue, Pixel (0,0)
41h 3
00 FF 00
0 255 0 Green, Pixel (1,0)
44h 2
00 00
0 0 4 byte alignment (Could be a value other than zero)












89


















APNDICE G
CODIGO DE PROGRAMA DE ETAPA DE CONTROL
















90

/** ###################################################################
** Filename : SerialMC51_1.C
** Project : SerialMC51_1
** Processor : MCF51QE128CLH
** Version : Driver 01.00
** Compiler : CodeWarrior ColdFireV1 C Compiler
** Date/Time : 2010/09/07, 1:40 PM
** ###################################################################*/
/* MODULE SerialMC51_1 */

/* Including needed modules to compile this module/procedure */
#include "Cpu.h"
#include "Events.h"
#include "AS1.h"
#include "AS2.h"
#include "stdlib.h"
/* Include shared modules, which are used for whole project */
#include "PE_Types.h"
#include "PE_Error.h"
#include "PE_Const.h"
#include "IO_Map.h"
#include "app_support.h"
#include "string.h"

int ind=0;
int ind2=0;
int last_ind=0;
byte rvDatos[3000];
byte rvDato;

int app_status=0;


int ind_title[100];
unsigned int lenght_title[100];

byte String1[]={ 0x73, 0x01, 0x01, 0x00, 0xF0, };
byte String2[]={ 0x73, 0x01, 0x04, 0x00, 0xEA, };
byte String3[]={ 0x73, 0x01, 0x07, 0x00, 0xF0, };
byte String4[]={ 0x73, 0x01, 0x26, 0x00, 0xF0, };
byte String5[]={ 0x73, 0x01, 0x28, 0x00, 0xF0, };
byte String6[]={ 0x73, 0x01, 0x2A, 0x00, 0xF0, };
byte opaqueStr[]={ 0x4F, 0x01, };
byte wireMode[]={ 0x70, 0x01, };
byte mainRect[]={ 0x72, 0x00, 0x00, 0x00, 0x00, 0x00, 0xC8, 0x01, 0x18, 0xFF,};
byte rectUno[]={ 0x72, 0x00, 0x00, 0x00, 0x00, 0x00, 0xC8, 0x00, 0x16, 0xFF,};
byte rectDos[]={ 0x72, 0x00, 0x00, 0x00, 0x16, 0x00, 0xC8, 0x00, 0x30, 0xFF,};
byte rectTres[]={ 0x72, 0x00, 0x00, 0x00, 0x30, 0x00, 0xC8, 0x00, 0x47, 0xFF,};
byte portadaRect[]={ 0x72, 0x00, 0x00, 0x01, 0x20, 0x02, 0x5C, 0x01, 0x90, 0xFF,};
byte VGA640[]={ 0x59, 0x03, 0x01,};


91

void main(void)
{

/*** Processor Expert internal initialization.***/
PE_low_level_init();
/*** End of Processor Expert internal initialization. ***/

app_status = INITIAL_STATE; //Estado inicial de app_status es INITIAL_STATE

//Loop forever
for(;;) {

switch (app_status) {

case INITIAL_STATE: //Imprime la pantalla inicial
init();
Cpu_Delay100US(1000);
startScreen();
drawInterface();
AS2_SendChar(0xFF);
app_status = RX_LIST_STATE; //Brinca al estado de escuchar lista de nombres

break;

//////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////

case RX_LIST_STATE: //Recibe lista de nombres de las imgenes

AS2_RecvChar(&rvDatos[ind]); //Escucha el puerto RX Bluetooth
if(rvDatos[ind]!=0){ //Verifica si la casilla[ndice] esta vaca o no?
ind++; //Incrementa el ndice si la casilla[indice-1] contena un valor
}

if(rvDatos[ind-1]==0x01){ //Si encuentra el valor 0x01 indica el fin de la lista
last_ind=ind; //Se almacena el ndice en el que se quedo el apuntador del arreglo de la lista
ind=0; //Se inicializa el ndice
get_title(); //Esta funcin obtiene ndices y longitudes de cada cadena de titulo a imprimir en
pantalla
app_status = CONTROL_STATE; //Brinca al estado de control
}

break;

////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////






case PRINT_TITLE: //Imprime un titulo en pantalla

92


if(lenght_title[ind2]==0){ //Si la longitud del ttulo es nula, existe un error
SCITransmitArray(&String2[0],4);
SCITransmitStr(" ");
Cpu_Delay100US(10);
AS1_SendChar(0x00);
Cpu_Delay100US(100);
goto INICIO; //Regresa a la etapa de control
}

SCITransmitArray(&String2[0],4);
SCITransmitStr(" ");
Cpu_Delay100US(10);
AS1_SendChar(0x00);
Cpu_Delay100US(100);
SCITransmitArray(&String2[0],4); // Manda los comandos de la funcin dibujo de cadena
Cpu_Delay100US(10); // Retardo asegura el ultimo parmetro
SCITransmitArray(&rvDatos[ind_title[ind2]], lenght_title[ind2]-1); //Manda la cadena
Cpu_Delay100US(10);
AS1_SendChar((char)0);
app_status= CONTROL_STATE; //Regresa al estado de control

break;

/////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////

case PRINT_IMAGE: //Imprime la imagen en pantalla

if(AS2_RecvChar(&rvDato)!=ERR_RXEMPTY){ //Escucha y entra a la condicin si recibe un dato
AS1_SendChar(rvDato); //Transmite el dato directo a la tarjeta
}

if(PTDD_PTDD3==0){
app_status= CONTROL_STATE; //Regresa al estado de control
}

break;

/////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////


case CONTROL_STATE:

INICIO:

if(PTAD_PTAD2==0){ //Botn de corrimiento hacia arriba
ind2++; //Se mueve al ttulo siguiente
Cpu_Delay100US(2000); //Evita el rebote
app_status= PRINT_TITLE; //Pasa al estado donde se imprime la cadena
}


93

if(PTAD_PTAD3==0){ //Botn de corrimiento hacia abajo
if(ind2>=1){ //Verifica si existen ttulos abajo
ind2--; //Se mueve al ttulo de abajo
Cpu_Delay100US(2000); //Evita rebote
app_status= PRINT_TITLE; //Pasa al estado donde se imprime la cadena
} }

if(PTDD_PTDD2==0){ //Botn de adquirir
Cpu_Delay100US(2000); //Evita rebote
if(ind2==-1){
}else{
AS2_SendChar((byte)ind_title[ind2]); //Manda el numero de ndice que identifica la imagen
Cpu_Delay100US(500000);
Cpu_Delay100US(500000);
AS2_SendChar((byte)lenght_title[ind2]);
app_status= PRINT_IMAGE; //Pasa al estado donde se imprime el dibujo
} }

default:
break;

}


}//End of for(;;)
/*** Don't write any code pass this line, or it will be deleted during code generation. ***/
/*** Processor Expert end of main routine. DON'T MODIFY THIS CODE!!! ***/
for(;;){}
/*** Processor Expert end of main routine. DON'T WRITE CODE BELOW!!! ***/

}/*** End of main routine. DO NOT MODIFY THIS TEXT!!! ***/


/* END SerialMC51_1 */
/** ###################################################################*/

void get_title(void){

int cont=0; //Inicializa contador
int i=0; //inicializa i, i=numero de titulo

for(ind; ind<=last_ind; ind++){
cont++; //Cuenta los caracteres del titulo
if(rvDatos[ind]==0x20){ //0x20 indica cambio carcter de terminacin
ind_title[i]=ind-cont+1; //Agrega en el arreglo un ndice de titulo
lenght_title[i]=cont; //Agrega la longitud del ttulo i
cont=0; //Reinicia Contador
i++; //Incrementa i, indica nuevo titulo
}}}

void init(void){

94

set_PTAD2_IN; //Configura pin PTA2 como entrada & pullup interna
set_PTAD3_IN; //Configura pin PTA3 como entrada & pullup interna
set_PTDD2_IN; //Configura pin PTD2 como entrada & pullup interna
set_PTDD3_IN; //Configura pin PTD3 como entrada & pullup interna
PTBPE_PTBPE1=1;
}


void startScreen(void){
AS1_SendChar('U');
Cpu_Delay100US(500000);
SCITransmitArray(&VGA640[0],2);
Cpu_Delay100US(500000);
AS1_SendChar('U');
Cpu_Delay100US(500000);
}

void drawInterface (void) {
SCITransmitArray(&wireMode[0],1);
SCITransmitArray(&mainRect[0],9);
SCITransmitArray(&rectUno[0],9);
SCITransmitArray(&rectDos[0],9);
SCITransmitArray(&rectTres[0],9);
Cpu_Delay100US(100);
SCITransmitArray(&portadaRect[0],9);
Cpu_Delay100US(100);

SCITransmitArray(&String1[0],4);
SCITransmitStr("Imagenes en servidor");
Cpu_Delay100US(10);
AS1_SendChar(0x00);
Cpu_Delay100US(100);

SCITransmitArray(&String2[0],4);
SCITransmitStr("Sin imagen");
Cpu_Delay100US(10);
AS1_SendChar(0x00);
Cpu_Delay100US(100);

SCITransmitArray(&String3[0],4);
SCITransmitStr("Bluetooth Activo");
Cpu_Delay100US(10);
AS1_SendChar(0x00);
Cpu_Delay100US(100);








95

SCITransmitArray(&String4[0],4);
SCITransmitStr("Universidad Autonoma de Ciudad Juarez - IIT");
Cpu_Delay100US(10);
AS1_SendChar(0x00);
Cpu_Delay100US(100);

SCITransmitArray(&String5[0],4);
SCITransmitStr("Jose Arturo Jimenez Ostos 76055");
Cpu_Delay100US(10);
AS1_SendChar(0x00);
Cpu_Delay100US(100);

SCITransmitArray(&String6[0],4);
SCITransmitStr("Sistema de acceso y visualizacion de imagenes");
Cpu_Delay100US(10);
AS1_SendChar(0x00);
Cpu_Delay100US(100);

SCITransmitArray(&opaqueStr[0],1);
Cpu_Delay100US(10);
AS1_SendChar(0x00);;
Cpu_Delay100US(100);
}

//////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////

/**
* Copyright (c) 2004, Freescale Semiconductor
* Freescale Confidential Proprietary
* File name : SCI.c
* $Date: 2006/08/22 23:44:58 $
*/

#include <string.h>
//#include "derivative.h"
#include "SCI.h"

void SCITransmitArray(char cad[], unsigned int y) {
int i;
for (i=0; i<=y; i++)
{
AS1_SendChar(cad[i]);
Cpu_Delay100US(10);
}
}

96

void SCITransmitStr(char *pStr)
{
long i;
long nStrLen=strlen(pStr);
for (i=0; i<nStrLen; i++)
{
AS1_SendChar(pStr[i]);
Cpu_Delay100US(10);
}
}

////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////

byte AS1_RecvChar(AS1_TComData *Chr)
{
byte Result = ERR_OK; /* Return error code */
byte StatReg = SCI1S1; /* Read status register */

if (StatReg & (SCI1S1_OR_MASK|SCI1S1_NF_MASK|SCI1S1_FE_MASK|SCI1S1_PF_MASK)) { /*
Is any error set? */
Result = ERR_COMMON; /* If yes then set common error value */
} else if (!(StatReg & SCI1S1_RDRF_MASK)) { /* Is the reciver empty and no error is set? */
return ERR_RXEMPTY; /* If yes then error */
} else { /* Intentionally left empty due to compatibility with MISRA rule 60*/
}
*Chr = SCI1D; /* Read data from the receiver */
return Result; /* Return error code */
}


byte AS1_SendChar(AS1_TComData Chr)
{
if ((!SCI1S1_TDRE)||(SerFlag & FULL_TX)) { /* Is the transmitter empty? */
return ERR_TXFULL; /* If no then error */
}
if (EnUser) { /* Is the device enabled by user? */
SCI1D = (byte)Chr; /* Store char to the transmitter register */
} else {
BufferWrite = Chr;
SerFlag |= FULL_TX; /* Set the flag "full TX buffer" */
}
return ERR_OK; /* OK */
}

///////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////



97

Anda mungkin juga menyukai