Anda di halaman 1dari 11

Lecture15 FSM Modeling

Jagannadha Naidu K
FSM
What is FSM?
FSM Elements
Mealy Machine
Moore Machine
Modeling FSM in Verilog
Coding Style1(Separate CS,NL,OL)
Coding Style2(CS+NL,OL)
State Encoding
Sequential Binary Encoding
State[1:0] : s0 00 , s1 01, s2 10, s3 - 11
Gray Binary Encoding
State[1:0] : s0 00 , s1 01, s2 11, s3 10
One-hot Encoding
State[3:0] each state represented by a bit
Almost one-hot Encoding
One-hot with all-zero initial state
Evaluated by
Performance
Area Utilization
Encoding Recommendation
Sequential or Gray Large Output decoder
gray or RAM based
implementation
> 24 states
one-hot 5 to 24 states
Sequential upto 4 states
Encoding Style Scenario

Anda mungkin juga menyukai