Anda di halaman 1dari 51

BAB I

SISTEM BILANGAN DAN KONVERSI BILANGAN


1.1 Sistem Bilangan Digital (Digital Number System)
Ada beberapa sistem bilangan yang dapat digunakan pada teknik digital.
Yang paling utama adalah sistem bilangan desimal, biner, oktal dan heksadesimal.
Sistem bilangan desimal paling mudah difahami karena telah kita gunakan
bersama dalam kehidupan sehari-hari. Dengan mempelajari beberapa karakteristik
yang dimiliki sistem bilangan desimal tersebut akan sangat membantu kita untuk
mempelajari sistem bilangan yang lain.
1.1.1 Sistem Bilangan Desimal (Decimal System)
Sistem bilangan desimal tersusun atas 10 macam simbol angka. 10 macam
simbol angka tersebut adalah 0, 1, , !, ", #, $, %, &, '. Dengan menggunakan
simbol-simbol tersebut untuk menyatakan digit-digit dari sebuah bilangan kita
dapat menyatakan nilai dari sebuah bilangan desimal. Sistem bilangan desimal
juga biasa disebut sebagai suatu sistem bilangan berbasis 10, hal ini dikarenakan
pada sistem bilangan ini memiliki 10 simbol digit.
Tabel 1.1. Bobot Tiap Digit Pada Bilangan Desimal
10
!
10

10
1
10
0
10
-1
10
-
10
-!
(1000 (100 (10 (1 (0.1 (0.01 (0.001
)ibuan )atusan *uluhan Satuan
*er
sepuluhan
*er
seratusan
*er
Seribuhan
+ost ,east
Decimal
Significant Significant
point
1.1.2 Sistem -ilangan -iner .Binary System/
Dalam sistem bilangan biner, hanya ada simbol digit bilangan yaitu 0 dan
1. Dari hal tersebut sistem bilangan ini juga sering dinamakan sistem bilangan
berbasis . Sistem bilangan berbasis ini bisa digunakan untuk menyatakan berbagai
nilai bilangan desimal atau bilanagan lain yang menggunakan sistem bilangan selain
desimal dan biner.
1
Tabel 1.2. Bobot Tiap Digit Pada Bilangan Biner

-1

-!
(& (" ( (1 (10 (10" (10&
Delapanan 1mpatan Duaan Satuan *er duaan
*er
empatan
*er
delapanan
+ost ,east
-inary
Significant Significant
point
2acahan -ilangan -iner .Binary Counting/
3rutan cacahan bilangan biner adalah sebagaimana seperti yang ditunjukan
pada tabel berikut ini 4
Tabel 1.3. Urutan Cacahan Bilangan Biner
1.1.3 Sistem -ilangan 5ktal .5ctal 6umber System/
Sistem bilangan oktal memiliki basis bilangan & dan memiliki simbol angka
ada & macam yaitu 0,1,,!,",#,$ dan %.
Tabel 1.4. Bobot Tiap Digit Pada Bilangan !tal
&
!
&

&
1
&
0
&
-1
&
-
&
-!
(#1 ($" (& (1 (10& (10$" (10#1
+ost ,east
5ctal
Significant Significant
point
2
1.1. Sistem -ilangan 7eksadesimal ."e#adecimal $umber System/
Sistem bilangan heksadesimal menggunakan basis 1$. Sehingga memiliki 1$
jenis simbol digit yang bisa dipergunakan. 8e-enambelas simbol tersebut adalah
antara lain 0 , 1 , , ! , 9. ' , A , - , 2 , D , 1 dan :.
Tabel 1.%. Bobot Tiap Digit Pada Bilangan "e!sadesimal
1$
!
1$

1$
1
1$
0
1$
-1
1$
-
1$
-!
("0'$ (#$ (1$ (1 (101$ (10#$ (10"0'$
+ost ,east
7e;adec.
Significant Significant
point
1.1.! 8on<ersi -ilangan -iner ke -ilangan Desimal
Sebuah bilangan biner dapat dikon<ersi menjadi bilangan desimal dengan
cara menjumlahkan bobot dari masing-masing digit 1 yang terdapat pada bilangan
biner tersebut. Sebagai contoh adalah sebagai berikut ini dijelaskan kon<ersi
bilangan biner # digit menjadi bilangan desimal yang sesuai 4
1 1 0 1 1 .binary/

"
=
!
= 0 =
1
=
0
( 1$=&=0==1
( %
10
.decimal/
Dan
1 0 1 1 0 1 0 1 .binary/

%
= 0 =
#
=
"
= 0 =

= 0 =
0
( 1&=0=!=1$=0="=0=1
( 1&1
10
.decimal/
6ampak bah>a semua digit 1 pada bilangan biner dibobotkansesuai dengan
posisi digitnya, kemudian hasil pembobotan tersebut dijumlahkan secara
keseluruhan.
3
1.1." 8on<ersi -ilangan Desimal ke -ilangan -iner
3ntuk mengkon<ersi bilangan desimal menjadi bilangan biner ada cara yang
umum dipakai. Yaitu 4
1. +etode *enguraian .)e<ese of -inary-?o-Digital +ethod/
2ara ini dapat dijelaskan dengan contoh bila kita menginginkan kon<ersi
bilangan desimal "# menjadi bilangan binernya sebagaimana yang diuraikan pada
contoh berikut ini.
"#
10
( ! = 0 = & = " =0 = 1
(
#
=0=
!
=

=0=
0
( 1 0 1 1 0 1

. +etode *embagian Secara -erulang .)epeat Di<ision +ethode/
*ada methode ini kon<ersi bilangan desimal menjadi bilangan biner
dilakukan dengan membagi bilangan desimal tersebut dengan angka secara
berulang-ulang dengan selalu mencatat hasil bagi dan sisa pembagiannya.
*engulangan pembagian tersebut dilakukan sampai pada akhirnya sudah tidak
dapat dibagi lagi.
-erikut ini contoh bagaimana metode ini dilakukan untuk kon<ersi bilangan
desimal menjadi bilangan biner.
7asil Sisa 8eterangan
#0 1
1 .,east Significant -it/
10 $ 0
$ 0 ! 0
! 0 1 1
1 0 0 1 &'ost Signi(icant Bit)
)esult #
10
( 1 1 0 0 1

1.1.# 8on<ersi bilangan octal ke decimal


8on<ersi bilangan oktal ke desimal pada prinsipnya adalah sama seperti
halnya kon<ersi bilangan biner ke decimal. Yaitu pertama kali yang harus
dilakukan adalah mengalikan tiap digit yang dengan bobot yang sesuai. 8emudian
dilanjutkan dengan menjumlahkan secara keseluruhan hasil perkalian tersebut.
2ontoh 4
"$
&
( ; .&
1
/ = " ; .&
0
/ = $ ; .&
-1
/ ( 0%#
10
4
1.1.$ 8on<ersi -ilangan -iner ke -ilangan 5ktal dan 5ktal ke -iner
3ntuk mengkon<ersi bilangan biner ke bilangan oktal dapat dilakukan
dengan cara mengelompokkan tiap digit yang ada pada bilangan biner ke dalam !
digit untuk tiap kelompoknya. 8emudian mengkon<ersi tiap kelompok tersebut
menjadi bilangan octal yang sesuai. Yang harus diingat bah>a pengelompokan
dilakukan urut dari digit yang bobotnya paling ringan .,east Significant -it 0
,S-/.
5ctal Digit 0 1 ! " # $ %
-inary 1@ui<alent 000 001 010 011 100 101 110 111
Dengan demikian untuk mengkon<ersikan bilangan oktal ke biner dapat
dilakukan dengan kebalikan langkah sebagaimana disebutkan diatas, yaitu tiap
digit pada bilangan oktal dinyatakan dengan tiga digit bilangan biner .! bit/.
2ontoh 4
100 111 010

( .100/ .111/ .010/

( " %
&
1.1.% 8on<ersi -ilangan Desimal ke 5ktal Dengan *embagian -erulang
+etode ini menggunakan pembagian berulang dengan faktor pembagi
adalah &. Sebagai contoh jika diinginkan mengkon<ersi bilangan 1%%
10
menjadi
bilangan oktal dan biner dapat dilakukan sebagai berikut 4
1%%0& ( = sisa 1 1 .,east Significant -it/
0 & ( = sisa $ $
0 & ( 0 = sisa &'ost Signi(icant Bit)
7asilnya 4 1%%
10
( 2 $ 1
&
8on<ersi ke -iner ( 010 110 001

1.1.1& 8on<ersi 7eksadesimal ke Desimal


8on<ersi bilangan heksadesimal ke desimal pada prinsipnya adalah sama
seperti halnya kon<ersi bilangan oktal ke decimal yang terdiri du tahapan. Yaitu
pertama kali yang harus dilakukan adalah mengalikan tiap digit yang ada pada
bilangan heksadesimal dengan bobot yang sesuai. 8emudian dilanjutkan dengan
menjumlahkan secara keseluruhan hasil perkalian tersebut.
Sebagai contoh akan dilakukan kon<ersi bilangan heksadesimal ke
bilangan desimal yang sesuai 4
A:
1$
( ; .1$

/ = 10 ; .1$
1
/ = 1# ; .1$
0
/ ( $&%
10
5
1.1.11 8on<ersi -ilangan Desimal ke -ilangan 7eksadesimal dengan
*embagian -erulang
+etode ini menggunakan pembagian berulang dengan faktor pembagi
adalah 1$. Sebagai contoh jika diinginkan mengkon<ersi bilangan !%&
10
menjadi
bilangan heksadesimal dan biner dapat dilakukan sebagai berikut 4
!%&01$ ( != sisa 10
A .,east Significant -it/
!0 1$ ( 1 = sisa % %
1 0 1$ ( 0 = sisa 1 1 &'ost Signi(icant Bit)
7asilnya !%&
10
( 1 % A
1$
8on<ersi ke -iner ( 0001 0111 1010

1.1.12 8on<ersi -il. -iner ke -il. 7eksadesimal dan sebaliknya


3ntuk mengkon<ersi bilangan biner ke bilangan heksadesimal dapat
dilakukan dengan cara mengelompokkan tiap digit yang ada pada bilangan biner ke
dalam " digit untuk tiap kelompoknya. 8emudian mengkon<ersi tiap kelompok
tersebut menjadi bilangan heksadesimal yang sesuai.
Yang harus diingat bah>a pengelompokan dilakukan urut dari digit
yang bobotnya paling ringan .,east Significant -it 0 ,S-/. -erikut ini
disampaikan digit bilangan heksadesimal eki<alen dengan digit bilangan biner.
?iap digit bilangan heksadesimal dinyatakan dalam empat digit biner ." bit/.
7e;adecimal Digit 0 1 ! " # $ %
-inary 1@ui<alent 0000 0001 0010 0011 0100 0101 0110 0111
7e;adecimal Digit & ' A - 2 D 1 :
-inary 1@ui<alent 1000 1001 1010 1011 1100 1101 1110 1111
Sebagai contoh 4
101100101111

( .1011/ .0010/ .1111/

( - :
1$
1.1.13 8on<ersi -ilangan 5ktal ke -ilangan 7eksadesimal dan Sebaliknya
3ntuk mengkon<ersi bilangan heksadesimal ke bilangan oktal dapat
dilakukan dengan tahapan yaitu 4
1. )ubahlah bilangan heksadesimal yang ada menjadi bilangan biner
terlebih dahulu
. 8elompokkan bilangan biner tersebut menjadi masing-masing ! digit
biner dimulai dari ,east Siginificant -its
6
!. )ubahlah tiap kelompok yang ada ke dalam kode digit oktal yang
sesuai
Sebagai contoh akan dirubah bilangan heksadesimal #A&
1$
menjadi bilangan
oktal yang sesuai. 7al ini bisa dijelaskan sebagai berikut 4
#A&
1$
( 99999999. .5ktal/
,angkah 1 4
#A&
1$
( 0101 1010 1000 .-iner/
,angkah 4 ( 010 110 101 000
,angkah ! 4 ( $ # 0 .5ktal/
Sedangkan untuk mengkon<ersi bilangan oktal ke bilangan heksadesimal
dapat dilakukan dengan membalik urutan ketiga langkah diatas, menjadi 4
1. )ubahlah bilangan oktal yang ada menjadi bilangan biner terlebih
dahulu
. 8elompokkan bilangan biner tersebut menjadi masing-masing " digit
biner dimulai dari ,east Siginificant -its
!. )ubahlah tiap kelompok yang ada ke dalam kode digit heksadesimal
yang sesuai
Sebagai contoh akan dirubah bilangan heksadesimal $#0
&
menjadi bilangan
heksadesimal yang sesuai. 7al ini bisa dijelaskan sebagai berikut 4
$#0
&
( 99999999. .heksadesimal/
,angkah 1 4
$#0
&
( 010 110 101 000 .biner/
,angkah 4 ( 0101 1010 1000
,angkah ! 4 ( # A & .heksadesimal/
7
BAB II
RANGKAIAN GERBANG LOGIKA
Aerbang-gerbang dasar logika merupakan elemen rangkaian digital dan rangkaian
digital merupakan kesatuan dari gerbang-gerbang logika dasar yang membentuk
fungsi pemrosesan sinyal digital. Aerbang dasar logika terdiri dari ! gerbang utama,
yaitu A6D Aate, 5) Aate, dan 65? Aate. Aerbang lainnya seperti 6A6D Aate, 65)
Aate, 1B-5) Aate dan 1B-65) Aate merupakan kombinasi dari ! gerbang logika
utama tersebut.
2.1 Ge'(ang AND
Aerbang A6D merupakan salah satu gerbang logika dasar yang memiliki
buah saluran masukan .input/ atau lebih dan sebuah saluran keluaran .output/. Suatu
gerbang A6D akan menghasilkan sebuah keluaran biner tergantung dari kondisi
masukan dan fungsinya. Aerbang ,ogika A6D pada Datasheet nama lainnya C2
??, %"0&. *rinsip kerja dari gerbang A6D adalah kondisi keluaran .output/ akan
berlogic 1 bila semua saluran masukan .input/ berlogic 1. Selain itu output akan
berlogic 0. Simbol gerbang logika A6D input 4
Gambar 2.1 Simbol Gerbang Logika AND 2 input
A
:
-
Dengan persamaan output logika fungsi A6D adalah : ( AD- .dibaca : ( A A6D -/.
?abel kebenaran4
Cnput 5utput
A - : ( AD-
0 0 0
0 1 0
1 0 0
1 1 1
2.2 Ge'(ang OR
Aerbang 5) merupakan salah satu gerbang logika dasar yang memiliki buah
saluran masukan .input/ atau lebih dan sebuah saluran keluaran .output/. -erapapun
jumlah saluran masukan yang dimiliki oleh sebuah gerbang 5), maka tetap memiliki
prinsip kerja yang sama dimana kondisi keluarannya akan berlogic 1 bila salah satu atau
8
semua saluran masukannya berlogic 1. Selain itu output berlogic 0. Aerbang ,ogika
5) pada Datasheet nama lainnya C2 ??, %"!
Gambar 2.2 Simbol Gerbang Logika OR 2 input
Dengan persamaan output logika fungsi 5) adalah : ( A = - .dibaca : ( A 5) -/.
?abel 8ebenaran4
Cnput 5utput
A - : ( A=-
0 0 0
0 1 1
1 0 1
1 1 1
2.3 Ge'(ang NOT
Aerbang 65? sering disebut dengan gerbang in<erter. Aerbang ini merupakan
gerbang logika yang paling mudah diingat. Aerbang 65? memiliki 1 buah saluran
masukan .input/ dan 1 buah saluran keluaran .output/. Aerbang 65? akan selalu
menghasilkan nilai logika yang berla>anan dengan kondisi logika pada saluran
masukannya. -ila pada saluran masukannya berlogic 1 maka pada saluran keluarannya
akan berlogic 0 dan sebaliknya.
Gambar 2.3 Simbol Gerbang Logika OR 2 input
Dengan persamaan output logika fungsi 65? adalah : ( A .dibaca : ( 65? A/.
?abel 8ebenaran4
Cnput 5utput
A : ( A
0 1
1 0
9
2. Ge'(ang NAND (NOT AND)
Aerbang 6A6D merupakan kombinasi dari gerbang A6D dengan gerbang
65? dimana keluaran gerbang A6D dihubungkan ke saluran masukan dari gerbang
65?. 8arena keluaran dari gerbang A6D diE65?Ekan maka prinsip kerja dari
gerbang 6A6D merupakan kebalikan dari gerbang A6D. 5utputnya merupakan
komplemen atau kebalikan dari gerbang A6D, yakni memberikan keadaan le<el
logic 0 pada outputnya jika dan hanya jika keadaan semua inputnya berlogika 1.
Aerbang ,ogika 6A6D pada Datasheet nama lainnya C2 ??, %"00.
Gambar 2. Simbol Gerbang Logika NAND 2 input
Aerbang 6A6D merupakan kombinasi dari gerbang A6D dan 65?
A
F
B
*ersamaan output logika fungsi 6A6D adalah : ( .AD-/
?abel 8ebenaran Aerbang ,ogika 6A6D 4
Cnput 5utput
A - : ( .AD-/
0 0 1
0 1 1
1 0 1
1 1 0
2.! Ge'(ang NOR (NOT OR)
Sama halnya dengan 6A6D Aate, gerbang 65) merupakan kombinasi dari gerbang
5) dengan gerbang 65? dimana keluaran gerbang 5) dihubungkan ke saluran masukan
dari gerbang 65?. 8arena keluaran dari gerbang 5) diE65?Ekan maka prinsip
kerja dari gerbang 65) merupakan kebalikan dari gerbang 5). 5utputnya merupakan
komplemen atau kebalikan dari gerbang 5), yakni memberikan keadaan le<el logic 0 pada
outputnya jika salah satu atau lebih inputnya berlogika 1. Aerbang ,ogika 65) pada
Datasheet nama lainnya C2 ??, %"0.
10
Gambar 2.! Simbol Gerbang Logika NOR 2 input
A
F
B
Aerbang 65) dari 8ombinasi Aerbang 5) dan Aerbang 65?
A
F
B
*ersamaan output logika fungsi 65) adalah : ( .A=-/
?abel kebenaran Aerbang ,ogika 65) 4
Cnput 5utput
A - : ( .A=-/
0 0 1
0 1 0
1 0 0
1 1 0
2." Ge'(ang E)*OR (E+,l-si.e OR)
1B-5) singkatan dari 1;clusi<e 5) dimana jika input berlogic sama maka
output akan berlogic 0 dan sebaliknya jika input berlogic beda maka output akan
berlogic 1. Aerbang ,ogika 1B-5) pada Datasheet nama lainnya C2 ??, %"&$.
Gambar 2." Simbol Gerbang Logika #$%OR 2 input
*ersamaan 5utput ,ogika :ungsi 1B-5) adalah : ( .AD-/ = .AD-/
?abel kebenaran gerbang logika 1B-5) 4
Cnput 5utput
A - : ( .AD-/=.AD-/
0 0 0
0 1 1
1 0 1
1 1 0
11
2.# Ge'(ang E)*NOR (E+,l-si.e NOR)
1B-65) gate adalah kebalikan dari 1B-5) gate dimana jika input berlogic sama
maka output akan berlogic 1 dan sebaliknya jika input berlogic beda maka output
akan berlogic 0. Aerbang ,ogika 1B-65) pada Datasheet nama lainnya C2 ??, %"$$.
Gambar 2.& Simbol Gerbang Logika #$%NOR 2 input
*ersamaan output logika fungsi 1B-65) adalah : ( .AD-/ = .AD-/
?abel 8ebenaran gerbang logika 1B-65) 4
Cnput 5utput
A - : ( .AD-/=.AD-/
0 0 1
0 1 0
1 0 0
1 1 1
12
BAB III
AL/ABAR BOOLEAN * KARNA0G1 MA2
3.1 Al3a(a' B44lean
Aljabar boolean merupakan aljabar yang terdiri atas suatu himpunan dengan dua
operator biner yang didefinisikan pada himpunan tersebut. Dengan aljabar boolean
dimaksudkan suatu sistem yang dibentuk oleh himpunan - dengan dua operator biner
.. dan =/, satu operasi singular .yang diberi notasi ..F/, dan dua elemen khusus .0 dan 1/
sedemikian rupa sehingga membentuk aksioma.
Aljabar -oolean menggunakan beberapa hukum yang sama seperti aljabar biasa.
fungsi 5) .B ( A = -/ adalah -oolean penambahan dan fungsi A6D .B ( A-/ adalah
-oolean perkalian. Ckuti tiga hukum yang sama untuk aljabar -oolean seperti aljabar biasa
ini4
1. 7ukum *ertukaran .2ommulati<e/
2ontohnya penambahan A=- ( -=A, dan perkalian A- ( -A. 7ukum ini berarti
menghubungkan beberapa <ariable 5) atau A6D tidak bermasalah.
. 7ukum *engelompokkan .Associati<e/
2ontohnya penambahan A=.-=2/ ( .A=-/=2 dan perkalian A.-2/ ( .A-/2. hukum
ini berarti menggabungkan beberapa <ariable 5) atau A6D bersamaan tidak
masalah.
!. 7ukum Distribusi .Distributi<e/
2ontohnya A.-=2/ ( A- = A2, dan .A=-/.2=D/ ( A2=AD=-2=-D. 7ukum ini
menampilkan metode untuk mengembangkan persamaan yang mengandung
5) dan A6D.
?iga hukum ini mempunyai kebenaran untuk beberapa <ariable. Sebagai contoh
hukum penambahan dapat dipakai pada B ( A=-2=D untuk persamaan B ( -2= A=D.
13
7ukum Aljabar -oolean.
7ukum aljabar -oolean *eraturan Aljabar -oolean
1. A=- ( -=A
A- ( -A
. A=.-=2/ ( .A=-/=2
A.-2/ ( .A-/2
!. A.-=2/ ( A-=A2
.A=-/ .2=D/ ( A2=AD =-2=-D
1. A . 0 ( 0
. A . 1 ( 0
!. A = 0 ( A
". A = 1 ( 1
#. A = A ( A
$. A . A ( A
%. A . A ( 0
&. A = A ( 1
'. A ( A
10. a. A = A- ( A = -
b. A = A- ( A = -
Aljabar -oolean menyediakan operasi dan aturan untuk bekerja dengan
himpunan G0, 1H. Akan dibahas ! buah operasi 4
I komplemen -oolean,
I penjumlahan -oolean , dan
I perkalian -oolean
8omplemen -oolean dituliskan dengan bar0garis atas dengan aturan sebagai berikut 4
01( dan 10(
*enjumlahan -oolean dituliskan dengan = atau 5), mempunyai aturan sbb 4
1 = 1 ( 1, 1 = 0 ( 1, 0 = 1 ( 1, 0 = 0 ( 0
Sedangkan perkalian -oolean yang dituliskan dengan JE atau A6D, mempunyai aturan
sbb4 1 1 ( 1, 1 0 ( 0, 0 1 ( 0, 0 0 ( 0
3.2 Ka'na-g5 Ma6
Salah satu metode penyederhanaan fungsi logika untuk maksimal "
<ariabel dapat dilakukan dengan metode 8-+ap .8arnaugh +ap/. Sebab jika lebih
dari " <ariabel kita menggunakan metode Kuine +c 2luskey. Adapun contoh
penyederhanaan fungsi logika dengan menggunakan 8-+ap adalah sebagai berikut4
2ontoh4
Sederhanakan fungsi logika dengan ! <ariabel berikut ini 4
8arena bentuk ekspresi fungsi diatas adalah S5* maka pada matrik 8-+ap kita
letakkan angka 1. Sehingga 8 -+ap tersebut akan tampak seperti4
14
sehingga dari 8-+ap tersebut didapat penyederhanaan fungsi sebagai berikut4
2ontoh .14
Sederhanakan fungsi logika dengan " <ariabel berikut ini 4
+aka 8-+ap akan berbentuk seperti 4
sehingga dari 8-+ap tersebut didapat penyederhanaan fungsi sebagai berikut4
2ontoh .4
Sederhanakan fungsi logika dengan " <ariabel berikut ini 4
8arena bentuk ekspresi fungsi diatas adalah *5S, maka kita tempatkan 0 pada 8-
+ap. Sehingga 8 -+ap akan tampak seperti berikut4
hasil penyederhanaan 8-+ap adalah4
15
BAB IV
2ERAN7ANGAN SISTEM DIGITAL
Sistem merupakan sekumpulan 8omponen0elemen yang saling terkait satu sama lain
sedemikian sehingga saling bekerja sama untuk satu tujuan tertentu seperti maksud dari si-
perancang sistem tersebut. Sistem Digital adalah Sistem yang input dan outputnya merupakan
himpunan-himpunan berhingga yang anggotanya berupa besaran 8is9'et. Dalam
implementasinya besaran-besaran tersebut disandikan menggunakan <ariabel-<ariabel (ine'.
Sistem melakukan transformasi 8ata dan is:a'at.
Diag'am Bl49 Sistem Digital
1. Le.el 2e'an,angan Sistem Digital
,e<el Arsitektural4 Sistem dianggap melakukan komputasi data. *ada le<el ini
dispesifikasikan satu set operasi yang harus dimiliki sistem, spesifikasi input0outputnya,
kecepatan operasi dsb.
,e<el ,ogika4 Sistem dianggap melakukan satu set fungsi logika untuk
mengimplementasikan spesifikasi le<el Arsitektural.
,e<el 1lektronis4 *ada le<el ini, fungsi-fungsi logika yang diimplementasikan pada
le<el logika akan diimplementasikan ke dalam untai-untai elektronis.
16
2. S6esi;i9asi 8an Im6lementasi sistem 8igital
Spesifikasi +engacu pada diskripsi fungsional sistem apa yang dapat dilakukan
oleh sistem dan karakteristik pemakaiannya .kecepatan, teknologi, konsumsi dayanya
dsb/. Cmplementasi .hasil rancangan/ mendiskripsikan bagaimana sistem
dikonstruksikan, dengan saling menghubungkan komponen - komponen dasar pada
le<el tertentu.
*erancangan adalah proses merancang implementasi yang dapat memenuhi
spesifikasi sistem. Analisis adalah proses mencari spesifikasi sistem, analisis dilakukan
terhadap sebuah hasil rancangan .Cmplementasi/. 7asil analisis ini dibandingkan untuk
menentukan apakah suatu hasil rancangan memenuhi spesifikasi yang telah ditentukan
dia>al perancangan.
3. 2ening9atan Kine'3a
8inerja sistem digital tergantung pada kecepatan untai pembentuk unit-unit
fungsionalnya. 8inerja yang lebih baik dapat dicapai dengan menggunakan untai yang
kecepatannya lebih baik. *eningkatan kecepatan 4
*endekatan teknologis, yaitu dengan menggunakan komponen-komponen dengan
teknologi kecepatan tinggi
*endekatan arsitektural, yaitu dengan memanipulasi struktur untai fungsional.
Dampak yang mempengaruhi dalam perancangan sistem digital iyalah4
Dampak perkembangan mikroelektronik .teknologi ic/4 meningkatnya kompleksitas
untai .karena derajad integrasi yang tinggi/, pendeknya li*e+cycle sebuah produk
elektronik.
Dampak terhadap perancangan4 aspek optimasi unjuk kerja dan area .chip-area/,
aspektestability hasil rancangan, aspek >aktu perancangan, automatisasi perancangan
.dengan cad sebagai desain tool/ membantu mempercepat proses perancangan dan
untuk mengurangi human-error.
17
. Ragam 2e'an,angan
-erdasarkan komponen-komponen dasar yang digunakan untuk membangun
sebuah sistem digital, terdapat ragam perancangan, yaitu 4
a. Semi 7-st4m< +enggunakan chip0C2 yang seluruh atau sebagian rangkaian
internalnya sudah diprogam oleh pabrik.
2hip-2hip -aku .Standard/4 )angkaian internal chip-chip tersebut
mengimplementasikan fungsi-fungsi logika standar yang sederhana dan
terbatas ragamnya. 3ntuk membangun sebuah sistem digital si-perancang
memilih chip-chip tersebut dan menentukan interkoneksi antar chip.
*rogramable ,ogic De<ices .*ld/4 -erbeda dari chip-chip baku, chip-chip *,D
memiliki struktur umum yang terdiri dari sejumlah besar saklar yang dapat
dikonfigurasi .diprogram/ dan direkonfigurasi oleh perancang untuk
mengimplementasikan berbagai fungsi non standar.
2ontoh4 1*)5+, *,A, *A,, :*AA.
2ontoh4 +ultiplekser0Demultiplekser, Decoder01ncoder, Adder, +ultiplier.
b. 7-st4m Design 75i6s < *ada ragam perancangan ini si *erancang harus
merancang sendiri rangkaian logika yang harus ada di dalam chip untuk
mengimplementasikan sistem yang dirancang, dan juga menentukan teknologi
untuk mengimplementasikan chip tersebut. )ancangan dapat dioperasikan baik
kinerja maupun pemakaian areanya. Selanjutnya chip ini akan dibuat oleh pabrik
pembuat C2. 8arena pada umumnya rancangan ini ditujukan untuk aplikasi khusus,
maka chip-nya disebut ASC2 .,pplication Speci(ic -ntegrated Circuit/.
!. 2'4ses 2e'an,angan
K4nse6< 8onseptualisasi ide .ide baru atau peningkatan pruduk lama/= +enganalisis
kebutuhan .kinerja, fungsi, harga/ = Spesifikasi produk .apa yang dapat dilakukan oleh
produk tsb/.
Ran,angan 2e'ila9-< +endiskripsikan *erilaku produk .bagaimana produk tsb
melakukan/.
Ran,angan >-ngsi4nal< +engidentifikasikan unit fungsional dan interkoneksi antar
unit.
Ran,angan L4gi9a< +erancang logika masing-masing unit fungsional.
Ran,angan >isi9< +erancang penempatan fisik .tata letak/ komponen-komponen dasar,
route kabel penghubung antar komponen.
18
BAB V
>LI2 * >LO2 DAN RANGKAIAN 7O0NTER
!.1 >li6 * >l46
:lip-flop adalah keluarga +ulti<ibrator yang mempunyai dua keadaaan
stabil atau disebut -istobil +ulti<ibrator. )angkaian flip-flop mempunyai sifat
sekuensial karena sistem kerjanya diatur dengan jam atau pulsa, yaitu
sistemsistem tersebut bekerja secara sinkron dengan deretan pulsa berperiode
? yang disebut jam sistem .System 2lock atau disingkat menjadi 28/.
:lip-flop adalah rangkaian yang mempunyai fungsi pengingat .memory/.
Artinya rangkaian ini mampu melakukan proses penyimpanan data sesuai
dengan kombinasi masukan yang diberikan kepadanya. Data yang tersimpan itu
dapat dikeluarkan sesuai dengan kombinasi masukan yang diberikan.
Ada beberapa macam flip-flop yang akan dibahas, yaitu flip-flop )-S,
flipflop L-8, dan flip-flop D. Sebagai tambahan akan dibahas pula masalah
pemicuan yang akan mengaktifkan kerja flip-flop.
7ubungan input-output ideal yang dapat terjadi pada flip-flop adalah4
1/ Set, yaitu jika suatu kondisi masukan mengakibatkan keluaran .K/
bernilai logika positif .1/ saat dipicu, apapun kondisi sebelumnya.
/ )eset, yaitu jika suatu kondisi masukan mengakibatkan keluaran .K/
bernilai logika negatif .0/ saat dipicu, apapun kondisi sebelumnya.
!/ ?etap, yaitu jika suatu kondisi masukan mengakibatkan keluaran .K/
tidak berubah dari kondisi sebelumnya saat dipicu.
"/ ?oggle, yaitu jika suatu kondisi masukan mengakibatkan logika
keluaran .K/ berkebalikan dari kondisi sebelumnya saat dipicu.
Secara ideal berdasar perancangan kondisi keluaran KF selalu
berkebalikan dari kondisi keluaran K.
*emicuan :lip-:lop
*ada flip-flop untuk menyerempakkan masukan yang diberikan pada
kedua masukannya maka diperlukan sebuah clock untuk memungkinkan hal itu
19
terjadi. 2lock yang dimaksud di sini adalah sinyal pulsa yang beberapa
kondisinya dapat digunakan untuk memicu flip-flop untuk bekerja.
Ada beberapa kondisi clock yang biasa digunakan untuk menyerempakkan
kerja flip-flop yaitu 4
1/ ?epi naik 4 yaitu saat perubahan sinyal clock dari logika rendah .0/ ke logika
tinggi.
/ ?epi turun 4 yaitu saat perubahan sinyal clock dari logika tinggi .1/ kelogika rendah
.0/.
!/ ,ogika tinggi 4 yaitu saat sinyal clock berada dalam logika 1.
,ogika rendah 4 yaitu saat sinyal clock berada dalam logika 0.
Gambar !.1. .ondisi Pemicuan Cloc!
Gambar !.2. Simbol+simbol Pemicuan
a) :lip-:lop )-S
:lip-flop )-S adalah rangkaian dasar dari semua jenis flip-flop yang ada.
?erdapat berbagai macam rangkaian flip-flop )-S, pada percobaan ini flip-flop
)-S disusun dari empat buah gerbang 6A6D masukan. Dua masukan flip-flop
ini adalah S .set/ dan ) .reset/, serta dua keluarannya adalah K dan KF.
8ondisi keluaran akan tetap ketika kedua masukan ) dan S berlogika 0.
Sedangkan pada kondisi masukan ) dan S berlogika 1 maka kedua keluaran akan
berlogika 1, hal ini sangat dihindari karena bila kondisi masukan diubah menjadi
berlogika 0 kondisi kelurannya tidak dapat diprediksi .bisa 1 atau 0/.
Gambar !.3. Simbol /lip+/lop 0+S Gambar !. 0ang!aian /lip (lop 0+S
20
'abel !.1 Tabel .ebenaran
Cn 5ut 8eterangan
) S K KM
0 0 1 1 Dilarang
0 1 0 1 58
1 0 1 0 58
1 1 - - ?idak diketahui
(esimpulan
Lika harga ) ( 0 dan S ( 0 maka 5utputnya K ( 1 dan KM( 1, hasil tersebut dilarang.
1. Lika harga ) ( 0 dan S ( 1 maka 5utputnya K ( 0 dan -ukan KM ( 1, hasil
tersebut 58.
. Lika harga ) ( 1 dan S ( 0 maka 5utputnya K ( 1 dan -ukan KM ( 0, hasil
tersebut 58.
!. Lika harga ) ( 1 dan S ( 1, hasil yang diperoleh tidak diketahui.
NApabila hasil 5utputnya berlainan, maka )S :lip-:lop dapat dipakai, dan jika
kedua 5utputnya sama, maka hasilnya dilarang dan tidak diketahuiN.
() >li6*>l46 D
:lip-flop D dapat disusun dari flip-flop S-) atau flip-flop L-8 yang
masukannya saling berkebalikan. 7al ini dimungkinkan dengan menambahkan salah satu
masukannya dengan in<erter agar kedua masukan flip-flop selalu dalam kondisi
berla>anan. :lip-flop ini dinamakan dengan flip-flop data karena keluarannya selalu
sama dengan masukan yang diberikan. Saat flip-flop pada keadaan aktif,
masukan akan diteruskan ke saluran keluaran.
Gambar !.!. Simbol /lip+(lop D Gambar !.". 0ang!aian /lip+(lop D
21
Dari gambar rangkaian gerbang ::OD di atas, maka simbol logika ::-D yang dirangkai
dari ::O)S menjadi 4
Gambar !.&. 0ang!aian -C S$ 1414 /lip /lop D
Ta(el Ke(ena'an <
Saat +) dan +S tidak aktif Saat +) dan +S aktif
In O-t
7 D ? ?@
0 ke 1 0 0 1
0 ke 1 1 1 0

(esimpulan
1. Lika +) dan +S nya berlainan, maka hasilnya 58.
. Lika +) ( 1, +S ( 1, maka hasilnya tidak terdefenisi.
!. Lika +) ( 0, +S ( 0. maka hasilnya dilarang.
,) >li6*>l46 /*K
:lip-flop L-8 merupakan penyempurnaan dari flip-flop )-S terutama untuk
mengatasi masalah osilasi, yaitu dengan adanya umpan balik, serta masalah
kondisi terlarang seperti yang telah dijelaskan di atas, yaitu pada kondisi
masukan L dan 8 berlogika 1 yang akan membuat kondisi keluaran menjadi berla>anan
22
In O-t
MR MS ? ?@
1 0 1 0
0 1 0 1
1 1 - -
0 0 1 1
dengan kondisi keluaran sebelumnya atau dikenal dengan istilah toggle. Sementara untuk
keluaran berdasarkan kondisi-kondisi masukan yang lain semua sama dengan flip-flop )-
S.
Gambar !.). Simbol /lip+(lop 2+. Gambar !.*. 0ang!aian /lip+(lop 2+.

Gambar !.1+. 0ang!aian -C S$ 1413 /lip /lop 2+.
'abel !.2 Tabel .ebenaran
In O-t
7 / K ? ?@
1 ke 0 0 0 - -
1 ke 0 0 1 0 1
1 ke 0 1 0 1 0
1 ke 0 1 1 1 1
6- 4 sifat ini hanya berlaku jika +S dan +) tidak aktif. Lika ingin diaktifkan
lihat tabel di atas.
(esimpulan
1. Lika L ( 0, 8 ( 0 maka 5utputnya tidak berubah.
. Lika L ( 1, 8 ( 0 maka K ( 1, KM ( 0.
!. Lika L ( 0, 8 ( 1 maka K ( 0, KM ( 1.
". Lika ) ( 0, S ( 1 maka K ( 1, KM (1 .kondisi berla>anan/.
23
!.2 74-nte' (2en,a,a5A2eng5it-ng)
+encacah dapat diartikan menghitung, hampir semua sistem logika
menerapkan pencacah. 8omputer digit menerapkan pencacah guna
mengemudikan urutan dan pelaksanaan langkah - langkah dalam program.
:ungsi dasar pencacah adalah untuk JmengingatE berapa banyak pulsa detak
yang telah dimasukkan kepada masukkanP sehingga pengertian paling dasar
pencacah adalah system memori. ?erdapat jenis pencacah .counter/, yaitu 4
1/ *encacah sinkron .synchronous counters/,.yang beroperasi serentak dengan
pulsa clock /yang kadang - kadang disebut juga pencacah deret .series counters/,
atau pencacah jajar.
/ *encacah tak sinkron .asynchronuous counters/,.yang beroperasi tidak
serentak dengan pulsa clock /atau pencacah kerut .ripple counters/.
*encacah juga memiliki karakteristik yang penting, yaitu 4
1. Sampai berapa banyak ia dapat mencacah .modulo pencacah/P
. +encacah maju, ataukah mencacah mundurP
!. 8erjanya sinkron atau tak sinkronP
-eberapa kegunaan pencacah 4
1. +enghitung banyaknya detak pulsa dalam satu periode >aktu
. +embagi frekuensi
!. *engurutan alamat
". -eberapa rangkaian aritmatika.
!.2.1 2en,a,a5 Sin9'4n Bine'
*encacah sinkron dinamai juga pencacah jajar. +asukkan untuk
denyut - denyut sulut .triager pulses/ yang juga disebut denyut - denyut
lonceng yang dikendalikan secara serempak. Aambar berikut merupakan suatu
pencacah sinkron biner.
Gambar !.* Pencacah sin!ron untu! bilangan + bilangan biner
24
Gambar !.1+ Pencacah sin!ron 2 bit mengguna!an (lip + (lop D
!.2.2 2en,a,a5 Ta9 Sin9'4n
*encacah tak sinkron .ripple trough counter0special counter/. Dinamakan
juga serial counter karena output yang dihasilkan masing - masing flip flop
yang digunakan akan berubah kondisi dari 0 ke 1, atau sebaliknya dengan
secara berurutan . 7al ini disebabkan karena hanya flip - flop yang paling ujung
saja yang dikendalikan oleh sinyal clock, sedangkan clock untuk flip - flop
yang lainnya diambil dari masing - masing flip flop sebelumnya.
Adapun jenis - jenis pencacah tak sinkron adalah 4
I. 2en,a,a5 ma3- ta9 sin9'4n ( -6 ,4-nte')
Dasar dari rangkaian pencacah ini adalah ?-:lip flop
Gambar !.11 Pencacah ma3u ta! sin!ron
KA K- K2 KD
Dari gambar dapat terlihat bah>a flip flop yang pertama adalah flip flop
yang dikendalikan oleh sinyal clock. 3mpamakan itu adalah rangkaian flip
flop A, maka outpunya adalah KA yang akan menjadi sinyal clock untuk
-, begitu seterusnya sehingga output 2 .Kc/ yang akan menjadi sinyal clock D
yang akan menghasilkan output Kd.
II. 2en,a,a5 8e,a8e
25
*encacah ini menghasilkan kode bilangan dalam bit biner, dan akan
menghitung sampai dengan batas yang ditentukan. Salah satunya adalah
pencacah &"1 -2D counter, pencacah ini akan menghasilkan bilangan kode
&"1 -2D dari bilangan decimal 0 - '. dengan demikian pencacah ini hanya
akan menghitung maju dari 0000 - 1001, lalu kembali lagi.
Gambar !.12 Pencacah ripel decade
Gambar !.13 Pencacah sin!ron 4 bit dengan muatan ripel
26
27
BAB VI
RANGKAIAN ADDER DAN S0BTRA7TOR
)angkaian aritmetika digital dasar terdiri dari dua macam 4 Adder, atau rangkaian
penjumlah, berfungsi menjumlahkan dua buah bilangan yang telah dikon<ersikan menjadi
bilangan-bilangan biner, dan Subtraktor, atau rangkaian pengurang, yang berfungsi
mengurangkan dua buah bilangan.
1. 1AL> ADDER
Sebuah rangkaian ,dder terdiri dari "al( ,dder dan /ull ,dder. 7alf Adder
menjumlahkan dua buah bit input, dan menghasilkan nilai jumlahan .sum/ dan nilai
lebihnya .carry+out/. "al( ,dder diletakkan sebagai penjumlah dari bit-bit terendah .4east
Signi(icant Bit/. -lok Diagram dari sebuah rangkaian "al( ,dder ditunjukkan pada
gambar $.1.
Gambar ".1 Blo! Diagram "al( ,dder Gambar ".2. Prinsip .er3a "al(
,dder

28
Half
Adder
A
B

CB
O
B
I
N
P
U
T
O
U
T
P
U
T
C
in
C
in
A
1
A
0
+ B
1
B
0

2

1

0
+ +
C
out
C
out
'abel ".1. Tabel .ebenaran "al( ,dder
-erdasarkan output-output yang didapatkan dari ?abel 8ebenaran, dibuat rangkaian
seperti gambar $.!.
Gambar ".3. 0ang!aian "al( ,dder
2. >0LL ADDER
Sebuah /ull ,dder menjumlahkan dua bilangan yang telah dikon<ersikan menjadi
bilangan-bilangan biner. +asing-masing bit pada posisi yang sama saling dijumlahkan.
/ull ,dder sebagai penjumlah pada bit-bit selain yang terendah. /ull ,dder
menjumlahkan dua bit input ditambah dengan nilai Carry+ut dari penjumlahan bit
sebelumnya. 5utput dari :ull Adder adalah hasil penjumlahan .Sum/ dan bit kelebihannya
.carry+out/. -lok diagram dari sebuah (ull adder diberikan pada gambar $.".
Gambar ".. Blo! Diagram /ull ,dder
29
A
0
B
0
R
0
=A
0
B
0
+A
0
B
0
B
OUT
=A
0
B
0
Full
Adder
A
B

CBBB
O
BBB
CBBB
IN
BBB
I
N
P
U
T
O
U
T
P
U
T
A
0
B
0

0
C
out
0 0 0 0
0 1 1 0
1 0 1 0
1 1 0 1
'abel ).2. Tabel .ebenaran /ull ,dder
-erdasarkan output-output yang didapatkan dari ?abel 8ebenaran, dibuat rangkaian
seperti gambar $.#.
Gambar ".!. 0ang!aian /ull ,dder
3. 1AL> S0BTRA7TOR
Sebuah rangkaian Subtractor terdiri dari "al( Subtractor dan /ull Subtractor. "al(
Subtractor mengurangkan dua buah bit input, dan menghasilkan nilai hasil pengurangan
.0emain/ dan nilai yang dipinjam .Borro5+out/. "al( Subtractor diletakkan sebagai
pengurang dari bit-bit terendah .4east Signi(icant Bit/. -lok Diagram dari sebuah
rangkaian "al( Subtractor ditunjukkan pada gambar $.$.
Gambar ".". Blo! Diagram Gambar ".&. Prinsip .er3a
"al( Subtractor "al( Subtractor
30
A
1
B
1
C
IN

1
C
out
0 0 0 0 0
0 0 1 1 0
0 1 0 1 0
0 1 1 0 1
1 0 0 1 0
1 0 1 0 1
1 1 0 0 1
1 1 1 1 1
A
1
B
1
C
IN
C
OUT

1
Sebuah "al( Subtractor mempunyai ?abel 8ebenaran seperti pada ?abel $.!.
'abel ".3. Tabel .ebenaran "al( Subtractor
-erdasarkan output-output yang didapatkan dari ?abel 8ebenaran, dibuat rangkaian
seperti gambar $.&.
Gambar ".). 0ang!aian "al( Subtractor
. >0LL S0BTRA7TOR
Sebuah /ull Subtractor mengurangkan dua bilangan yang telah dikon<ersikan menjadi
bilangan-bilangan biner. +asing-masing bit pada posisi yang sama saling dikurangkan.
/ull Subtractor mengurangkan dua bit input dan nilai Borro5+ut dari pengurangan bit
31
Half
Subtractor
A
B
R
B
O
I
N
P
U
T
O
U
T
P
U
T
A
0
B
0
R
0
B
out
0 0 0 0
0 1 1 1
1 0 1 0
1 1 0 0
A
0
B
0
R
0
=A
0
B
0
+A
0
B
0
B
OUT
=A
0
B
0
B
in
B
in
A
1
A
0
- B
1
B
0
R
1
R
0
+ +
B
out
B
out
sebelumnya 5utput dari /ull Subtractor adalah hasil pengurangan .0emain/ dan bit
pinjamannya .borro5+out/. -lok diagram dari sebuah (ull subtractor diberikan pada
gambar $.'.
Gambar ".*. Blo! Diagram /ull Subtractor
'abel )%. Tabel .ebenaran /ull Subtractor
-erdasarkan output-output yang didapatkan dari ?abel 8ebenaran, dibuat rangkaian
seperti gambar $.10.
Gambar ".1+. 0ang!aian /ull Subtractor
32
A
1
B
1
B
IN
R
1
B
out
0 0 0 0 0
0 0 1 1 1
0 1 0 1 1
0 1 1 0 1
1 0 0 1 0
1 0 1 0 0
1 1 0 0 0
1 1 1 1 1
A
1
BBBB
1
BB
B
BBBB
IN
BBB
RBBB
1
BBB
BBBB
OUT
BBB
Full
Subtracto
r
A
B
R
BBBB
O
BBB
BBBB
IN
BBB
I
N
P
U
T
O
U
T
P
U
T

BAB VII
RANGKAIAN M0LTI2LE)ER DAN DE*M0LTI2LE)ER
#.1 M-lti6le+e'
+ultiple;er adalah suatu rangkaian yang mempunyai banyak input dan hanya
mempunyai satu output. Dengan menggunakan selektor, dapat dipilih salah satu
inputnya untuk dijadikan output. Sehingga dapat dikatakan bah>a multiple;er ini
mempunyai n-input, m-selector , dan 1 output. -iasanya jumlah inputnya adalah m
selektornya. Adapun macam dari multiple;er ini adalah sebagai berikut4
o +ultiple;er ";1 atau " to 1 multiple;er
o +ultiple;er &;1 atau & to 1 multiple;er
o +ultiple;er 1$;1 atau 1$ to 1 multiple;er dsb
Gambar &.1. 0ang!aian 'ultiple#er
33
'abel &.1 Tabel .ebenaran 'ultiple#er
+ultiple;er atau selektor data adalah suatu rangkaian logika yang menerima
input data dan untuk saat tertentu hanya mengijinkan satu dari data input tersebut
untuk le>at mencapai output. Lalan yang akan ditempuh dari input data yang
diinginkan ke output dikontrol oleh input-input S1,12? .kadang - kadang disebut
input input ADD)1SS/.Di ba>ah ini merupakan gambar diagram dasar
multiple;er.
Gambar &.2. Diagram Dasar 'ultiple#er
+ultiple;er bekerja seperti sebuah saklar .s>itch/ multi posisi yang dikontrol
secara digital, dimana kode digital yang diberikan ke input - input S1,12?
mengontrol input - input data mana yang di s>itch ke output. misalnya, pada
multiple;er dua input, output Q akan sama dengan input data Co untuk kode input
S1,12? berlogik 1, R akan sama dengan C1 untuk kode input S1,12? berlogik 0.
Dengan kata lain multiple;er memiilih 1 dari 6 data input dan menyalurkan data
yang terpilih ke suatu chanel output tunggal.
Gambar &.3. 0ang!aian -C TT4 141%3 'ultiple#er 2 !eluaran
34
#.2 De * M-lti6le+e'
Sebuah Demultiple;er adalah rangkaian logika yang menerima satu input data
dan mendistribusikan input tersebut ke beberapa output yang tersedia. 8endali pada
demultiplekser akan memilih saklar mana yang akan dihubungkan. *emilihan
keluarannya dilakukan melalui masukan penyeleksi. Seleksi data-data input dilakukan
oleh selector line, yang juga merupakan input dari demultiplekser tersebut. *ada
demultiplekser saluran kendali sebanyak NnN saluran dapat menyeleksi saluran
keluaran. Secara bagan, kerja demultiplekser dapat digambarkan sebagai berikut 4
Gambar &.. 0ang!aian Dasar De+'ultiple#er 2 !eluaran
*ada demultiplekser, masukan data dapat terdiri dari beberapa bit. 8eluarannya
terdiri dari beberapa jalur, masing-masing jalur terdiri dari satu atau lebih dari satu bit.
+asukan selector terdiri dari satu atau lebih dari satu bit tergantung pada banyaknya
jalur keluaran. Sedangkan tabel kebenaran sebuah demultiplekser dengan select line
dapat ditunjukan pada ?abel %..
'abel &.2. Tabel !ebenaran demultiple!ser dengan 2 select line
Cnput 5utput
Cnp

35
0 0 0 0 ; ; ;
0 0 1 1 ; ; ;
0 1 0 ; 0 ; ;
0 1 1 ; 1 ; ;
1 0 0 ; ; 0 ;
1 0 1 ; ; 1 ;
1 1 0 ; ; ; 0
1 1 1 ; ; ; 1
Gambar &.!. 0ang!ain 4ogi!a Gambar &.". 0ang!aian Dis!rit
Demultiple#er Demultiple#er

BAB VIII
MI7RO7ONTROLLER
$.1 2engenalan Mi9'494nt'4lle'
+ikrokontroler merupakan
suatu rangkaian terintegrasi
.Cntegrated 2ircuit/ atau biasa
disebut C2, dimana didalamnya
berisi 2*3 .2entral *rocessing
3nit/, )A+ .)andom Access
+emory/, )5+ .)ead 5nly
36
+emory/, dan C05 .Cnput05utput/ yang dapat diprogram. Dengan adanya sistem
pendukung tersebut, mikrokontroler dapat melakukan proses berpikir berdasarkan
program. 7al ini menjadi sebuah terobosan teknologi mikroprosesor dan
mikrokomputer dalam perancangan sebuah sistem kecerdasan buatan yang lebih
kompleks.
+ikrokontroler umumnya dikelompokkan dalam satu keluarga. -erikut adalah
contoh-contoh keluarga mikrokontroler4
I 8eluarga +2S-#1
I 8eluarga +2$&720#
I 8eluarga +2$&7211
I 8eluarga AS)
I 8eluarga *C2 &
MIKROKONTROLER AT$%S!2
+ikrokontroler A?&'S# adalah sebuah mikrokontroler buatan A?+1,.
+ikrokontroler ini masih termasuk dalam keluarga mikrokontroler +2S-#1 yaitu
merupakan <ersi yang dilengkapi dengan )5+ tersendiri .internal/. +ikrokontroler
A?&'S# adalah mikrokontroler dengan konsumsi po>er yang rendah dan performa
yang tinggi . lo> po>er - high performance / 2+5S &-bit dengan &8 bytes dari CS*
.Cn Serial *rogramming/ :lash memory. C2 mikrokontroler ini sesuai dengan standar
+2S-#1. -aik dari instruksi maupun pin-pinnya dapat diaplikasikan sebagai
1mbedded 2ontroller.
>it-' :ang 8ise8ia9an 4le5 Mi9'494nt'4le' AT$%S!2 ini a8ala5<
1. 8ompatibel dengan produk +2S-#1.
. &8 byte Cn Serial *rogrammable :lash +emory
Dapat dilakukan pemrograman 1000 tulis dan hapus.
!. )ange catu daya ",0S s0d #,#S.
". 5perasi statis4 0 7Q s0d !! +7Q.
#. ?iga ?ingkat *rogram memory lock.
$. #$ ; & bit )A+ internal.
%. ! *rogrammable Lalur C05.
&. Dua 1$ bit ?imer0 2ounter.
'. 1nam Sumber Cnterupsi.
10. :ull Duple; Serial 2hannel.
11. ,o> *o>er Cdle dan +ode *o>er Do>n.
37
1. Tatch Dog ?imer.
1!. Dual Data *ointer.
1". *o>er 5ff :lag.
1#. Taktu pemograman cepat .:ast *rogramming ?ime/.
1$. :leksibel CS* programming.
K4n;ig-'asi 2in Mi9'494nt'4le' AT$%S!2
Adapun konfigurasi pin +ikrokontroler A?&'S# dapat dilihat pada gambar .1 dan
penjelasan fungsi tiap-tiap pin adalah sebagai berikut4
*in "0, S22
+erupakan pin untuk ?egangan Supply
*in 0, A6D
*in untuk dihubungkan ke Around atau SUUss
*in ! s0d !' adalah *ort-0
*ort 0, merupakan port C05 & bit open drain dua arah. Sebagai sebuah port, setiap
pin dapat mengendalikan & input ??,. 8etika logika J1E dituliskan ke port 0,
maka port dapat digunakan sebagai input dengan high impedansi. *ort 0 dapat
juga dikonfigurasikan untuk multipleksing dengan address0data bus pada saat
mengakses memori program atau data eksternal. *ada mode ini *0 harus
mempunyai pull up.
*in 1 s0d pin & adalah *ort1
*ort 1 merupakan port C00 & bit dua arah dengan internal pull up. -uffer output port
1 dapat mengendalikan empat ??, input. *ort 1 juga menerima alamat byte rendah
selama pemrograman dan <erifikasi flash.
:ungsi Alternatif *ort1
*1.# +5SC . digunakan untuk Cn System *rogramming /
*1.$ +CS5 . digunakan untuk Cn System *rogramming /
*1.% S28 . digunakan untuk Cn System *rogramming /
*in 1 s0d pin & adalah *ort
*ort merupakan port C05 & bit dua arah .biderectional/ dengan internal pull up.
-uffer output port dapat mengendalikan empat ??, input. 8etika logika J1E
dituliskan ke port , maka port ini akan mendapatkan internal pull up untuk
digunakan sebagai input.
*in 10 s0d 1% adalah *ort!
38
*ort ! merupakan port C05 & bit dua arah dengan internal pull up serta memiliki
fungsi pengganti. :ungsi pengganti meliputi ?;D .?ransmite Data/, );D .)ecei<er
Data/, Cnt0 .Cnterrupt 0/, Cnt1 .Cnterrupt 1/, ?0 .timer 0/, ?1 .?imer 1/, T)
.Trite/, dan )D .)ead/. -ila fungsi pengganti tidak dipakai, pin V pin ini dapat
digunakan sebagai port parallel & bit serba guna .multipurpose/.
'abel ).1 /ungsi .husus Port 3
2in%= RST
+asukan reset aktif high. *ulsa transisi dari rendah ke tinggi akan mereset
A?&'S#. *in ini dihubungkan dengan rangkaian po>er on reset yang terdiri dari
sebuah kapasitor dan sebuah resistor yang berfungsi sebagai pembangkit frekuensi.
2in 3&= ALEA2ROG
Address ,atch 1nable . A,1 / merupakan pin untuk menerima pulsa output yang
akan mengunci byte lo> dari alamat tertentu, selama terjadi akses memori
eksternal. *in ini juga merupakan input pulsa pemrograman selama pemrograman
flash .paralel/. *ada operasi normal, A,1 mengeluarkan suatu laju clock konstan
sebesar 10$ dari frekuensi oscilator, dan dapat digunakan untuk pe>aktu eksternal.
2in 2%= 2SEN
*rogram Store 1nable. +erupakan strobe read untuk penyimpanan memori program
eksternal.
2in 31= EAA V22
1ksternal Access 1nable. 1A harus di hubungkan ke A6D untuk enable chip, guna
memasuki memori eksternal untuk alamat 00007 s0d ::::7. Sementara untuk
39
akses memori internal, 1A harus dihubungkan ke S22.*in ini juga menerima
tegangan pemrograman .S**/.
2in 1$ ()TAL 1)
*in masukan ke rangkaian osilator internal. Sebuah osilator kristal atau sumber
osilator luar dapat digunakan.
2in 1% ()TAL 2)
*in keluaran ke rangkaian osilator internal. *in ini dipakai bila menggunakan
osilator kristal.
Gambar ).1 &a) .on(igurasi Pin 6 &b) Bentu! /isi! 'i!ro!ontroler ,T78S%2

A. Bl49 Diag'am
+ikrokontroller A?&'S# dibangun berdasarkan arsitektur seperti
ditunjukkan pada gambar &. Seluruh bagian yang digambarkan pada gambar
tersebut saling berhubungan melalui internal bus - &bit menelusuri seluruh bagian
keping. -us tersebut kemudian dihubungkan keluar melalui port input0output
apabila memori atau ekspansi diperlukan. Setiap blok diagram memiliki hubungan
fungsi yang erat dengan blok fungsi lainnya, yang bekerja secara sinkron sesuai
dengan akses pemograman.
Gambar ).2 Blo! Diagram 'i!ro!ontroller ,T78S%2
40
a. A,3 .Aritmatic ,ogic 3nit/
A,3 adalah suatu unit yang melaksanakan proses aritmatik dan logika
seperti penjumlahan, pengurangan, pembagian, A6D, 5), B V 5), rotasi, clear dan
komplemen operasi percabangan.
b. Akumulator
Akumulator adalah merupakan register aritmatika yang berfungsi sebagai
penempung data sebelum dan sesudah proses. Sebagian besar instruksi pemrosesan
pada A?&'S0#1 menggunakan akumulator sebagai operand sumber atau tujuan
pengiriman data dan ke port.
c. )egister -
)egister - digunakan selama operasi perkalian0pembagian & bit dan dapat
juga digunakan sebagai register operand sumber atau operand tujuan.
d. Stack pointer
Stack pointer digunakan sebagai tempat penyimpanan <ariabel data yang
ditindih dalam memori atau sebagai register petunjuk.
e. )A+ .)andom Acces +emory/
)A+ adalah memori yang dapat dibaca atau ditulis. Data dalam )A+ akan
terhapus .<olatile/ bila catu daya dihilangkan. 8arena sifat )A+ yang <olatile ini,
maka program mikrokontroler tidak disimpan dalam )A+. )A+ pada C2 ini
mempunyai kapasitas sebesar 1& byte ; & bit.
f. ?+*10?+* .?imer02ounter/
41
?+*10?+* berfungsi sebagai timer0counter 1$ bit yang terangkai secara
internal.
g. *rogram Address )egister
*rogram address register merupakan alamat register dari program.
h. -uffer
-uffer pada C2 ini merupakan penyangga agar data yang dipindahkan dari
suatu register ke register lain tetap atau tidak berantakan.
i. )A+ Address )egister
)A+ address register merupakan sebagai jalan menuju )A+. Semua
pengolahan data memakai )A+ harus terlebih dahulu mele>ati )A+ address
register
B. O'ganisasi Mem4'i
Semua piranti &0#1 termasuk A?&'S# mempunyai ruang alamat yang
terpisah untuk memori program dan memori data. Seperti yang ditunjukkan pada
gambar .!, pemisahan secara logika dari memori program dan data. +emori
program . )5+, 1*)5+ dan :,AS7 / hanya dapat dibaca, tidak dapat ditulis.
+emori program dapat mencapai sampai $"8 byte. *ada A?&'S#, &8 byte
memori program terdapat didalam chip. 3ntuk membaca memori program eksternal
mikrokontroller mengirim sinyal *S16 . program store enable /.
Gambar ).3 Diagram organisasi memori mi!ro!ontroller
,T78S%2
+emori data . )A+ / menempati ruang alamat yang terpisah dari memori
program. *ada mikrokontroler A?&'S#, 1& byte terendah dari memori data berada
didalam chip dan )A+ eksternal maksimal sebesar $"8 byte.
42
Dalam pengaksesan )A+ eksternal, mikrokontroller mingirimkan sinyal )D
.)ead / dan T) .Trite/. Diagram arsitektur memori mikrokontroler famili &0#1 dapat
dilihat pada gambar &.".
Gambar ). ,rsite!tur 'emori 'i!ro!ontroller 79%1
7. Mem4'i 2'4g'am
+emori program atau )5+ adalah tempat menyimpan data yang permanen.
+emori program bersifat non<olatire artinya tanpa dicatu, data V data tidak akan hilang.
+emori program hanya dapat dibaca saja. Aambar &." menunjukkan suatu peta bagian
ba>ah dari memori program. Setelah reset, 2*3 mulai melakukan eksekusi dari lokasi
00007.
*ada memori program ditempatkan sebuah interupsi pada alamat tertentu. Cnterupsi
menyebabkan 2*3 untuk melompat ke lokasi dimana harus dilakukan suatu layanan
tertentu atau sebuah label subrutin yang harus dikerjakan. Lika interupsi ini tidak
digunakan, lokasi layanan ini dapat digunakan untuk berbagai keperluan sebagai memori
program.
D. Mem4'i Data
+emori data atau )A+ . )andom Acces +emori / adalah tempat menyimpan data
yang bersifat sementara. +emori ini bersifat <olatile, yaitu data akan hilang bila catu daya
dimatikan.*ada gambar &." menunjukkan ruang memori data internal dan eksternal pada
keluarga &0#1.
43
Gambar ).! 'emori Data -nternal

Dalam pemograman, )A+ ini akan terpisah secara fisik. 1& byte )A+ bagian ba>ah
dikelompokkan lagi menjadi beberapa blok, seperti yang ditunjukkan pada gambar .#. !
byte )A+ bagian ba>ah, dikelompokkan menjadi " bank yang masing-masing terdiri dari
& register. Cnstruksi program untuk memanggil register-register ini dinamai sebagai )0
sampai dengan )%. Dua bit pada *rogram Status Tord .*ST/ dapat memilih register bank
mana yang akan digunakan. *enggunaan register )0 sampai dengan )% ini akan membuat
pemrograman lebih efisien dan singkat, bila dibandingkan pengalamatan secara langsung.
2ENERA2AN A2LIKASI MIKROKONTROLER
-erikut 2ontoh Aplikasi +ikrokontroler pada penerapannya 4
Aplikasi +ikrokontroler dan *rogramnya
?ahap pertama adalah merancang perangkat keras .hard>are/, yang meliputi
rangkaian V rangkaian elektronika dan alat putar keramik. ?ahap kedua adalah
perancangan algoritma, listing program pada soft>are 2ode Sision AS) dan
penanaman listing program pada mikrokontroler AS) A?+ega1$ dengan
menggunakan soft>are CS* *rogrammer.
*erancangan *erangkat 8eras
Seluruh perangkat atau komponen yang digunakan dalam perancangan pengaturan
kecepatan pada alat putar keramik menggunakan motor A2 ini, tersusun seperti pada
blok diagram di ba>ah ini.
44
Gambar )." Blo! Diagram Pengaturan .ecepatan 'otor ,C
Pada ,lat Putar .erami!
-lok diagram diatas, secara garis besar dapat dijelaskan sebagai berikut.
1. 8eypad digunakan sebagai alat untuk memasukkan nilai kecepatan putaran
yang diinginkan .nilai setpoint/. 8eypad dihubungkan ke *5)?- mikrokontroler
AS) A?+ega1$. 6ilai dari keypad tersebut me>akili nilai kecepatan putaran
dengan satuan rotation per minute .rpm/. 6ilai yang diijinkan sebesar antara $0V
1#0rpm.
. 6ilai setpoint akan diolah sedemikian rupa dengan menggunakan suatu
program yang ditanamkan pada mikrokontroler AS) A?+ega1$, sehingga nilai
tersebut dapat ditampilkan pada ,2D dan digunakan pada register 52)1A yang
berfungsi untuk membangkitkan sinyal *T+.
!. Sinyal *T+ dibangkitkan setelah nilai pada register 52)1A terisi .52)1A W
0/ dan sinyal *T+ dikeluarkan melalui *in 1' *5)?D.# .521A/
pada mikrokontroler AS) A?+ega1$.
". Sinyal *T+ tersebut akan memicu ?)CA2 5ptoisilator pada rangkaian dri<er motor
A2. )angkaian Qero crossing detector telah tersedia dalam satu paket
.5npackage/ C2 ?)CA2 5ptoisolators +52!0"1, sehingga titik acuan sinyal
*T+ pada saat mengatur sinyal sinusoidal .tegangan A2/ dimulai pada saat
perpotongan titik nol .Qero crossing/. 8eluaran dari ?)CA2 5ptoisolators tersebut
.pin $/ akan memicu gate ?)CA2 .K"00",?/ sehingga memberikan arus pada motor
A2.
45
#. +otor A2 akan menggerakkan alat putar keramik dan alat putar tersebut
akan dideteksi putarannya setiap 1 detik .?imer 0/ oleh sensor putaran
.phototransistor/. *endeteksian putaran alat putar ini bertujuan untuk mengetahui
apakah kecepatan putaran alat putar sama dengan nilai setpoint yang diberikan.
8ecepatan alat putar akan dipengaruhi oleh beban .tanah liat/ yang ber<ariasi.
8ecepatan akan berkurang apabila beban semakin berat, oleh karena itu sensor pun
berfungsi untuk mengetahui kecepatan alat putar terhadap beban. fitur interupsi
eksternal mikrokontroler akan mengetahui setiap kali sensor memberikan respon
.logika 0/.
$. *rogram pengaturan alat putar pada mikrokontroler A?+ega1$ akan mengatur
dan membandingkan antara kecepatan setpoint dan kecepatan yang sesungguhnya
.real time/. Lika sensor mendeteksi kecepatan alat putar setpoint-nya, maka program
akan mengatur .mengurangi/ nilai pada 52)1A dan jika sensor mendeteksi
kecepatan alat putar lebih lambat dari pada kecepatan setpointnya, maka program
akan mengatur .menambahi/ nilai pada register 52)1A.
A. 2e'an,angan Rang9aian Sistem Minim-m AVR AT Mega1"
+ikrokontroler AS) A?+ega1$ dapat dioperasikan dengan cara
menambahkan beberapa komponen elektronika yang berfungsi sebagai komponen
pendukungnya.
+ikrokontroler AS) A?+ega1$ dapat dioperasikan dengan cara menambahkan
beberapa komponen elektronika yang berfungsi sebagai komponen pendukungnya.
+ikrokontroler dan komponen komponen pendukung tersebut tergabung dalam
satu rangkaian yang disebut sebagai rangkaian sistem minimum.
Gambar ).& 0ang!aian Sistem 'inimum ,:0 ,T'ega1;
46

8ristal yang digunakan pada rangkaian sistem minimum di atas,
mengunakan frekuensi " +7Q. 8ristal tersebut digunakan untuk pembangkit clock
.osilator/, dimana setiap 1 intruksi0perintah dalam program dieksekusi dalam 1 siklus
clock.
*in )1S1? dihubungkan dengan rangkaian kombinasi )2 dan push button, yang
bertujuan agar mikrokontroler dapat di-reset. :ungsi dari port - port lainnya adalah
sebagai berikut.
1. *5)?A, digunakan sebagai pin masukkan untuk 8eypad !B"
. *5)?-, digunakan sebagai pin keluaran untuk ,2D
!. *5)?D.0 dan *5)?D.1, digunakan sebagai pin masukan untuk tombol S?A)?
dan tombol S?5*
". *5)?D.!, digunakan sebagai pin masukkan untuk sensor putaran .1;t. Cnterrupt/
#. *5)?D.# dan *5)?D.$, digunakan sebagai pin keluaran untuk sinyal *T+.
B. 2e'an,angan Rang9aian D4Bnl4a8e'
)angkaian do>nloader merupakan rangkaian penghubung antara komputer
dan mikrokontroler yang berfungsi untuk memasukan listing program .berupa bit V
bit logika/ ke dalam mikrokontroler. ,isting program yang dikirim oleh soft>are
dari komputer ke dalam mikrokontroler biasanya berbentuk file D.he; .heksadesimal/.
*ada umumnya rangkaian do>nloader terdiri dari kabel penghubung jenis D-# atau
jenis D-'. Sinkronisasi tegangan antara tegangan dari komputer dan
tegangan mikrokontroler menggunakan sebuah buffer. )angkaian do>nloader
ditunjukkan seperti gambar di ba>ah ini 4
47
Gambar ).) 0ang!aian do5nloader Gambar ).*. 0ang!aian
do5nloader
DB 2% mi!ro!ontroler
)angkaian di atas menggunakan port D- # sebagai alat penghubung antara komputer
dan alat do>nloader, sedangkan C2 %"72?"" digunakan sebagai buffer. Soft>are yang
digunakan untuk mendo>nload program .file4 D.he;/ ke dalam mikrokontroler ini adalah
CS* *rogrammer .Adam Dybko>sky/.
Gambar ).1+ Tampilan So(t5are -SP Programmer &,dam Dyb!o5s!y)
7. 2e'an,angan Rang9aian Ke:6a8 8an L7D
8eypad merupakan tombol elektronik yang terdiri dari kombinasi beberapa
saklar yang terrangkai dalam bentuk kolom dan baris. *ada perancangan alat putar ini,
keypad digunakan sebagai alat untuk masukan nilai setpoint kecepatan putaran alat
putar keramik. 8eypad yang digunakan adalah keypad !X" yang terdiri dari ! kolom dan
" baris .% pin/.
48
3ntuk mengetahui tombol mana yang sedang ditekan, keypad diatur oleh
mikrokontroler dengan cara memberikan bit V bit logika pada baris atau
kolomnya. 8eypad ini dihubungkan melalui kabel pin .% pin/ ke salah satu port
mikrokontroler. )angkaian keypad ditunjukkan seperti Aambar &.11 di ba>ah ini.
Gambar ).11 0ang!aian .eypad 3<4
8eypad ini akan diaktifkan dan dideteksi oleh bit bit logika dari port port
mikrokontroller .*5)? -1......%/. -agian kolom keypad akan diberi logika N0N oleh
mikrokontroller, sedangkan bagian baris akan diberi logika N1N. *ada setiap port pada
mikrokontroler AS) A?+ega1$ telah terintegrasi rangkaian pull up resistor, sehingga
apabila salah satu baris dari keypad terhubung .short/ dengan salah satu kolom, maka
akan memberikan logika N0N pada baris yang terhubung tersebut. 2ara mendeteksi bit bit
untuk bagian baris tersebut menggunakan teknik scanning port.
,i@uid crystal display .,2D/ merupakan suatu jenis media tampilan yang
menggunakan kristal cair sebagai penampil utama. *ada perancangan ini, ,2D akan
digunakan sebagai alat penampil kode AS2CC .huruf dan angka/ yang berdasarkan
masukan dari keypad. ,2D yang digunakan berjenis ,2D 1$X seri DS-1$!0 data
<ision tai>an. ,2D ini tidak memiliki cahaya latar .backlight/ akan tetapi dapat diatur
kekontrasannya. -entuk dan rangkaian ,2D ditunjukkan oleh gambar diba>ah ini 4
Gambar ).12 4CD Gambar ).13 0ang!aian 4CD
49
Soft>are 2ode Sision AS) telah menyediakan fitur ,2D untuk mengatur port C05
,2D, sehingga tidak akan banyak mengalami kesulitan dalam merancang
instruksi programnya. ,2D akan menampilkan nilai kecepatan .setpoint/, hasil deteksi
sensor putaran dan nilai register 52)1A untuk mengatur duty cycle :ast *+T.
D. 2e'an,angan Rang9aian D'i.e' M4t4' A7
8omponen utama dari rangkaian dri<er motor A2 ini adalah C2 ?)CA2
5ptoisolators ?ipe + 52!0"1 dan ?)CA2 ?ipe K"00",?. ?)CA 2 5ptoisolators yang
digunakan telah memiliki rangkaian Qero crossing di dalamnya.. )angkaian dri<er motor
A2 akan memicu motor A2 jika pin pada C2 +52!0"1 diberi logika J0E .lo>/.
-it V bit logik a yang diberikan pada C2 tersebut berupa si nyal *T+ yang diatur
oleh register 52)1A .duty cycle/ pada mikrokontroler. )angkaia n dri<er motor A2 ini
ditunjukkan oleh Aambar di ba>ah ini
Gambar ).1 0ang!aian motor dri*er ,C
50
)angkaian Rero 2rossing yang terdapat C2 +52! 0"1 berfungsi
untuk menentukan titik nol gelombang sinusoidal, dimana titik nol ter sebut merupakan
titik acuan untuk dimulain ya pemicuan oleh sinyal *T+.
E. 2e'an,angan Rang9aian Sens4' 2-ta'an
)angkaian sensor untuk mendeteksi putaran alat pu tar ini, terdiri
dari *hototransistor tipe 71A1 dan piringan sensor dengan lubang sebanyak 1 lubang
Gambar ).1! alat sensor putaran Gambar ).1" 0ang!aian Photo Transistor
Sensor putaran akan memberikan logika J0E pada saat celah pada phototransistor
+endeteksi lubang dan sensor putaran akan memberikan logika J1E pada saat celah pada
phototransistor tidak mendeteksi lubang. Larak antar lubang pada piringan sensor dari
keenam lubang tersebut me>akili # rpm dan banyaknya lubang yang dideteksi sensor
akan dijumlahkan setiap 1 detik sekali oleh interupsi ?imer 0.
51

Anda mungkin juga menyukai