Anda di halaman 1dari 8

Projeto de Sistemas

Digitais
por Andr Santana

FPGA

Circuito integrado;

Componentes configurveis;

Propsito Geral;

PROJETO

Especificao;

Verificao;

Implementao;

Debug;

Especificao

Definir componentes;

HDL;

Comportamental;

Estrutura;

Bibliotecas

Exemplo:
Library IEEE;
Use IEEE.std_logic_1164.all;
Use IEEE.std_logic_unsigned.all;

Entidade

Definio das interfaces de cada


componente:
entity nome_da_entity is
port (
Declarao dos pinos
);
end [nome_da_entity] ;

Arquitetura

Implementao lgica
architecture nome_da_arquitetura of nome_da_entidade is
begin
end nome_da_arquitetura ;

Exemplo de Projeto
VHDL

Anda mungkin juga menyukai