Anda di halaman 1dari 74

MODUL PRAKTIKUM ELEKTRONIKA LANJUT

TAHUN AJARAN 2012 2013

LABORATORIUM TEKNIK ELEKTRONIKA


JURUSAN TEKNIK ELEKTRO FAKULTAS TEKNIK
UNIVERSITAS LAMPUNG
2013

TATA TERTIB PRAKTIKUM

1. Mahasiswa yang diizinkan mengikuti praktikum adalah yang telah terdaftar


dan memenuhi syarat yang ditentukan.
2. Praktikum dilaksanakan sesuai dengan jadwal dan praktikan harus hadir 5
menit sebelum praktikum dimulai. Bagi praktikan yang tidak hadir pada
waktu tersebut dianggap mengundurkan diri dari praktikum. Praktikan harus
mengisi daftar hadir pada setiap pelaksanaan percobaan.
3. Praktikan harus membawa kertas milimeter blok dan wajib menyerahkan
laporan pendahuluan sebagai syarat wajib mengikuti praktikum.
4. Praktikan harus mengikuti pretest yang dilaksanakan sebelum praktikum
keseluruhan.
5. Praktikan harus mengikuti postest yang dilaksanakan setelah praktikum.
6. Penilaian praktikum didasarkan atas:
a. Tugas Pendahuluan: 5 %
b. Pretest
:5%
c. Postest
:5%
d. Keaktifan
:5%
e. Laporan
: 40 %
f. Asistensi
: 10 %
g. Alat
: 30 %
7. Praktikan dilarang merokok, makan dan minum selama berada di dalam
laboratorium.
8. Praktikan harus berpakaian rapih dan memakai sepatu, tidak diperkenankan
memakai kaos oblong dan sandal.
9. Praktikan dilarang ribut selama berada di dalam laboratorium dan wajib
menjaga kebersihan di dalam maupun di luar laboratorium.
10. Bagi yang melanggar akan mendapat sanksi dikeluarkan dari ruang
laboratorium dan dianggap tidak mengikuti praktikum.
Bandar lampung, April 2013
Ka. Lab. Teknik Elektronika

Dr. Sri Ratna Sulistiyanti


NIP. 19651021 199512 2 001

DIAGRAM ALIR PELAKSANAAN PRAKTIKUM

PROSES PELAKSANAAN PRAKTIKUM DASAR ELEKTRONIKA

1. Mahasiswa wajib mendaftarkan diri dengan memenuhi persyaratan yang


telah ditentukan.
2. Mengikuti pretest Praktikum Dasar Elektronika dengan materi yang
mencakup keseluruhan percobaan. Jadwal pelaksanaan pretest sebelum
pelaksanaan praktikum.
3. Hasil dari pretest harus lebih dari atau sama dengan 65. Mahasiswa yang
mendapatkan hasil pretest kurang dari 65, tidak diperkenankan mengikuti
praktikum, dan boleh mengikuti praktikum susulan, dan diwajibkan
mengerjakan ulang seluruh soal-soal pretest dengan hasil tidak kurang dari
70.
4. Mahasiswa diwajibkan menulis laporan pendahuluan yang berisi:
Judul Percobaan
Tujuan Percobaan
Teori Dasar (minimal 1 lembar)
Alat dan Bahan
Rangkaian Percobaan
Prosedur Percobaan
5. Mahasiswa yang telah melakukan percobaan diwajibkan untuk melakukan
Asistensi dengan asisten yang bersangkutan, sampai laporan tersebut di Acc
oleh asisten yang bersangkutan. Jika belum di Acc maka tidak dapat
melakukan penjilidan laporan secara keseluruhan.
6. Mahasiswa yang telah melakukan seluruh percobaan dan laporannya telah di
Acc oleh asisten maka diwajibkan untuk menjilid seluruh Laporan tersebut
dengan sampul warna yang ditentukan kemudian.
7. Batas waktu pengumpulan laporan keseluruhan akan diumumkan kemudian.
8. Mahasiswa diwajibkan untuk membuat Tugas Alat yang merupakan salah
satu syarat penilaian praktikum.
Kegiatan di atas seperti ditunjukan pada diagram alir yang dilampirkan pada
lembaran berikutnya.

Catatan: Bagi yang tidak melakukan asistensi untuk setiap percobaan tidak dapat
mengumpulkan laporan akhir.

3 cm

FORMAT LAPORAN PRAKTIKUM

1. Laporan ditulis pada kertas putih ukuran A4


2. Margin untuk penulisan laporan adalah :
Batas Kiri 4 cm, Batas Kanan 3 cm, Batas Atas 3 cm, dan Batas Bawah 3
cm.
3 cm

3 cm
4 cm

3. Bila ada grafik dari data-data percobaan, penggambaran dilakukan pada


kertas grafik (millimeter block).
4. Sampul untuk penjilidan keseluruhan diberitahu kemudian.
5. Pada halaman muka masing-masing perocbaan diberikan sampul berwarna
sesuai dengan ketentuan yang berisi : Nama, NPM, Kelompok, Logo Unila,
Tahun, dan tulisan lainnya yang dianggap perlu.
6. Pada sampul muka dituliskan minimal kata:
Laboratorium Teknik Elektronika
Jurusan Teknik Elektro
Universitas Lampung
Tahun
Nama
NPM
Kelompok
Logo Unila

LABORATORIUM TEKNIK ELETRONIKA


JURUSAN TEKNIK ELEKTRO
FAKULTAS TEKNIK
UNIVERSITAS LAMPUNG
2013
LEMBAR ASISTENSI PRAKTIKUM ELEKTRONIKA LANJUT
Judul Percobaan
:
Nama Praktikan (NPM)
Nama Asistensi (NPM)
Kelompok
:
N
o

Catatan

:
:

Tanggal

Bandar Lampung,
Asisten,

NPM.

TTD

2013

DAFTAR ISI
TATA TERTIB
DIAGRAM ALIR
PROSES PELAKSANAAN
FORMAT PENULISAN
LEMBAR ASISTENSI
1.
2.
3.
4.
5.

MULTIVIBRATOR DAN SCHMITT TRIGGER...................................xx


OPERATIONAL AMPLIFIER................................................................xx
ADC DAC.............................................................................................xx
RANGKAIAN COUNTER UP & DOWN PADA FPGA.......................xx
MIKROKONTROLLER AVR.................................................................xx

DAFTAR PUSTAKA

PERCOBAAN 1
MULTIVIBRATOR DAN SCHMITT TRIGGER
RAHMAT HIDAYAT
0815031024

PERCOBAAN 1
MULTIVIBRATOR DAN SCHMITT TRIGGER

A.Tujuan
1. Mengukur frekuensi dan duty cycle dari timer 555
2. Untuk menunjukkan adanya hysteria (cacat sinyal) dari suatu penghasil
pulsa
3. Untuk menunjukkan bagaimana piranti penyulut schmitt dapat
dipergunakan untuk memperbaiki pulsa keluaran
4. Mengenali penyulut schmitt dari rumpun logika IC
5. Mengamati bentuk gelombang pada monostable multivibrator
B. Dasar Teori
Osilator merupakan salah satu dari rangkaian generatif, seperti ditunjukkan dalam
pengelompokan pada bagian berikut:
Rangkaian Regeneratif

Osilator sinusoidal

Bistable

Multivibrator

Monostabil

Astabil

Gambar 1.2. Pengelompokkan rangkaian-rangkaian regeneratif


Multivibrator dikelompokkan ke dalam bistabil, monostabil, dan astabil.
Rangkaian multivibrator bistabil memiliki ciri rangkaian yang tetap berada pada
tingkat (level) keluaran yang diberikan apabila tidak dikenakan sinyal (trigger)
dari luar. Penerapan sinyal dari luar akan mengakibatkan perubahan keadaan, dan
tingkat keluaran ini akan tetap sampai ada sinyal luar berikutnya. Jadi rangkaian
bistabil memerlukan dua sinyal sebelum kembali ke keadaan awal.

Multivibrator monostabil atau one-shot, menghasilkan satu pulsa dengan selang


waktu tertentu dalam menanggapi suatu sinyal trigger dari luar. Ini berarti bahwa
hanya satu saja keadaan stabil. Penerapan trigger mengakibatkan perubahan ke
keadaan kuasistanil. Rangkaian tetap berada pada keadaan kuasistabil pada selang
waktu yang ditentukan dan kemudian kembali ke keadaan semula. Akibatnya
adalah sinyal trigger internal dibangkitkan yang menghasilkan transisi ke keadaan
stabil.
Multivibrator astabil atau free-running, adalah multivibrator yang memiliki dua
keadaan kuasistabil (bukan keadaan stabil), dan kondisi rangkaian berisolasi
diantaranya. Dalam hal ini tidak diperlukan sinyal trigger luar untuk
menghasilkan perubahan keadaan. Lamanya rangkaian berada pada suatu keadaan
ditentukan oleh harga komponen. Karena sifat osilasi diantara dua keadaan ini,
rangkaian astabil digunakan untuk membangkitkan gelombang segiempat.

A1

Penguat
Pembalik

CN1

A1

Rangkain
Kopling

CN2

Gambar 1.2. Diagram blok konfigurasi multivibrator


Pada gambar 9.8 ditunjukkan konfigurasi multivibrator secara umum. Dua
pembalik A1 dan A2 membentuk umpan balik positif. Sedangkan CN adalah
rangkaian kopling (penggandeng) yang bersifat resistif dan CN 1 dan CN2
menentukan jenis multivibrator.
Jika sinyal masuk ke A1, maka sinyal tersebut diteruskan ke A2 lewat rangkaian
kopling CN1 , dan mengakibatkan perubahan keadaan (kedudukan) A2.

Jika CN1 dan CN2 resistif, maka multivibratornya bersifat stabil. Jika CN 1 dan
CN2 merupakan kapasitor dalam hubungan seri, maka multivibratornya bersifat
monostabil. Dan jika CN1 dan CN2 kapsitif, maka multivibratornya bersifat
astabil.
1. Multivibrator Monostabil
Monostable Multivibrator disebut juga One-Shoot Multivibrator. Dalam gambar
ditunjukkan skema multivibrator monostabil. Jika dibandingkan dengan gambar
skema umum multivibrator, kapasitor seri C merupakan CN1. Gerbang logika
NOR1 dan MOR2 berturut-turut berfungsi sebagai A1 dan A2.
VDD

R
Vin

Vo1

Vo2

VX

NOR 1

NOR 2

Gambar 1.3. Multivibrator Monostabil


Untuk menjelaskan cara kerja multivibrator monostabil kita gunakan diagram
pulsa seperti gambar 1.4.

Vin

Vo

V<V

Vo
VDD

VDD=V(1)
1

T<T1
Pulsa
Trigge
r

T1

Tegangan
Keluaran
NOR1

T1
Tegangan
Keluaran
NOR2

Gambar 1.4. Diagram Pulsa Multivibrator Monostabil


Dalam pembahasan berikut kita menentukan dua tingkat tegangan biner, yaitu
V(1) = VDD dan V(0) = 0. Juga dimisalkan tegangan ambang NMOS pada
transistor penggerak VT > 0. Kecepatan penyambungan jauh lebih kecil
dibandingkan periode pulsa keluaran. Dalam gambar ditunjukkan tegangan trigger
Vin. Beberapa tahap kita amati, mula-mula pada saat sebelum triggerditerapkan,
yakni pada saat t < 0. Pada saat itu, arus dari V DD, IR = 0, dan tegangan di titik x,
Vx VDD = V(1). Gerbang logika NOR2 mengakibatkan keluarannya selalu
mempunyai kebalikan tanda dengan masukannya, sehingga tegangan keluaran
NOR2 sama dengan nol, Vo2 = V(0) = 0. Dari hubung singkat keluaran NOR 2
dengan NOR1, maka selama waktu t < 0 dimana Vin = 0, Vo1 = VDD = V(1).
Pada saat itu tegangan kapasitor:
Vc = Vo1 Vx = VDD VDD = 0
Pada saat t = 0, yakni pada saat trigger diberikan (V in > VT), transisi terjadi pada
gerbang NOR1, keluarannya berubah dari Vo1 = V(1) = VDD, menjadi Vo1 = V(0) =
0. Karena tegangan tersebuttidak segera berubah (dalam waktu T1), maka Vc (0+)
= 0 dan Vx (0+) = 0. Pemberian V(0) pada masukan NOR 2 mengakibatkan
keluaran pada waktu t = 0+,
Vo2 (0+) = VDD = V(1)
Yang berarti V(1) tersalurkan ke masukan NOR 1 dan menjadikan tegangan
keluaran Vo1 = 0. Akibatnya, tegangan Vc mengarah pada pengisian kapasitor dari

VDD lewat tahanan R. Bentuk tegangan pengisian menurut waktu kita lihat pada
rangkaian berikut:
+

VX mengisi dari VX=0 ke


VX=VDD

+
-

Vo1 =
0

VX
VDD

VDD + VT = 3/2
VDD
VX(t)

VT =

V DD
2
VX(t) = VDD (1 e

t/RC

Gambar 1.5. Penjelasan Pengisian Vx menurut waktu t


Pada saat VX = VT, NOR2 kembali ke kedudukan Vo2 = 0. Akibatnya, transisi
dalam NOR1 dari V(0) ke V(1). Waktu transisi T1 dapat ditemukan dari persamaan
berikut:
VX(T1) = VT = VDD (1-e-T1/RC)
Atau

V T
T V
1 DD
=RC V DD

Dan
V DD
T1 = RC ln

V DDV

Untuk gerbang CMOS double buffered VT = VDD/2, sehingga

T1 = RC ln 2 = 0,693 RC

2. Multivibrator Astabil
Multivibrator Astabil dan diagram pulsanya digambarkan sebagai berikut:
VX

Vo1

Vo2

NOR 1

NOR 2

Gambar 1.6. Multivibrator Astabil

Vo2

Vo1

VD

VD

T1

2T1

t
t

T1

2T1

t
t

Gambar 1.7. Diagram Pulsa Vo1 dan Vo2 pada multivibrator astabil
Dalam multivibrator astabil ini juga berlaku V(0) = 0 dan V(1) = V DD dan VT VDD/
2. Pada saat trigger belum masuk (t = 0), V o1 mengalami transisi dari V(1) ke
V(0), sehingga Vo2 akan sama dengan V(1) = VDD. Demikian selanjutnya pada saat
T1, Vo1 = V(1), Vo2 turun menjadi V(0), dan seterusnya.

Pada gambar 1.7. ditunjukkan diagram pulsa dari VX dan VC. Pada saat VX = VT,
VC = VX Vo2, sehingga tegangan kapasitor persis sebelum t = 0, VC(0). Jika
tegangan NOR1 persis setelah t = 0, Vo1(0+) = 0, maka Vo2(0+) = VDD dan VX = VDD
+ VT.
Pada saat t = T1, tegangan VX = VT dan NOR1 off, sehingga keluarannya sama
dengan Vo1 = VDD. Gerbang NOR2 akibatnya mengalami transisi Vo2 turun ke
nol. Namun VC tetap dan VX turun sebesar VDD. Kemudian VC mengisi sampai
+VDD secara eksponensial, demikian pula VX. Pada saat t = T2, VX = VT,dan
proses berulang lagi. Besarnya waktu sama dengan:
T = 2T1 = 2RC ln 2 = 1,39 RC
Pada frekuensi osilasi Fo = 1/T dan
Fo = 1/T = 1/1,39 RC = 0,721/RC

VX

VC

VDD +
VT

VDD

VT =

VT

V DD
2
T1
T

2T1

t
t

T1

2T1

t
t

Gambar 1.8. Diagram pulsa VX dan VC pada Multivibrator Astabil

3. Bistable Multivibrator

Bistable multivibrator disebut juga sebagai dasar dari flip-flop. Multivibrator jenis
ini memiliki dua buah keadaan stabil. Pulsa triger pada input rangkaian akan
menyebabkan rangkaian diasumsikan pada salah satu kondisi stabil. Pulsa kedua
akan menyebabkan terjadinya pergeseran ke kondisi stabil lainnya. Bistable
multivibrator ini hanya akan berubah keadaan jika diberi pulsa triger sebagai
input. Output rangkaian multivibrator bistabil akan lompat ke satu kondisi (flip)
saat dipicu dan bergeser kembali ke kondisi lain (flop) jika dipicu dengan pulsa
triger berikutnya. Rangkaian kemudian menjadi stabil pada suatu kondisi dan
tidak akan berubah atau toggle sampai ada perintah dengan diberi pulsa triger.
Gambar 1.9 berikut adalah salah satu contoh rangkaian bistable multivibrator
dengan menggunakan IC 555.

Gambar 1.9. Bistable Multivibrator dengan IC 555


Perubahan sinyal output dari IC 555 yang berada pada pin 3 diperoleh dengan
mengendalikan masukan trigger dan reset pada IC 555. Pada kondisi tidak
terhubung ke ground, kedua masukan ini ditahan pada level logika High oleh
dua buah resistor Pull Up, yaitu R1 dan R2. Jika masukan trigger (Pin 2)

dihubungkan ke ground, maka trigger akan berada pada posisi Low dan output
pada pin 3 berada pada kondisi Set atau pada level logika High. Jika saklar
dipindah ke pin 4 (reset), maka pin 4 ini akan terhubung ke ground dan memiliki
logika Low, sehingga akan mengubah kondisi output dari High ke Low.
Kondisi ini dapat berlangsung terus menerus, sehingga terlihat bistable
multivibrator memiliki 2 keadaan stabil, yaitu Low dan High.

C. Peralatan

1.
2.
3.
4.
5.

Modul dasar Sistem Latih Elektronik (EFT-ETS-BS)


Kit sistem Latih Elektronika EFT-ETS-CA 1
Multimeter
Osiloskop
Kabel hubung 2 mm

D. Prosedur Percobaan
Astable Multivibrator
1. Siapkan modul Sistem Latih Elektronik (EFT-ETS-BS) dan letakkan kit
sistem Latih Elektronika EFT-ETS-CA 1 pada tempatnya. Pastikan
keduanya belum terhubung ke sumber listrik dan semua saklar dalam
keadaan mati.
2. Hubungkan ke sumber AC 220 V/ 50 Hz
3. Gunakan gambar berikut ini untuk membantu melaksanakan percobaan

C1
0.01
F

C2
0,01F

Gambar 1.10. Astable Multivibrator dengan IC 555


4.
5.
6.
7.

Gunakan kawat hubung 2 mm untuk memperoleh rangkaian di atas


Persiapkan Osiloskop, pastikan rangkaian Anda telah diperiksa asisten
Nyalakan listriknya
Hitung dan catatlah frekuensi f dan siklus tugasnya D. Bandingkan hasil

pengukuran dan perhitungan.


8. Ambil RA = 10K dan RB = 100K
9. Ukurlah lama status tinggi W dan perioda T dalam satu siklus
10. Lengkapi tabel hasil percobaan

Monostable
1. Siapkan modul Sistem Latih Elektronik (EFT-ETS-BS) dan letakkan kit
sistem Latih Elektronika EFT-ETS-CA 1 pada tempatnya. Pastikan
keduanya belum terhubung ke sumber listrik dan semua saklar dalam
keadaan mati.
2. Hubungkan ke sumber AC 220 V/ 50 Hz
3. Pergunakan gambar berikut ini untuk membantu pelaksanaan percobaan

Gambar 1.11. Monostable Multivibrator


4. Gunakan kawat penghubung 2 mm untuk memperoleh rangkaian di atas.
pastikan rangkaian Anda telah diperiksa asisten
5. Amati dan gambar bentuk gelombang V1, V2, dan S1 dengan osiloskop
Bistable Multivibrator
1. Siapkan modul Sistem Latih Elektronik (EFT-ETS-BS) dan letakkan kit
sistem Latih Elektronika EFT-ETS-CA 1 pada tempatnya. Pastikan
keduanya belum terhubung ke sumber listrik dan semua saklar dalam
keadaan mati.
2. Hubungkan ke sumber AC 220 V/ 50 Hz
3. Pergunakan gambar berikut ini untuk membantu pelaksanaan percobaan

Gambar 1.12. Bistable Multivibrator


4. Gunakan kawat penghubung 2 mm untuk memperoleh rangkaian di atas.
Pastikan rangkaian Anda telah diperiksa oleh asisten
5. Amati dan gambar bentuk gelombang masukan dan keluaran dengan
osiloskop

E. Tabel Hasil Percobaan


1. Astable Multivibrator
a. Dengan C1 = 10F
R1, k
10
100
10

R2, k
10
10
100

b. Dengan C1 = 22 F

fcalc

Dcalc

fmeas

Dmeas

R1, k
10
100
10

R2, k
100
10
10

fcalc

Dcalc

Tcalc

Tmeas

fmeas

Dmeas

2. Monostable Multivibrator
R1, k
10
100
10

C1, F
22
22
100

3. Bistable Multivibrator
Clock
1
1

Kondisi LED

TUGAS PENDAHULUAN
PERCOBAAN MULTIVIBRATOR
1. Jelaskan yang dimaksud dengan:

Astable Multivibrator
Monostable Multivibrator
Bistable Multivibrator
catatan: Schematicnya gak usah digambar, dijelaskan saja!

2. Gambarkan (Pakai Tangan) IC 555 beserta keterangan masing-masing Pinnya!


3. Jelaskan fungsi masing-masing pin IC 555!
4.Tuliskan rumus untuk mencari Duty Cycle dan Frekuensi pada Astable
Multivibrator yang menggunakan IC 555!

5. Tuliskan rumus untuk mencari Time Period pada Monostable Multivibrator


yang menggunakan IC 555!

PERCOBAAN 2
OPERATIONAL AMPLIFIER (OP-AMP)
RUDI HASUDUNGAN HUTABARAT
0815031026

PERCOBAAN 2
Operational Amplifier (Op-Amp)

A.
1.
2.
3.
4.

Tujuan Percobaan
Mampu menjelaskan jenis-jenis filter aktif
Mengetahui penggunaan Op-amp sebagai filter LPF
Mengetahui penggunaan Op-amp sebagai filter HPF
Mengetahui pengguaan Op-amp sebagai filter BPF

B. Teori Dasar
Penguat Operasional (Operational Amplifier Op Amp) adalah sebuah penguat
instan yang bisa langsung dipakai untuk benyak aplikasi penguatan. Sebuah Opamp biasanya berupa IC (Integrated Circuit). Pengemasan Op-amp dalam IC
bermacam-macam, ada yang berisi satu op-amp (contoh : 741), dua op-amp
(4558, LF356), empat op amp (contoh = LM324, TL084), dll.

Penguat

operasional

tersusun

dari

beberapa

rangkaian

penguat

yang

menggunakan transistor atau FET. Biasanya membuat penguat dari op-amp lebih
mudah dibandingkan membuat penguat dari transistor karena tidak memerlukan
perhitungan titik kerja, bias, dll.
Kelebihan penguat operasional (op-amp):
- Impedansi masukan yang tinggi sehingga tidak membebani penguat

sebelumnya.
Impedansi luaran yang rendah sehingga tetap stabil walau dibebani oleh
rangkaian selanjutnya.
Lebar pita (bandwidth) yang lebar sehingga dapat dipakai pada semua jalur

frekuensi audio (woofer, midle, dan tweeter)


Adanya fasilitas offset null sehingga memudahkan pengaturan bias penguat

agar tepat dititik tengah sinyal.


Bagian-bagian dalam Op-amp :
-

Penguat differensial, yaitu merupakan bagian input dari op-amp. Penguat

differensial mempunyai dua input (input + dan input -)


Penguat penyangga (Buffer), yaitu penguat penyangga sinyal output dari

penguat differensial agar siap untuk dimasukkan ke penguat akhir op-amp.


Pengatur bias, yaitu rangkian pengatur bias dari penguat differensial dan

buffer agar diperoleh kestabilan titik nol pada output penguat akhir.
Penguat akhir, yaitu penguat yang merupakan bagian output dari op-amp.
Penguat akhir ini biasanya menggunakan konfigurasi push-pull kelas B atau
kelas AB.

1. Penggunaan Op-Amp
a. Penguat diffrensial

Penguat differensial adalah penggunaan op amp untuk mencari selisih antara dua
buah titik tegangan yang berbeda.

b. Penguat Penjumlah (summing amplifier)

Penguat penjumlah berfungsi menjumlahkan level masing masing sinyal input


yang masuk ke op-amp. Penggunanan op-amp sebagai penjumlah sering
dijumpai pada rangkaian mixer audio.
c. Integrator (Low Pass Filter)

Integrator berfungsi mengintegralkan

tagangan input terhadap waktu.

Penggunanan integrator juga sebagai tapis lulus bawah (Low Pass Filter)
d. Diffrensiator (High Pass Filter)

Differensiator berfungsi mendiferensialkan tagangan input terhadap waktu.


Penggunanan diferensiator juga sebagai tapis lulus atas (High Pass Filter).
C.
-

Alat dan Bahan


Multimeter
Osiloskop
Kabel Penghubung
Function generator
Modul dasar Sistem Latih Elektronik (EFT-ETS-BS)
Kit sistem latih

D. Prosedur Percobaan
1. Tapis LPF (Low Pass Filter)
- Siapkan modul Sistem Latih Elektronik (EFT-ETS-BS) dan letakkan kit
sistem Latih Elektronika EFT-ETS pada tempatnya. Pastikan keduanya
-

belum terhubung ke sumber listrik dan semua saklar dalam keadaan mati.
Hubungkan ke Vin ke Funtion generator,
Buatlah rangkain seperti gambar berikut,

Catat besar tegangan pada voltmeter


Buatlah tabel seperti tabel berikut:

Frekuensi
20
40
60
80
90
100

Tegangan Vin

Tegangan Vout

Buatlah grafik dari respon tegangan terhadap frekuensi

2. Tapis HPF (High Pass Filter)


- Siapkan modul Sistem Latih Elektronik (EFT-ETS-BS) dan letakkan kit
sistem Latih Elektronika EFT-ETS pada tempatnya. Pastikan keduanya
-

belum terhubung ke sumber listrik dan semua saklar dalam keadaan mati.
Hubungkan ke Vin ke Funtion generator,
Buatlah rangkain seperti gambar berikut,

Catat besar tegangan pada voltmeter


Buatlah tabel seperti berikut,
Frekuensi
20
40
60
80
90
100

Tegangan Vin

Tegangan Vout

Buatlah grafik dari respon frekuensi terhadap tegangan

3. Tapis BPF (Band Pass Filter)


- Siapkan modul Sistem Latih Elektronik (EFT-ETS-BS) dan letakkan kit
sistem Latih Elektronika EFT-ETS pada tempatnya. Pastikan keduanya
-

belum terhubung ke sumber listrik dan semua saklar dalam keadaan mati.
Hubungkan ke Vin ke Funtion generator,
Buatlah rangkain seperti gambar berikut,

Catat besar tegangan pada voltmeter


Frekuensi
20
40
60
80
90
100

Tegangan Vin

Tegangan Vout

Buat grafik respon frekuensi terhadap tegangan,

TUGAS PENDAHULUAN

1. Jelaskan apa yang dimaksud dengan filter LPF, HPF, BPF?


2. Gambar serta jelaskan grafik karakteristik filter LPF, BPF, dan HPF?
3. Buatlah rangkaian filter LPF, HPF dan BPF sederhana yang disimulasikan
dengan bantuan software simulator (Proteus.

PERCOBAAN 3
ANALOG TO DIGITAL CONVERTER (ADC) DAN

DIGITAL TO ANALOG CONVERTER (DAC)


RIDHO AUDLI
0815031087

PERCOBAAN 3
ANALOG TO DIGITAL CONVERTER (ADC) DAN
DIGITAL TO ANALOG CONVERTER (DAC)
A. Tujuan
1. Menjelaskan proses konversi 8 bit analog ke digital dengan IC ADC0804.
2. Mengamati pulsa proses konversi ADC menggunakan Osiloskop.
3. Menghitung laju perubahan ADC pada rangkaian percobaan.
4. Menjelaskan proses konversi 8 bit digital ke analog dengan IC
DAC0808.

B. Teori Dasar
1. Analog-to-Digital Converter (ADC)
Analog-to-Digital Converter (ADC) adalah sebuah piranti yang dirancang
untuk mengubah sinyal-sinyal analog menjadi bentuk sinyal digital. IC ADC 0804
dianggap dapat memenuhi kebutuhan dari rangkaian yang akan dibuat. IC jenis ini

bekerja secara cermat dengan menambahkan sedikit komponen sesuai dengan


spesifikasi yang harus diberikan dan dapat mengkonversikan secara cepat suatu
masukan tegangan. Hal-hal yang juga perlu diperhatikan dalam penggunaan ADC
ini adalah tegangan maksimum yang dapat dikonversikan oleh ADC dari
rangkaian pengkondisi sinyal, resolusi, pewaktu eksternal ADC, tipe keluaran,
ketepatan dan waktu konversinya.
Ada banyak cara yang dapat digunakan untuk mengubah sinyal analog
menjadi sinyal digital yang nilainya proposional. Jenis ADC yang biasa digunakan
dalam perancangan adalah jenis Successive Approximation Convertion (SAR) atau
pendekatan bertingkat yang memiliki waktu konversi jauh lebih singkat dan tidak
tergantung pada nilai masukan analognya atau sinyal yang akan diubah. Gambar 1
memperlihatkan diagram blok ADC tersebut.

Gambar 1. Blok diagram ADC


Secara singkat prinsip kerja dari konverter A/D adalah semua bit-bit diset
kemudian diuji, dan bilamana perlu sesuai dengan kondisi yang telah ditentukan .
Dengan rangkaian yang paling cepat, konversi akan diselesaikan sesudah 8 clock,
dan keluaran D/A merupakan nilai analog yang ekivalen dengan nilai register
SAR.
Apabila konversi telah dilaksanakan , rangkaian kembali mengirim sinyal
selesai konversi yang berlogika rendah. Sisi turun sinyal ini akan menghasilkan
data digital yang ekivalen ke dalam register buffer. Dengan demikian, outpun
digital tetap tersimpan sekalipun akan dimulai siklus konversi yang baru.

Gambar 2. Konfigurasi pin IC ADC0804

IC ADC 0804 mempunyai dua input analog, Vin(+) dan Vin(-), sehingga
dapat menerima input diferensial. Input analog sebenarnya (Vin) sama dengan
selisih antara tegangan-tegangan yang dihubungkan dengan ke dua pin input yaitu
Vin = Vin(+) Vin(-). Apabila input analog berupa tegangan tunggal, tegangan ini
harus dihubungkan dengan Vin(+), sedangkan Vin(-) digroundkan. Untuk operasi
normal, ADC 0804 menggunakan Vcc = +5 Volt sebagai tegangan referensi.
Dalam hal ini jangkauan input analog mulai dari 0 Volt sampai 5 Volt (skala
penuh), karena IC ini adalah SAC 8-bit, resolusinya akan sama dengan persamaan
berikut:
Resolusi=

Vout skala penuh


n

2 1

5V
=19,6 mV
255

Dimana n menyatakan jumlah bit output biner IC analog-to-digital


converter.
IC ADC 0804 memiliki generator clock internal yang harus diaktifkan dengan
menghubungkan sebuah resistor eksternal (R) antara pin CLK R/CLK OUT dan
CLK IN serta sebuah kapasitor eksternal (C) antara CLK IN dan ground digital.
Frekuensi clock yang diperoleh sama dengan :

f=

0,91
RC

Untuk sinyal clock ini dapat juga digunakan sinyal eksternal yang
dihubungkan ke pin CLK IN. ADC 0804 memiliki 8 output digital sehingga dapat
langsung dihubungkan dengan saluran data mikrokomputer. Input Chip Select
(aktif LOW) digunakan untuk mengaktifkan ADC 0804. Jika berlogika HIGH,
ADC 0804 tidak aktif (disable) dan semua output berada dalam keadaan
impedansi tinggi. Input Write atau Start Convertion digunakan untuk memulai
proses konversi. Untuk itu harus diberi pulsa logika 0. Sedangkan output interrupt
atau end of convertion menyatakan akhir konversi. Pada saat dimulai konversi,
akan berubah ke logika 1. Di akhir konversi akan kembali ke logika 0.

2. Digital-to-Analog Converter (ADC)


Pada sistem elektronik banyak sekali alat-alat yang membutuhkan tegangan
analog, sementara keluaran dari komputer merupakan tegangan digital. Untuk
dapat mengendalikan alat lain yang membutuhkan tegangan analog, komputer
memerlukan suatu penghubung (interface) yang dinamakan DAC (Digital-toAnalog Converter). IC DAC0808 rangkaian internalnya menggunakan metode
tangga R-2R.
Cara kerja metode tangga R-2R dapat dipelajari dengan memperlihatkan
konverter 2 bit saja seperti yang diperlihatkan lalu menggunakan Hukum Ohm.
Manfaat metode tangga R-2R ini:

Hanya 2 resistor yang dipakai.


Dapat diperluas dengan mudah sampai sebanyak yang diinginkan.
Harga mutlak resistor tidak penting, hanya perbandingannnya saja yang harus

tepat.
Dapat dengan mudah dipabrikasi dalam bentuk IC.

Akan halnya pada IC DAC 0808, pena 4 merupakan arus yang besarnya
tergantung pada nilai A7 sampai A0 dan arus referensi. Arus referensi biasanya
diatur 2 mA (V ref/R14).
Arus keluaran pada pena 4 dihubungkan ke rangkaian penguat pembalik yang
akan mempunyai tegangan keluaran sebesar:
V 0=I 0 R0

Sehingga DAC 8 bit akan mempunyai rumus:


V 0=I 0 R0=

V ref
A A A A A A
A
A
R0 7 + 6 + 5 + 4 + 3 + 2 + 1 + 0
R14
2
4 8 16 32 64 128 256

( ) (

Sehingga untuk arus referensi 2 mA,


didapat:

R0=5000 o hm

, data masukan 1000 0000

V 0=5 volt

Resolusi adalah 1 bagian dibagi dengan banyaknya tingkatan yang tersedia (bit),
atau dengan rumus dapat ditulis:
Resolusi:

1
n1
, DAC 8 bit didapat, resolusi=
2

1
1=1/255
28

Semakin besar digit suatu DAC resolusi semakin kecil, dan akan membuat
DAC semakin baik. Tegangan skala penuh ditentukan oleh nilai arus referensi dan
resistor umpan balik op-amp. Biasanya mempunyai nilai +5V, +10V, +15V
tergantung pada aplikasi yang diinginkan. Tetapi harus diingat bahwa tegangan
maksimum yang sebenarnya selalu 1 LSB lebih kecil dari tegangan keluaran skala
penuh.

Ketelitian adalah seberapa dekat keluaran secara praktekdari nilai sebenarnya.


Ketelitian bergantung pada nilai tegangan referensi, toleransi resistor, dan
kecocokan transistor. Ketelitian ini biasanya dinyatakan sebagai kesalahan dalam
penambahan LSB. Kesalahan 1 LSB artinya keluaran yang sebenarnya berbeda
keluaran idealnya sebesar 1 LSB. Secara ideal kesalahan suatu DAC harus lebih
kecil dari LSB.
Monotonisasi adalah keluaran yang terus bertambah bila masukan bertambah
besar. Suatu DAC akan monoton bila mempunyai kesalahan lebih kecil atau sama
dengan LSB.
Waktu pemantapan adalah waktu yang diperlukan untuk menghasilkan
keluaran yang benar (biasanya dari nanodetik sampai mikrodetik). Nilai waktu
pemantapan akan menentukan kecepatan dalam mengubah masukan digital.

Gambar 3. Konfigurasi Pin IC DAC0808

C. Peralatan
Peralatan yang digunakan pada percobaan ini sebagai berikut:
1. Modul dasar sistem latih elektronik (EFT-DTB-BS)
2. Kit sistem latih A/D dan D/A (EFT-DTX-6)
3. Multimeter digital
4. Kabel penghubung
D. Rangkaian Percobaan
1) Analog-to-Digital Converter (ADC)

Gambar 4. Rangkaian ADC0804 8 bit.

2) Digital-to-Analog Converter (ADC)

Gambar 5. Rangkaian DAC0808 8 bit.

E. Prosedur Percobaan
1. Analog-to-Digital Converter (ADC)
1) Siapkan modul dasar sistem latih elektronik (EFT-DTB-BS) dan kit sistem
latih A/D dan D/A (EFT-DTX-6) seperti pada gambar berikut.

Gambar 6. Konstruksi modul dasar sistem latih elektronik dengan kit


2)
3)
4)
5)

sistem latih A/D dan D/A.


Hubungkan ke sumber AC 220 Volt/50 Hz.
Hubungkan kabel penghubung seperti pada gambar rangkaian percobaan.
Hubungkan sumber (Vcc, A Gnd dan D Gnd) ke IC ADC0804.
Hubungkan tegtangan input 0-15 volt ke sumber dc variable pada modul

dasar. Atur pada posisi 0V.


6) Hubungkan 8 bit keluaran digital pada logic indikator, perhatikan MSB pada
bagian paling kiri.
7) Aktifkan sumber daya.
8) Atur masukan DC dengan perlahan, agar lebih akurat gunakan multimeter
digital untuk mengukur masukan sinyal analog ke ADC0804.
9) Tekan tombol penekan satu kali untuk memulai proses konversi.
10) Putarlah potensiometer pada tegangan masukan, amati perubahan masukan
dengan multimeter digital dan keluaran digitalnya.
11) Lakukan pengamatan dan catat hasil output digital pada tabel.
Tabel 1. Pengamatan ADC 8 bit.
INPUT
Analog
Vin (V)
1,5
3
4,5

DB7

DB6

DB5

OUTPUT
Digital
DB4
DB3

DB2

DB1

DB0

6
7,5
9
10,5
12
12) Tentukan laju konversi dari ADC dengan menggunakan osiloskop.
13) Non-aktifkan sumber, hubungkan osiloskop dari pin 3 ke ground
pada ADC.
14) Nyalakan sumber, tekan tombol mulai untuk memulai proses
konversi.
15) Ukurlah waktu periode dari satu kali konversi A/D melalui
osiloskop.
16) Hitung laju konversi dengan

f=

1
T .

17) Non-aktifkan semua saklar bila percobaan telah selesai.

2. Digital-to-Analog Converter (ADC)


1) Siapkan modul dasar sistem latih elektronik (EFT-DTB-BS) dan kit sistem
latih A/D dan D/A (EFT-DTX-6) seperti pada gambar berikut.

Gambar 7. Konstruksi modul dasar sistem latih elektronik dengan kit


sistem latih A/D dan D/A.
2) Hubungkan ke sumber AC 220 Volt/50 Hz.
3) Hubungkan kabel penghubung seperti pada gambar rangkaian percobaan.
4) Hubungkan sumber (Vcc=+5V, Vee=-12V, V Ref (+) = 0 10 V, V ref (-) 2k7
ke Gnd dan pena 2 ke GND) pada IC DAC0808.

5) Hubungkan keluaran analognya ke rangkaian op-amp.


6) Hubungkan masukan digital DAC yakni A7-A0 ke saklar data pada modul
dasar (S7-S0). Perhatikan letak MSB dan LSB.
7) Hubungkan keluaran dari op-amp ke multimeter digital
8) Aktifkan sumber daya
9) Masukkan hasil pengamatan sesuai dengan masukan digital yang diberikan
pada tabel berikut:

Tabel 2. Pengamatan DAC 8 bit.


Input
Bilangan Biner
8
S
0
0
0
0
0
0
0
0
1
1
1
1
1
1
1
1

4S

2S

1S

0
0
0
0
1
1
1
1
0
0
0
0
1
1
1
1

0
0
1
1
0
0
1
1
0
0
1
1
0
0
1
1

0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1

Output
Analog
Tegangan yang
terukur

Penguatan
Av=Vout/Vin

10) Tentukan laju pengubahan DAC dengan menggunakan osiloskop.


11) Matikan sebentar, hubungkan osiloskop pada pena 6 dari IC 741 ke pena pada
IC DAC0808.
12) Lakukan pengamatan dan ukur kondisi ini.
13) Bila telah selesai praktikum pastikan semua saklar dalam keadaan off.

F. Tugas Pendahuluan
1. Jelaskan perbedaan antara ADC dengan DAC!
2. Dengan menggunakan Succesive Ramp ADC, dapatkan nilai 8 bit biner dari
tegangan input 7,28 V dan Vref=10V!
3. Hitung tegangan jika masukan digital 11111110!

PERCOBAAN 4
RANGKAIAN COUNTER UP & DOWN PADA FPGA
RUDY DARMAWAN
0915031072

PERCOBAAN 4
RANGKAIAN COUNTER UP & DOWN PADA FPGA

I.

TUJUAN PRAKTIKUM

Pelaksanaan praktikum ini memiliki beberapa tujuan utama, yaitu:


1. Menjelaskan arsitektur dasar IC FPGA.
2. Memahami keuntungan penggunaan teknologi FPGA.
3. Mempelajari pemrograman rangkaian counter pada FPGA.
4. Implementasi counter up & down dalam VHDL.
5. Mensimulasikan code dengan perangkat lunak Xilinx & Modelsim

II.

DASAR TEORI

Field-Programmable Gate Array (FPGA) adalah komponen elektronika dan


semikonduktor yang mempunyai komponen gerbang terprogram (programmablelogic) dan sambungan terprogram. Komponen gerbang terprogram yang dimiliki
meliputi jenis gerbang logika biasa (AND, OR, XOR, NOT) maupun jenis fungsi
matematis dan kombinasional yang lebih kompleks (decoder, adder, subtractor,
multiplier, dan lain-lain). Blok-blok komponen di dalam FPGA bisa juga
mengandung elemen memori (register) mulai dari flip-flop sampai pada Random
AccessMemory (RAM).

ARSITEKTUR FPGA
Masing-masing vendor FPGA mempunyai arsitektur sendiri, tetapi secara umum
adalah seperti pada gambar 2. Arsitekturnya terdiri dari Configurable Logic Block,
Configurable I/O Block, dan Programmable Interconnect.

Gambar 2 Arsitektur FPGA


Configurable Logic Blocks (CLB) memuat logika untuk FPGA. Secara lebih
detail, CLB memuat logic cell. Pada dasarnya, sebuah logic cell tersusun atas
sebuah Look Up Table (LUT) kecil, sebuah D Flip Flop, dan sebuah 2 to 1 mux.

Gambar 3. CLB
Configurable I/O Block sebagai interface antara external package pin dari device
dan internal user logic. Interconnect adalah jaringan terprogram bagi jalannya
sinyal antara input dan output pada elemen-elemen fungsional di dalam FPGA
seperti CLB, IOB, DCM, dan Block RAM.

FPGA MIKROKONTROLER
FPGA mengimplementasikan elemen-elemen logika terprogram, bekerja secara
parallel. Mikrokontroler bekerja berdasarkan arsitektur CPU, mengeksekusi
sekumpulan instruksi secara sekuensial
PROGRAMMABLE LOGIC - DESIGN FLOW SECARA UMUM

Design Entry, dapat dibuat dengan cara schematic atau HDL (Hardware
Description Language) seperti ABEL, VHDL, dan/atau Verilog.

Implementation, yaitu terdiri dari tahap pemetaan, placement, routing


termasuk pula bitstream generation, timing analyzing dan layout viewing

Download, yaitu meng-upload bitstream ke Hardware (FPGA chip)

Gambar 4. Xilinx SPARTAN-3E STARTER KIT


APLIKASI FPGA
Prototyping
Ideal untuk aplikasi pembuatan prototipe
On-site re-configuration of hardware
yaitu aplikasi hardware yang bisa dikonfigurasi ulang secara cepat
FPGA-based computation engines
FPGA sebagai processor aplikasi komputasi
PEMROGRAMAN IC FPGA

Gambar 5. Proses Pemrograman IC FPGA


Very high speed integrated circuit Hardware Description Language (VHDL)
adalah sebuah bahasa program yang digunakan untuk mendesain dan
melakukan modeling dari suatu sistem perangkat keras digital, circuit board,
atau komponen. Secara umum struktur dari pemrograman VHDL terdiri atas
dua bagian yaitu bagian ENTITY dan bagian ARCHITECTURE.

Gambar 6. Structure VHDL


Bagian ENTITY menjelaskan spesifikasi pin-pin eksternal yang digunakan
dari sirkuit atau rancangan yang akan dibuat.

entity ANDGATE
is port (
IN1 : in std_logic;
IN2 : in std_logic;
OUT1: out std_logic);
end entity ANDGATE;
ARSITEKTUR STANDAR DALAM VHDL
Ada 3 pendekatan berbeda dalam menuliskan arsitektur VHDL. Ketiga
pendekatan tersebut adalah dataflow, structural dan behavioral.
1. Behavioural
Didesain berdasarkan Algoritma
2. Dataflow (RTL)
Didesain berdasarkan alur register data. Fungsi dari rangkaian dijelaskan
dengan mendefinisikan aliran informasi dari satu register (input) ke
register lain (output).
3. Structural
Metode ini sama saja dengan representasi skematik, karena hubungan
tiap komponen diperlihatkan

Tidak seperti bahasa program pada umumnya, misalnya C atau Pascal, di mana
eksekusi untuk setiap statement dilakukan satu persatu dan dengan suatu aturan
tertentu. Di dalam architecture VHDL tidak terdapat aturan tertentu (kecuali
dalam statement process, yang eksekusinya dilakukan secara sekuensial) dalam
melakukan penetapan statement. Perintah eksekusi akan dilakukan jika terjadi
perubahan pada sinyal-sinyal dalam suatu statement
COUNTER
Pada Counter Asinkron, sumber clock hanya diletakkan pada input Clock di Flipflop terdepan (bagian Least Significant Bit / LSB), sedangkan input-input clock
Flip-flop yang lain mendapatkan catu dari output Flip-flop sebelumnya.

Konfigurasi ini didapatkan dari gambar timing diagram Counter 3-bit seperti
ditunjukkan pada gambar 5.1. Dengan konfigurasi ini, masing-masing flip-flop ditrigger tidak dalam waktu yang bersamaan. Model asinkron semacam ini dikenal
juga dengan nama Ripple Counter.

Tabel 1. Tabel Kebenaran dari Up Counter Asinkron 3-bit

Berdasarkan bentuk timing diagram di atas, output dari flip-flop C menjadi clock
dari flip-flop B, sedangkan output dari flip-flop B menjadi clock dari flip-flop A.
Perubahan pada negatif edge di masing-masing clock flip-flop sebelumnya
menyebabkan flip-flop sesudahnya berganti kondisi (toggle), sehingga input-input
J dan K di masing-masing flip-flop diberi nilai 1 (sifat toggle dari JK flip-flop).
Bentuk dasar dari Counter Asinkron 3-bit ditunjukkan pada gambar 2.

Rangkaian Up/Down Counter merupakan gabungan dari Up Counter dan Down


Counter. Rangkaian ini dapat menghitung bergantian antara Up dan Down karena
adanya input eksternal sebagai control yang menentukan saat menghitung Up atau
Down. Pada rangkaian Up/Down Counter ASinkron, output dari flip-flop
sebelumnya menjadi input clock dari flip-flop berikutnya, seperti ditunjukkan
pada gambar 4.

III. PERALATAN

1. Satu set PC yang dilengkapi dengan software ISE WebPack versi 10.1 atau
lebih serta software ModelSim.
2. Development board XC3S500E
3. Power-supply +9V
4. Kabel data

IV. PROSEDUR PERCOBAAN

1.

Jalankan software ISE WebPACK dengan mengklik ganda ikon


pada desktop.

2. Buat new project dengan nama percobaan2 simpan di folder


D:\elan\percobaan2

3. Masukkan parameter seperti gambar berikut. Kemudian klik tombol


NEXT sampai tiga kali, konfigurasi yang ada tidak perlu diubah,
kemudian klik tombol FINISH.

Device Family: Spartan3E


Device: XC3S500E

Package: FG320
Speed : -4
Top-Level Source Type: Hdl
4. Buat new source jenis VHDL Module dengan nama counter

Selanjutnya klik NEXT sampai FINISH


5. Maka anda akan mendapatkan jendela HDL editor

6. Selanjutnya ketikkan listing program pada jendela HDL editor:

7. Kemudian SAVE file dan periksa penulisan program VHDL dengan cara
melakukan klik ganda pada check syntax

Ulangi langkah ini sampai tidak ada kesalahan, apabila sudah tidak ada
error maka ikutilah langkah selanjutnya.
8. Kemudian synthesize modul dengan cara mengklik ganda pada
Synthesize-XST serta Implementasi Design dengan cara mengklik ganda
pada Implement Design.

9. Buat new source untuk simulasi modul VHDL hasil perancangan, berilah
nama file simul_CNT!

10. Berikanlah nilai logika pada parameter input (yang berwarna biru)
sebelum melakukan simulasi. Setelah itu lakukan simulasi dan gambarkan
hasil simulasi pada lembar laporan sementara, Jangan lupa simpan file
hasil simulasi.

11. Selanjutnya lakukan simulasi FUNCTIONAL (Simulate Behavioral


Model) dan simulasi TIMING (Simulate Post Place&Route VHDL
Model).

12. Setelah itu lanjutkan dengan membuat konfigurasi untuk pin-pin tersebut.

13. lalu download program tersebut ke FPGA, dan perhatikan hasil


keluarannya

PERCOBAAN 5
MIKROKONTROLER AVR
IBNU NADHIR
0915031012

I.

JUDUL PRAKTIKUM
MICROCONTROLLER AVR

II.

TUJUAN PRAKTIKUM

Tujuan dari praktikum ini adalah:


1. Mengetahui karakteristik microcontroller ATmega8535.
2. Menguasai cara membuat program ke dalam microcontroller menggunakan
software AVR Studio 4 dan membuat simulasinya di dalam software Proteus
7 Profesional.
3. Mengetahui cara memvariasikan LED menggunakan microcontroller
ATmega8535.
4. Mengetahui cara membuat program tentang counter menggunakan
microcontroller ATmega8535.
III.

TEORI DASAR

Mikrokontroler AVR (Alf and Vegards Risc processor) merupakan mikrokontroler


keluaran Atmel, yang mempunyai arsitektur RISC 8 bit, di mana semua instruksi
dikemas dalam kode 16-bit dan sebagian besar instruksi dieksekusi dalam 1 siklus
clock, berbeda dengan instruksi MCS51 yang membutuhkan 12 siklus clock. Tentu
saja itu terjadi karena kedua jenis mikrokontroler tersebut memiliki arsitektur
yang berbeda. AVR berteknologi RISC (reduced Instruction set computing),
sedangkan seri MCS51 berteknologi CISC (complex Instruction set computing).
Secara umum, AVR dapat dikelompokkan menjadi 4 kelas, yaitu keluarga ATtiny,
keluarga AT90Sxx, keluarga ATmega, dan AT86RFxx. Pada dasarnya yang
membedakan masing-masing kelas adalah memori, peripheral, dan fungsinya.
Dari segi arsitektur dan instruksi yang digunakan, mereka bisa dikatakan hampir
sama.
ATmega 8535/16/32 adalah produk atmel seri AVR yang merupakan system
programmable, artinya dapat kita program secara berulang-ulang. Yang
membedakan antara ketiga seri ATmega diatas adalah hanya sebatas memory flash
yaitu seri ATmega8535 kapasitas memory flash 8 Kb, ATmega 16 kapasitas

memory flashnya 16 Kb, dan ATmega32 kapasitas memory flashnya sebesar 32


Kb.
1. Arsitektur Mikrokontroller AVR
Diagram blok arsitektur mikrokontroler tipe ATmega8535 ditunjukkan pada
gambar 1. Dari gambar tersebut dapat dilihat bahwa ATmega8535 memiliki
bagian sebagai berikut:
a) Saluran I/O sebanyak 32 buah, yaitu port A, port B, port C dan port D.
b) ADC 10 bit sebanyak 8 saluran yang terletak pada port A.
c) Tiga buah Timer/Counter dengan kemampuan pembanding yang terletak di
bagian kanan atas gambar 1.
d) CPU yang terdiri atas 32 buah register.
e) Watchdog Timer dengan osilator internal terletak di bagian tengah kanan
gambar 1.
f) SRAM sebesar 512 byte yang terletak di bagian tengah kiri atas gambar 1.
g) Memori Flash sebesar 8 KB dengan kemampuan read while write.
h) Unit interupsi internal dan eksternal terletak di bagian tengah kanan bawah
gambar 1.
i) Port antarmuka SPI yang terletak di bagian tengah kiri bawah gambar 1.
j) EEPROM sebanyak 512 byte yang dapat diprogram saat operasi terletak di
bagian tengah kanan bawah gambar 1.
k) Antarmuka komparator analog yang terletak di bagian tengah bawah kiri
gambar 1.
l) Port USART komunikasi serial terletak di tengah kanan bawah gambar 1.

Gambar 1. Blok diagram arsitektur mikrokontroler ATmega8535


2.

Fitur ATmega8535

Fitur yang terdapat di ATmega8535 adalah sebagai berikut:


1) Frekuensi clock maksimum 16 MHz.
2) Jalur I/O 32 buah, yang terbagi dalam PortA, PortB, PortC dan PortD.
3) Analog to Digital Converter 10 bit sebanyak 8 input.
4) Timer/Counter sebanyak 3 buah.
5) CPU 8 bit yang terdiri dari 32 register.
6) Watchdog Timer dengan osilator internal.
7) SRAM sebesar 512 byte.
8) Memori Flash sebesar 8 Kbyte dengan kemampuan read while write.
9) Interrupt internal maupun eksternal.
10) Port komunikasi SPI.
11) EEPROM sebesar 512 byte yang dapat diprogram saat operasi.
12) Analog Comparator.
13) Komunikasi serial standar USART dengan kecepatan maksimal 2,5 Mbps.
3.

Konfigurasi Pin ATmega8535

Konfigurasi pin yang terdapat di ATmega8535 dapat dilihat pada gambar 2.


Berdasarkan fungsi dari konfigurasi pin ATmega8535 adalah sebagai berikut :
1) VCC merupakan pin yang berfungsi sebagai pin masukan daya.
2) GND merupakan pin ground.
3) Port A (PA0..PA7) merupakan pin I/O dua arah dan pin masukan ADC.
4) Port B (PB0...PB7) merupakan pin I/O dua arah dan pin fungsi khusus, yaitu
Timer/Counter, komparator analog, dan SPI.
5) Port C (PC0..PC7) merupakan pin I/O dua arah dan pin fungsi khusus, yaitu
TWI, komparator analog, dan Timer Oscilator.
6) Port D (PD0..PD7) merupakan pin I/O dua arah dan pin fungsi khusus, yaitu
komparator analog, interupsi eksternal, dan komunikasi serial.
7) RESET merupakan pin yang digunakan untuk me-reset mikrokontroler.
8) XTAL1 dan XTAL2 merupakan pin masukan clock eksternal.
9) AVCC merupakan pin masukan tegangan untuk ADC.
10) AREF merupakan pin masukan tegangan referensi ADC.

Gambar 2. Pin ATmega8535


Seperti gambar diatas ATmega8535 terdapat dari 4 Port yaitu PORTA, PORTB,
PORTC, PORTD dan terdiri dari 32 pin I/O (input/output) yang mana masingmasing port mempunyai 8 pin (0-7), dari 32 pin ini kita bisa menjadikannya
sebagai masukan (input) atau keluaran (output) dengan mengeset DDR (Data
Direction Register).
Contoh:
DDRC=255; // Artinya PORTC dikonfigurasi sebagai output, yaitu (PC.0 sampai
PC.7)
DDRD=0x00 // Artinya PORTD dikonfigurasi sebagai input, yaitu (PD.0 sampai
PD.7)
VOH ( output high voltage) ialah tegangan pada pin I/O mikrokontroler ketika ia
mengeluarkan logia 1 dengan besar sekitar 4.2V dan arus sebesar 20mA(I OH .
Setiap pin I/O mikrokontroler AVR memiliki internal pull up. Mialnya Port B
dikonfiguasi sebagai input dan internal pull-upnya diaktifkan maka DDRB==00H
dan PORTB=00H
Contoh ;
DDRB=0; // Port B dikonfigurasi sebagai input
PORTB=0; //internal pull-up aktif
Untuk mendeteksi input pada salah satu port, dapat digunakan fungsi PINx,
sedangkan mendeteksi per pin pada suatu port dapat digunakan fungsi Pinx.bit
Contoh:
PORTB=PINC;

//Semua data di Port C dikirim ke Port B

PORTB.0=PINC.0 ; //Data di Port C.0 dikirim ke Port B.0


Selain itu setiap port pada mikrokontroller ATmega8535 selain menjadi pin I/O
(input/output), juga mempunyai fungsi khusus masing-masing yaitu:

PORTA berfungsi khusus sebagai masukan ADC (analog digital converter)


sebanyak 8 channel (10bit)

PORTB berfungsi khusus sebagai Timer/Counter, Komparator Analog &


SPI

PORTC berfungsi khusus sebagai Komparator Analog dan Timer


Oscillator

PORTD berfungsi khusus sebagai Komparator Analog, Interrupt External


serta Komunikasi serial. Biasanya juga dipakai sebagai PWM untuk driver
motor (OC1A & OC1B)

Selain itu beberapa lagi kaki -kaki diantaranya antara lain:

IV.

V.

VCC merupakan pin sumber tegangan sebesar 5 Volt DC

GND merupakan pin ground

RESET merupakan pin untuk mereset mikrokontroller


XTAL1 dan XTAL2 merupakan pin masukan clock eksternal (Crystal

oscillator)
AVCC merupakan pin masukan untuk tegangan ADC
AREF merupakan pin masukan tegangan referensi untuk ADC

PERALATAN
1. Seperangkat PC yang sudah terinstall software AVR studio 4 dan Proteus 7
Professional.
2. Sistem minimum microcontroller dan Downloader ASP.
3. Project board.
4. Lampu LED, push button dan resistor.
RANGKAIAN PERCOBAAN
A. LED

B. Counter

VI.

PROSEDUR PERCOBAAN
A. LED
1. Me-running software AVR Studio 4. Kemudian pilih New Project.

2. Kemudian pada Project Type kita pilih AVR GCC,


pada Project name kita tulis LED,
dan pada Initial file kita tulis Percobaan_1.
Lalu pilih location dimana kita akan menyimpan project kita. Setelah itu
pilih -Next- .

3. Langkah selanjutnya, karena kita menggunakan ATmega8535, pada


Debug platform pilih AVR Simulator lalu pada Device pilih
ATmega8535. Setelah itu klik -Finish- .

4. Masukkan list program seperti dibawah ini :


#include <avr/io.h>
#include <util/delay.h>
int main (void)
{
DDRA=0b11111111;
while(1)
{
PORTA = 0b00000001;
_delay_ms(300);
PORTA = 0b00000010;
_delay_ms(300);

}
}

5. Memastikan program yang kita buat sudah success atau belum, tekan
CTRL+F7. Apabila sudah success maka program sudah siap dieksekusi,
ketika masih terdapat Error maka diperbaiki sampai program success.
6. Me-running software Proteus 7 Professional untuk membuat simulasi
dengan rangkaian schematicnya. Kemudian pilih NO pada pilihan View
Sample Design.

7. Inputkan component dari libraries yang kita butuhkan dengan cara klik
Pick From Libraries.

8. Panggil component dengan mengetikkan ATmega8535 dan LED-red


pada keywords di pick device, lalu double klik.

9. Rangkai component tersebut sehingga membentuk rangkaian schematic


seperti dibwah ini.

10. Masukkan program yang telah kita buat sebelumnya pada AVR Studio 4,
dengan cara double klik pada ATmega8535 lalu pilih Program File yang

akan kita inputkan pada gambar schematic. Setelah itu kita Play untuk
melihat hasil program yang telah kita buat.

B. COUNTER
1. Me-running software AVR Studio 4. Kemudian pilih New Project.

2. Kemudian pada Project Type kita pilih AVR GCC,


pada Project name kita tulis COUNTER,
dan pada Initial file kita tulis Percobaan_2.
Lalu pilih location dimana kita akan menyimpan project kita. Setelah itu
pilih -Next- .

3. Langkah selanjutnya, karena kita menggunakan ATmega8535, pada


Debug platform pilih AVR Simulator lalu pada Device pilih
ATmega8535. Setelah itu klik -Finish- .

4. Masukkan list program seperti dibawah ini :


#include <avr/io.h>
#include <avr/interrupt.h>
int satuan,puluhan,data;
void counter()
{
puluhan=data/10;
satuan=data%10;
}
ISR(INT2_vect)
{
data++;
if (data>99)
{
data=0;
}
}
int main(void)
{
PORTB=0b00000100;
DDRB=0x00;
DDRA=0xFF;
PORTA=0x00;
GIFR = 1<<INT2;
MCUCR = (1<<ISC01) | (1<<ISC00);
GICR = (1<<INT2);
sei();
while(1)
{
counter();

if(data>1)
{
PORTA |=1<<PA0;

// Pakai ini kalo input Pull UP


(Terhubung Ground)

}
if(data>3)
{
PORTA |=1<<PA1;
}
if(data>5)
{
PORTA |=1<<PA2;
}
if(data>7)
{
PORTA |=1<<PA3;
}
if(data>10)
{
PORTA |=1<<PA4;
}
if(data>13)
{
PORTA |=1<<PA5;
}
if(data>16)
{
PORTA |=1<<PA6;
}
if(data>19)
{
PORTA |=1<<PA7;
}
}
return(0);
}

5. Memastikan program yang kita buat sudah success atau belum, tekan
CTRL+F7. Apabila sudah success maka program sudah siap dieksekusi,
ketika masih terdapat Error maka diperbaiki sampai program success.

6. Me-running software Proteus 7 Professional untuk membuat simulasi


dengan rangkaian schematicnya. Kemudian pilih NO pada pilihan View
Sample Design.

7. Inputkan component dari libraries yang kita butuhkan dengan cara klik
Pick From Libraries.

8. Panggil component dengan mengetikkan ATmega8535, LED-red dan


BUTTON pada keywords di pick device, lalu double klik.

9. Rangkai component tersebut sehingga membentuk rangkaian schematic


seperti dibwah ini.

10. Masukkan program yang telah kita buat sebelumnya pada AVR Studio 4,
dengan cara double klik pada ATmega8535 lalu pilih Program File yang
akan kita inputkan pada gambar schematic. Setelah itu kita Play untuk
melihat hasil program yang telah kita buat.

DAFTAR PUSTAKA
Experiment Manual for Computer Fundamental, Labtech Int. Ltd.
Paul B.Zbar Joseph Shoop, Electricity Electronics Fundamentals A Text
Lab. Manual, Edisi 4, GLENCOE (MacMillan/McGraw Hill), Edisi Internasional
tahun 1993)
Charles A. Schuler. Modern Industrial Electronics, Edisi 3
Loveday, G. 1998. Intisari Elektronika. PT Elek Media Komputindo. Jakarta

Anda mungkin juga menyukai