Anda di halaman 1dari 13

LAPORAN PRAKTIKUM

PROGRAM STUDI TEKNIK TELEKOMUNIKASI

09
DECODER BCD TO SEVEN SEGMENT

NAMA PRAKTIKAN

: THALIA ARIYAPUTRI

NAMA REKAN KERJA

: 1. SARAH HAFIDZAH
2. YUDISTIRA AGUNG PRAYUDA

KELAS/KELOMPOK

: TT2A/5

TANGGAL PELAKSANAAN PRAKTIKUM

: 07 MEI 2015

TANGGAL PENYERAHAN LAPORAN

: 13 MEI 2015

JURUSAN TEKNIK ELEKTRO


POLITEKNIK NEGERI JAKARTA
1

DAFTAR ISI

Halaman
COVER
DAFTAR ISI
1. TUJUAN

2. DASAR TEORI3
2.1. Seven Segment
3
2.2. Decoder BCD to Seven Segment
3. ALAT-ALAT YANG DIPERGUNAKAN
4. LANGKAH-LANGKAH PERCOBAAN

4
6
6

5. PERTANYAAN DAN TUGAS 7


6. DATA HASIL PERCOBAAN 10
7. ANALISA

11

8. KESIMPULAN 12
DAFTAR PUSTAKA 13

DECODER BCD TO SEVEN SEGMENT


2

1. TUJUAN
Memahami prinsip kerja dari seven segment Common Anoda dan Common

Cathoda.
Mempelajari prinsip kerja IC 7447 dan 7448 sebagai decoder BCD to seven
segment.

2. DASAR TEORI
2.1 Seven Segment
Seven Segment Display dalam bahasa Indonesia disebut dengan Layar Tujuh
Segmen adalah komponen elektronika yang dapat menampilkan angka desimal melalui
kombinasi-kombinasi segmennya. Pada umumnya, seven segment digunakan pada jam
digital, kalkulator, penghitung atau Counter Digital, multimeter digital dan panel
display digital seperti pada Microwave Oven atau pengatur suhu digital. Seven segment
display pertama diperkenalkan dan dipatenkan pada tahun 1908 oleh Frank. W. Wood
dan mulai dikenal luas pada tahun 1970-an setelah aplikasinya pada LED (Light
Emitting Diode).

Gambar 2.1 Seven Segment

Seven Segment Display memiliki 7 segmen di mana setiap segmen dikendalikan


secara ON dan OFF untuk menampilkan angka yang diinginkan. Angka-angka dari 0
(nol) sampai 9 (sembilan) dapat ditampilkan dengan menggunakan beberapa kombinasi
Segmen. Selain 0 sampai 9, Seven Segment Display juga dapat menampilkan Huruf
Hexadecimal dari A sampai F. Segmen atau elemen-elemen pada Seven Segment
Display diatur menjadi bentuk angka 8 yang agak miring ke kanan dengan tujuan
untuk mempermudah pembacaannya. Pada beberapa jenis Seven Segment Display,
terdapat juga penambahan titik yang menunjukan angka koma decimal. Terdapat
3

beberapa jenis Seven Segment Display, diantaranya adalah Incandescent bulbs,


Fluorescent lamps (FL), Liquid Crystal Display (LCD) dan Light Emitting Diode
(LED).
Ada 2 (dua) jenis seven segment, antara lain:
1) Common Anoda
Semua anoda dari LED dalam seven segment disatukan secara paralel dan semua
itu dihubungkan ke VCC, dan kemudian LED dihubungkan melalui tahanan pembatas
arus keluar dari penggerak LED. Karena dihubungkan ke VCC, maka Common Anoda
ini berada pada kondisi aktif low (LED akan menyala atau aktif bila diberi logika 0).
2) Common Katoda
Merupakan kebalikan dari Common Anoda. Semua katoda disatukan secara
paralel dan dihubungkan ke ground. Karena seluruh katoda dihubungkan ke ground,
maka Common Katoda ini berada pada kondisi aktif high (LED akan menyala atau
aktif bila diberi logika 1).

Gambar 2.2 Seven Segment Anoda dan Katoda

2.2. Decoder BCD to Seven Segment


Prinsip kerja seven segment ialah input biner pada switch dikonversikan masuk
ke dalam decoder, kemudian decoder mengkonversi bilangan biner tersebut menjadi
decimal, yang nantinya akan ditampilkan pada seven segment. Seven segment dapat
menampilkan angka-angka decimal dan beberapa karakter tertentu melalui kombinasi
aktif atau tidaknya LED penyusunan dalam seven segment. Untuk memudahkan
penggunaan seven segment, umumnya digunakan sebuah decoder (mengubah atau
mengkoversi input bilangan biner menjadi decimal) atau seven segment driver yang

akan mengatur aktif tidaknya LED dalam seven segment sesuai dengan nilai biner yang
diberikan.
Decoder mengambil kode-kode input BCD 4 bit dan menghasilkan tujuh output
(a, b, c, d, e, f, dan g), sehingga kode decimal dapat ditampilkan (Tabel 2.1). Jenis
decoder BCD ke seven segment ada 2 (dua) macam, yaitu decoder yang berfungsi
untuk menyalakan seven segment mode common anoda dan decoder yang berfungsi
untuk menyalakan seven segment mode common katoda. IC yang digunakan untuk
seven segment common anoda adalah 7447 dan IC yang digunakan untuk seven
segment common cathoda adalah 7448. Berikut ini contoh gambar dan konfigurasi pin
IC 7447.

Gambar 2.3 IC 7447 untuk seven segment Common Anoda

Decoder BCD ke seven segment mempunyai masukan berupa bilangan BCD 4-bit
(masukan A, B, C dan D). Bilangan BCD ini dikodekan, sehingga membentuk kode
tujuh segmen yang akan menyalakan ruas-ruas yang sesuai pada seven segment.
Masukan BCD diaktifkan oleh logika 1, dan keluaran dari dekoder 7447 adalah aktif
low. Tiga masukan ekstra juga ditunjukkan pada konfigurasi pin IC 7447 yaitu masukan
(lamp test), masukan (blanking input/ripple blanking output), dan (ripple blanking
input).
Berikut ini adalah tabel kebenaran decoder BCD to seven segment.
Tabel 2.1. Tabel kebenaran decoder BCD to seven segment
D
0
0
0
0
0
0
0
0

INPUT
C
B
0
0
0
0
0
1
0
1
1
0
1
0
1
1
1
1

A
0
1
0
1
0
1
0
1

a
1
0
1
1
0
1
0
1

b
1
1
1
1
1
0
0
1

c
1
1
0
1
1
1
1
1

OUTPUT
d
1
0
1
1
0
1
1
0

e
1
0
1
0
0
0
1
0

f
1
0
0
0
1
1
1
0

G
0
0
1
1
1
1
1
0
5

1
1

0
0

0
0

0
1

1
1

1
1

1
1

1
0

1
0

1
1

1
1

3. ALAT-ALAT YANG DIPERGUNAKAN


No

Alat-alat dan komponen

Merk/type

Jumlah

Seven Segment Common Anoda (CA)

Seven Segment Common Cathoda (CC)

IC 7447 (BCD to 7 Segment Decoder/Driver)

2
3
4
5
6

IC 7448 (BCD to 7 Segment Decoder/Driver)


Power Supply DC
Multimeter
Logic Probe
Resistor 220
LED

Protoboard

Kabel-kabel penghubung

1
1
1
1
7
7

Pascal PSIS02A2
Metrix
ITW LP-1
MCP Model: ML-35

Secukupny
a

4. LANGKAH-LANGKAH PERCOBAAN
Langkah-langkah dalam melakukan percobaan adalah sebagai berikut:
4.1. Identifikasi kaki seven segment Common anoda dan common cathoda
1)
2)
3)
4)

Atur tegangan power supply sebesar 5 Volt.


Buat rangkaian seperti Gambar 4.1.
Atur input A ke ground.
Hubungkan terminal Y ke salah satu kaki seven segment, amati dan catat

kondisi segment.
5) Ulangi langkah 4 untuk kaki seven segment yang lain.
6) Ubah input A ke VCC.

Gambar 4.1 IC 7447 BCD to Seven Segment

7) Ulangi langkah 4 dan 5.


6

8) Ulangi langkah 1 s.d. 7 untuk seven segment yang lain.


9) Buat rangkaian decoder to seven segment mempergunakan IC 7447 dan IC
7448 seperti Gambar 4.2. dan Gambar 4.3.

Gambar 4.2 IC 7447 BCD to Seven Segment

Gambar 4.3 IC 7448 BCD to Seven Segment

10) Berikan input enable LT, BI/RBO, dan RBI serta input DCBA sesuai tabel
6.1 dan tabel 6.2.
11) Amati dan catat kondidi segment a, b, c, d, e, f, g serta tampilan seven
segment lengkap pada tabel 6.1 dan tabel 6.2.
5. PERTANYAAN DAN TUGAS
1. Bandingkan perbedaan seven segment common anoda dan common cathoda!
2. Buatlah rangkaian decoder BCD to seven segment berdasarkan tabel kebenaran
2.1. dengan mempergunakan gerbang logika!
3. Bandingkan perbedaan antara IC 7447 dan IC 7448!
4. Buatlah kesimpulan dari percobaan ini!
Jawab:
1. Perbedaan seven segment common anoda dan common cathoda adalah input pada
common anoda dihubungkan ke VCC dan aktif bila diberi logika 0 (aktif low),
sedangkan input pada common cathoda dihubungkan ke ground dan aktif bila
diberi logika 1 (aktif high).
2. Rangkaian decoder BCD to seven segment berdasarkan tabel kebenaran 2.1:
7

VCC
5V

7
1
2
6

A
B
C
D

3
5
4

~LT
~RBI
~BI/RBO

OA
OB
OC
OD
OE
OF
OG

CA

13
12
11
10
9
15
14

CA
A B C D E FG

7447N

22022 22 22 22 22 22

Dengan gerbang logika:


U1
VCC
U2
AND4

5V

U3
AND4

J1

U11A
U12A
U13A
7404N
U14B
7404N
7404N
7404N

U4
AND4

U5
AND4

U6
AND4

U15
U24A

U16
OR4

R1
220

7432N

U8
AND4

U9
AND4

U10
AND4

A B C D E F G

U28A
U18
OR4

U29A
7432N
U19
7432N

R2
220

7432N
U25A

OR4
U26B

U30A
7432N
U33B
7432N

U20
7432N

OR4

U34B

R3
220

7432N

OR4
U31B

U37A
7432N
AND4

U32

U17
OR4

U27A
U7
AND4

CK

U36B
7432N
U38B

U22

R4
220

7432N

7432N

U35B
OR4

U43B
7432N

R7
220
U41B

U40B
7432N

U42A
7432N
U23A
7432N
U39B
7432N

7432N

R5
220

7432N
U21

R6
220

OR4
7432N

3. Perbedaan IC 7447 dan IC 7448 adalah IC 7447 digunakan untuk seven segment
common anoda, sedangkan IC 7448 digunakan untuk seven segment common
cathoda.
4. Kesimpulan dari percobaan ini adalah:
Seven Segment Display adalah komponen elektronika yang dapat
menampilkan angka desimal melalui kombinasi-kombinasi segmennya.
8

Seven segment memiliki 10 kaki yang di mana 7 kaki output yang diberi
label a sampai dengan g sebagai output, 2 kaki dihubungkan menjadi 1

sebagai common (input), dan 1 kaki untuk decimal (dot point atau dp).
Ada 2 jenis seven segment, yaitu seven segment common anoda dan seven

segment common cathoda.


Pada seven segment common anoda kaki inputnya dihubungkan ke VCC
(positif) dan pada seven segment common cathoda kaki inputnya

dihubungkan ke ground (negatif).


Untuk membuat rangkaian decoder BCD to seven segment, dapat
menggunakan 2 IC, yaitu IC 7447 untuk seven segment common anoda dan

IC 7448 untuk seven segment common cathoda.


Pada decoder BCD to seven segment common anoda akan aktif bila bernilai
0 (aktif low) dan pada decoder BCD to seven segment common cathoda
akan aktif bila bernilai 1 (aktif high).

6. DATA HASIL PERCOBAAN

10

7. ANALISA
Berdasarkan hasil percobaan di atas, sebelum membuat rangkaian decoder BCD to
seven segment, harus mengidentifikasi kaki seven segment terlebih dahulu agar dapat
mengetahui seven segment untuk common anoda dan seven segment untuk common cathoda.
Perhatikan pada data hasil percobaan di atas. Seven segment tersusun atas 7 buah LED yang
disusun membentuk angka 8 yang 7 kakinya diberi label a sampai dengan g (output), 2
kakinya dihubungkan menjadi satu sebagai kaki common (input), dan 1 kakinya untuk dot
point (dp) sebagai decimal. Untuk seven segment common anoda, kaki commonnya
dihubungkan ke VCC (positif) dan untuk seven segment common cathoda dihubungkan ke
ground (negatif).
Pada Tabel 6.1. decoder BCD to seven segment menggunakan IC 7447 untuk common
anoda. Pada common anoda, LED akan menyala apabila kaki input seven segmentnya
dihubungkan ke VCC. Jika LT, RBI, dan BI/RBO nya diberi logika 1, maka LED akan
menyala dan hasil tampilannya sesuai biner dari inputnya. Sedangkan, jika BI diberi logika
1, RBI diberi logika 1 atau 0, inputnya diberi X (dont care), dan BI/RBO nya diberi
logika 0, maka LED tidak aktif. Namun, jika BI/RBO dan LT nya diberi logika 1, RBI
diberi logika 0, dan inputnya diberi X (dont care), maka outputnya bernilai 0 (aktif low),
sehingga semua LED akan menyala dan menampilkan angka 8.
Pada Tabel 6.2. decoder BCD to seven segment menggunakan IC 7448 untuk common
cathoda. Pada common cathoda, LED akan menyala apabila kaki input seven segmentnya
11

dihubungkan ke ground. Jika LT, RBI, dan BI/RBO nya diberi logika 1, maka LED akan
menyala dan hasil tampilannya sesuai biner dari inputnya. Sedangkan, jika BI diberi logika
1, RBI diberi logika 1 atau 0, inputnya diberi X (dont care), dan BI/RBO nya diberi
logika 0, maka LED tidak aktif. Namun, jika BI/RBO dan LT nya diberi logika 1, RBI
diberi logika 0, dan inputnya diberi X (dont care), maka outputnya bernilai 1 (aktif
high), sehingga semua LED akan menyala dan menampilkan angka 8.
8. KESIMPULAN
Kesimpulan dari percobaan ini adalah:

Seven Segment Display adalah komponen elektronika yang dapat menampilkan

angka desimal melalui kombinasi-kombinasi segmennya.


Seven segment memiliki 10 kaki yang di mana 7 kaki output yang diberi label a
sampai dengan g sebagai output, 2 kaki dihubungkan menjadi 1 sebagai common

(input), dan 1 kaki untuk decimal (dot point atau dp).


Ada 2 jenis seven segment, yaitu seven segment common anoda dan seven

segment common cathoda.


Pada seven segment common anoda kaki inputnya dihubungkan ke VCC (positif)
dan pada seven segment common cathoda kaki inputnya dihubungkan ke ground

(negatif).
Untuk membuat rangkaian decoder BCD to seven segment, dapat menggunakan 2
IC, yaitu IC 7447 untuk seven segment common anoda dan IC 7448 untuk seven

segment common cathoda.


Pada decoder BCD to seven segment common anoda akan aktif bila bernilai 0
(aktif low) dan pada decoder BCD to seven segment common cathoda akan aktif
bila bernilai 1 (aktif high).

DAFTAR PUSTAKA

12

Kho, Dickson.2014.Pengertian Seven Segment Display (Layar Tujuh Segmen).


http://teknikelektronika.com/pengertian-seven-segment-display-layar-tujuh-segmen/ (09 Mei
2015)
Nixon, Benny.2008.Laboratorium Digital 1 (Rangkaian Kombinatorial).Depok: DIPA
Politeknik Negeri Jakarta

13

Anda mungkin juga menyukai