Anda di halaman 1dari 35

Release 11.1 - par L.

33 (nt)
Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved.
Mon Jun 23 21:37:43 2014
INFO: The IO information is provided in three file formats as part of the Place
and Route (PAR) process. These formats are:
1. The <design name>_pad.txt file (this file) designed to provide information on
IO usage in a human readable ASCII text format viewable through common text edi
tors.
2. The <design namd>_pad.csv file for use with spreadsheet programs such as MS E
xcel. This file can also be read by PACE to communicate post PAR IO information.
3. The <design name>.pad file designed for parsing by customers. It uses the "|
" as a data field separator.
INPUT FILE:
OUTPUT FILE:
PART TYPE:
SPEED GRADE:
PACKAGE:

Top_PipelinedCipher_map.ncd
Top_PipelinedCipher_pad.txt
xc5vlx50
-2
ff676

Pinout by Pin Number:


+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
|Pin Number|Signal Name
|Pin Usage|Pin Name
|Direction|IO S
tandard|IO Bank Number|Drive (mA)|Slew Rate|Termination|IOB Delay|Voltage |Cons
traint|IO Register|Signal Integrity|
+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
|A1
|
|
|GND
|
|
|
|
|
|
|
|
|
|
|
|
|A2
|cipher_text<111> |IOB
|IO_L17P_16
|OUTPUT |LVCM
OS25* |16
|12
|SLOW
|
|
|
|UNLO
CATED |NO
|NONE
|
|A3
|cipher_text<112> |IOB
|IO_L17N_16
|OUTPUT |LVCM
OS25* |16
|12
|SLOW
|
|
|
|UNLO
CATED |NO
|NONE
|
|A4
|cipher_text<101> |IOB
|IO_L12P_VRN_16
|OUTPUT |LVCM
OS25* |16
|12
|SLOW
|
|
|
|UNLO
CATED |NO
|NONE
|
|A5
|cipher_text<102> |IOB
|IO_L12N_VRP_16
|OUTPUT |LVCM
OS25* |16
|12
|SLOW
|
|
|
|UNLO
CATED |NO
|NONE
|
|A6
|
|
|GND
|
|
|
|
|
|
|
|
|
|
|
|
|A7
|cipher_text<96> |IOB
|IO_L9N_CC_16
|OUTPUT |LVCM
OS25* |16
|12
|SLOW
|
|
|
|UNLO
CATED |NO
|NONE
|
|A8
|cipher_text<110> |IOB
|IO_L7N_16
|OUTPUT |LVCM
OS25* |16
|12
|SLOW
|
|
|
|UNLO
CATED |NO
|NONE
|
|A9
|cipher_text<91> |IOB
|IO_L7P_16
|OUTPUT |LVCM
OS25* |16
|12
|SLOW
|
|
|
|UNLO
CATED |NO
|NONE
|

|A10
|cipher_text<90>
OS25* |16
|12
CATED |NO
|NONE
|A11
|
|
|
|
|
|A12
|cipher_text<84>
OS25* |16
|12
CATED |NO
|NONE
|A13
|cipher_text<83>
OS25* |16
|12
CATED |NO
|NONE
|A14
|cipher_text<34>
OS25* |15
|12
CATED |NO
|NONE
|A15
|cipher_text<0>
OS25* |15
|12
CATED |NO
|NONE
|A16
|
|
|
|
|
|A17
|cipher_text<8>
OS25* |15
|12
CATED |NO
|NONE
|A18
|cipher_text<9>
OS25* |15
|12
CATED |NO
|NONE
|A19
|cipher_text<10>
OS25* |15
|12
CATED |NO
|NONE
|A20
|cipher_text<13>
OS25* |15
|12
CATED |NO
|NONE
|A21
|
|
|
|
|
|A22
|cipher_text<24>
OS25* |15
|12
CATED |NO
|NONE
|A23
|cipher_text<25>
OS25* |15
|12
CATED |NO
|NONE
|A24
|cipher_text<26>
OS25* |15
|12
CATED |NO
|NONE
|A25
|cipher_text<32>
OS25* |15
|12
CATED |NO
|NONE
|A26
|
|
|
|
|
|AA1
|
|
|
|
|
|AA2
|cipher_key<26>
OS25* |14
|
CATED |NO
|NONE
|AA3
|cipher_key<19>
OS25* |18
|
CATED |NO
|NONE

|IOB
|SLOW
|
|
|
|
|IOB
|SLOW
|
|IOB
|SLOW
|
|IOB
|SLOW
|
|IOB
|SLOW
|
|
|
|
|IOB
|SLOW
|
|IOB
|SLOW
|
|IOB
|SLOW
|
|IOB
|SLOW
|
|
|
|
|IOB
|SLOW
|
|IOB
|SLOW
|
|IOB
|SLOW
|
|IOB
|SLOW
|
|
|
|
|
|
|
|IOB
|
|
|IOB
|
|

|IO_L6N_16
|

|OUTPUT
|

|LVCM
|UNLO

|GND
|

|
|

|
|

|IO_L3N_16
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L3P_16
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L1P_15
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L1N_15
|

|OUTPUT
|

|LVCM
|UNLO

|GND
|

|
|

|
|

|IO_L5N_15
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L6P_15
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L6N_15
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L8P_CC_15
|
|

|OUTPUT
|

|LVCM
|UNLO

|GND
|

|
|

|
|

|IO_L13N_15
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L14P_15
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L14N_VREF_15
|
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L17N_15
|

|OUTPUT
|

|LVCM
|UNLO

|GND
|

|
|

|
|

|GND
|

|
|

|
|

|IO_L15P_14
|

|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L11N_CC_18
|
|NONE

|INPUT
|

|LVCM
|UNLO

|AA4
OS25*
CATED
|AA5
OS25*
CATED
|AA6

|18
|NO
|18
|NO
|18
|

|AA7
OS25*
CATED
|AA8
OS25*
CATED
|AA9
OS25*
CATED
|AA10
OS25*
CATED
|AA11

|18
|NO
|2
|NO
|2
|NO
|2
|NO
|
|

|AA12
OS25*
CATED
|AA13
OS25*
CATED
|AA14
OS25*
CATED
|AA15
OS25*
CATED
|AA16

|2
|NO
|2
|NO
|2
|NO
|2
|NO
|2
|

|AA17
OS25*
CATED
|AA18
OS25*
CATED
|AA19
OS25*
CATED
|AA20

|2
|NO
|2
|NO
|17
|NO
|17
|

|AA21
|
|
|AA22
OS25*
CATED
|AA23
OS25*
CATED

|17
|NO
|17
|NO

|cipher_key<16>
|
|NONE
|cipher_key<17>
|
|NONE
|
|
|
|cipher_key<20>
|
|NONE
|plain_text<114>
|
|NONE
|plain_text<56>
|
|NONE
|plain_text<58>
|
|NONE
|
|
|
|cipher_key<82>
|
|NONE
|cipher_key<81>
|
|NONE
|plain_text<80>
|
|NONE
|plain_text<83>
|
|NONE
|
|
|
|plain_text<116>
|
|NONE
|plain_text<63>
|
|NONE
|cipher_key<124>
|
|NONE
|
|
|
|
|
|
|plain_text<92>
|
|NONE
|plain_text<98>
|
|NONE

|IOB
|

|IO_L9P_CC_18
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L9N_CC_18
|
|NONE

|INPUT
|

|LVCM
|UNLO

|VCCO_18
|

|
|2.50

|
|

|IO_L10N_CC_18
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L2N_A22_2
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L4N_VREF_FOE_B_MOSI_2|INPUT
|
|NONE
|

|LVCM
|UNLO

|IO_L4P_FCS_B_2
|
|NONE

|INPUT
|

|LVCM
|UNLO

|GND
|

|
|

|
|

|IO_L8P_D3_2
|

|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L9P_D1_FS1_2
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L9N_D0_FS0_2
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L7P_D5_2
|

|NONE

|INPUT
|

|LVCM
|UNLO

|VCCO_2
|

|
|2.50

|
|

|IO_L3P_A21_2
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L1P_CC_A25_2
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L19N_17
|

|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L19P_17
|

|UNUSED
|

|
|

|GND
|

|
|

|
|

|IO_L10N_CC_17
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L6P_17
|

|INPUT
|

|LVCM
|UNLO

|
|IOB
|
|
|
|

|
|IOB
|
|
|IOB
|
|
|IOB
|
|
|IOB
|
|
|
|
|
|IOB
|
|
|IOB
|
|
|IOB
|
|
|IOB
|
|
|
|
|
|IOB
|
|
|IOB
|
|
|IOB
|
|
|IOBM
|
|
|
|
|
|IOB
|
|
|IOB
|
|

|NONE

|AA24
OS25*
CATED
|AA25
OS25*
CATED
|AA26

|17
|NO
|13
|NO
|
|

|AB1
OS25*
CATED
|AB2
OS25*
CATED
|AB3

|14
|NO
|14
|NO
|18
|

|AB4
OS25*
CATED
|AB5
OS25*
CATED
|AB6
OS25*
CATED
|AB7
OS25*
CATED
|AB8

|18
|NO
|18
|NO
|18
|NO
|18
|NO
|
|

|AB9
OS25*
CATED
|AB10
OS25*
CATED
|AB11
OS25*
CATED
|AB12
OS25*
CATED
|AB13

|4
|NO
|4
|NO
|2
|NO
|4
|NO
|4
|

|AB14
OS25*
CATED
|AB15
OS25*
CATED
|AB16
OS25*
CATED
|AB17
OS25*
CATED

|2
|NO
|2
|NO
|2
|NO
|2
|NO

|cipher_key<98>
|
|NONE
|plain_text<106>
|
|NONE
|
|
|
|cipher_key<12>
|
|NONE
|plain_text<48>
|
|NONE
|
|
|
|cipher_key<21>
|
|NONE
|cipher_key<50>
|
|NONE
|cipher_key<49>
|
|NONE
|cipher_key<52>
|
|NONE
|
|
|
|plain_text<53>
|
|NONE
|clk
|
|NONE
|plain_text<54>
|
|NONE
|cipher_key<85>
|
|NONE
|
|
|
|plain_text<50>
|
|NONE
|cipher_key<118>
|
|NONE
|plain_text<81>
|
|NONE
|plain_text<60>
|
|NONE

|IOB
|

|IO_L6N_17
|

|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L18N_13
|

|NONE

|INPUT
|

|LVCM
|UNLO

|GND
|

|
|

|
|

|IO_L16N_14
|

|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L16P_14
|

|NONE

|INPUT
|

|LVCM
|UNLO

|VCCO_18
|

|
|2.50

|
|

|IO_L11P_CC_18
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L14N_VREF_18
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L14P_18
|

|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L15N_18
|

|NONE

|INPUT
|

|LVCM
|UNLO

|GND
|

|
|

|
|

|IO_L1N_GC_D12_4
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L1P_GC_D13_4
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L8N_D2_FS2_2
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L7P_GC_VRN_4
|
|NONE

|INPUT
|

|LVCM
|UNLO

|VCCO_4
|

|
|2.50

|
|

|IO_L7N_D4_2
|

|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L5P_FWE_B_2
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L5N_CSO_B_2
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L3N_A20_2
|
|NONE

|INPUT
|

|LVCM
|UNLO

|
|IOB
|
|
|
|
|
|IOB
|
|
|IOB
|
|
|
|
|
|IOB
|
|
|IOB
|
|
|IOB
|
|
|IOB
|
|
|
|
|
|IOB
|
|
|IOB
|
|
|IOB
|
|
|IOB
|
|
|
|
|
|IOB
|
|
|IOB
|
|
|IOB
|
|
|IOB
|
|

|AB18

|AB19
|
|
|17
|NO
|17
|NO
|21
|
|17
|NO
|13
|NO
|13
|NO
|14
|NO
|14
|NO
|18
|NO
|18
|NO
|
|
|AC6
OS25*
CATED
|AC7
OS25*
CATED
|AC8
OS25*
CATED
|AC9
OS25*
CATED
|AC10

|IOBM
|

|18
|NO
|4
|NO
|4
|NO
|4
|NO
|4

|
|AC11
OS25* |4
CATED |NO

|
|

|
|

|IO_L18N_17
|

|UNUSED
|

|
|

|IO_L18P_17
|

|UNUSED
|

|
|

|IO_L11N_CC_17
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L10P_CC_17
|
|NONE

|INPUT
|

|LVCM
|UNLO

|VCCO_21
|

|
|
|any******|

|
|17

|AB24
OS25*
CATED
|AB25
OS25*
CATED
|AB26
OS25*
CATED
|AC1
OS25*
CATED
|AC2
OS25*
CATED
|AC3
OS25*
CATED
|AC4
OS25*
CATED
|AC5

|IOBS
|

|AB20

|GND
|
|

|
|17

|AB21
OS25*
CATED
|AB22
OS25*
CATED
|AB23

|
|cipher_key<115>
|
|NONE
|cipher_key<119>
|
|NONE
|
|
|
|cipher_key<113>
|
|NONE
|plain_text<105>
|
|NONE
|cipher_key<104>
|
|NONE
|plain_text<49>
|
|NONE
|plain_text<124>
|
|NONE
|cipher_key<122>
|
|NONE
|plain_text<122>
|
|NONE
|
|
|
|cipher_key<51>
|
|NONE
|plain_text<109>
|
|NONE
|cipher_key<111>
|
|NONE
|cipher_key<110>
|
|NONE
|
|
|
|cipher_key<116>
|
|NONE

|
|IOB
|
|
|IOB
|
|
|
|

|
|IOB
|

|IO_L9P_CC_17
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L18P_13
|

|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L19N_13
|

|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L17N_14
|

|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L17P_14
|

|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L12N_VRP_18
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L12P_VRN_18
|
|NONE

|INPUT
|

|LVCM
|UNLO

|GND
|

|
|

|
|

|IO_L15P_18
|

|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L5N_GC_4
|

|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L3P_GC_D9_4
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L3N_GC_D8_4
|
|NONE

|INPUT
|

|LVCM
|UNLO

|VCCO_4
|

|
|2.50

|
|

|INPUT
|

|LVCM
|UNLO

|
|IOB
|
|
|IOB
|
|
|IOB
|
|
|IOB
|
|
|IOB
|
|
|IOB
|
|
|
|
|
|IOB
|
|
|IOB
|
|
|IOB
|
|
|IOB
|
|
|
|

|
|IOB
|

|IO_L7N_GC_VRP_4
|
|NONE
|

|AC12
OS25*
CATED
|AC13
OS25*
CATED
|AC14
OS25*
CATED
|AC15

|4
|NO
|4
|NO
|4
|NO
|
|

|AC16
OS25*
CATED
|AC17
OS25*
CATED
|AC18
OS25*
CATED
|AC19

|4
|NO
|4
|NO
|4
|NO
|17
|

|AC20
|21
|
|AC21
OS25*
CATED
|AC22
OS25*
CATED
|AC23
OS25*
CATED
|AC24
OS25*
CATED
|AC25

|17
|NO
|17
|NO
|17
|NO
|17
|NO
|
|

|AC26
OS25*
CATED
|AD1
OS25*
CATED
|AD2

|13
|NO
|14
|NO
|
|

|AD3
OS25*
CATED
|AD4
OS25*
CATED
|AD5
OS25*
CATED

|18
|NO
|18
|NO
|18
|NO

|cipher_key<86>
|
|NONE
|cipher_key<114>
|
|NONE
|cipher_key<117>
|
|NONE
|
|
|
|plain_text<52>
|
|NONE
|plain_text<55>
|
|NONE
|plain_text<82>
|
|NONE
|
|
|
|
|
|
|cipher_key<112>
|
|NONE
|plain_text<103>
|
|NONE
|cipher_key<103>
|
|NONE
|cipher_key<102>
|
|NONE
|
|
|
|plain_text<107>
|
|NONE
|data_valid_in
|
|NONE
|
|
|
|cipher_key<48>
|
|NONE
|cipher_key<15>
|
|NONE
|cipher_key<126>
|
|NONE

|IOB
|

|IO_L8N_CC_GC_4
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L8P_CC_GC_4
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L6N_GC_4
|

|NONE

|INPUT
|

|LVCM
|UNLO

|GND
|

|
|

|
|

|IO_L2N_GC_D10_4
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L2P_GC_D11_4
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L0N_GC_D14_4
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L17N_17
|

|UNUSED
|

|
|

|VCCO_21
|

|
|
|any******|

|
|IOB
|
|
|IOB
|
|
|
|
|
|IOB
|
|
|IOB
|
|
|IOB
|
|
|IOBS
|
|
|
|
|
|IOB
|

|IO_L11P_CC_17
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L8N_CC_17
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L8P_CC_17
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L9N_CC_17
|
|NONE

|INPUT
|

|LVCM
|UNLO

|GND
|

|
|

|
|

|IO_L19P_13
|

|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L18N_14
|

|NONE

|INPUT
|

|LVCM
|UNLO

|GND
|

|
|

|
|

|IO_L13N_18
|

|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L13P_18
|

|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L17N_18
|

|NONE

|INPUT
|

|LVCM
|UNLO

|
|IOB
|
|
|IOB
|
|
|IOB
|
|
|
|
|
|IOB
|
|
|IOB
|
|
|
|
|
|IOB
|
|
|IOB
|
|
|IOB
|
|

|AD6
|cipher_key<55>
OS25* |18
|
CATED |NO
|NONE
|AD7
|
|18
|
|
|
|AD8
|cipher_key<108>
OS25* |4
|
CATED |NO
|NONE
|AD9
|
|21
|
|
|
|AD10
|cipher_key<94>
OS25* |4
|
CATED |NO
|NONE
|AD11
|cipher_key<92>
OS25* |4
|
CATED |NO
|NONE
|AD12
|
|
|
|
|
|AD13
|cipher_key<84>
OS25* |4
|
CATED |NO
|NONE
|AD14
|cipher_key<83>
OS25* |4
|
CATED |NO
|NONE
|AD15
|cipher_key<109>
OS25* |4
|
CATED |NO
|NONE
|AD16
|
|21
|
|
|
|AD17
|
|2
|
|
|
|AD18
|reset
OS25* |4
|
CATED |NO
|NONE
|AD19
|cipher_key<127>
OS25* |17
|
CATED |NO
|NONE
|AD20
|
|21
|
|
|
|AD21
|
|21
|
|
|
|AD22
|
|
|
|
|
|AD23
|
|21
|
|
|
|AD24
|
|21
|
|
|
|AD25
|
|21
|
|
|

|IOB
|

|IO_L17P_18
|

|NONE

|INPUT
|

|LVCM
|UNLO

|VCCO_18
|

|
|2.50

|
|

|IO_L5P_GC_4
|

|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L19N_21
|

|UNUSED
|

|
|

|IO_L9N_CC_GC_4
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L9P_CC_GC_4
|
|NONE

|INPUT
|

|LVCM
|UNLO

|GND
|

|
|

|
|

|IO_L6P_GC_4
|

|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L4N_GC_VREF_4
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L4P_GC_4
|

|INPUT
|

|LVCM
|UNLO

|IO_L11N_CC_21
|
|

|UNUSED
|

|
|

|VCCO_2
|

|
|2.50

|
|

|IO_L0P_GC_D15_4
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L17P_17
|

|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L5P_21
|

|UNUSED
|

|
|

|IO_L5N_21
|

|UNUSED
|

|
|

|GND
|

|
|

|
|

|IO_L0N_21
|

|UNUSED
|

|
|

|IO_L0P_21
|

|UNUSED
|

|
|

|IO_L3N_21
|

|UNUSED
|

|
|

|
|
|
|
|IOB
|
|
|IOBS
|
|
|IOB
|
|
|IOB
|
|
|
|
|
|IOB
|
|
|IOB
|
|
|IOB
|

|NONE

|
|IOBS
|
|
|
|

|
|IOB
|
|
|IOB
|
|
|IOBM
|
|
|IOBS
|
|
|
|
|
|IOBS
|
|
|IOBM
|
|
|IOBS
|
|

|AD26

|
|21
|

|AE1
OS25*
CATED
|AE2
OS25*
CATED
|AE3
OS25*
CATED
|AE4

|14
|NO
|14
|NO
|18
|NO
|
|

|AE5
OS25*
CATED
|AE6
OS25*
CATED
|AE7

|18
|NO
|18
|NO
|21
|

|AE8
|21
|
|AE9
|
|
|AE10
|21
|
|AE11
|21
|
|AE12
|21
|
|AE13
|21
|
|AE14
|
|
|AE15
|21
|
|AE16
|21
|
|AE17
|21
|
|AE18
|21
|
|AE19
|
|

|
|
|cipher_key<43>
|
|NONE
|cipher_key<11>
|
|NONE
|cipher_key<54>
|
|NONE
|
|
|
|cipher_key<56>
|
|NONE
|cipher_key<59>
|
|NONE
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|

|IOBS
|

|IO_L1N_21
|

|UNUSED
|

|
|

|IO_L18P_14
|

|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L19N_14
|

|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L16N_18
|

|NONE

|INPUT
|

|LVCM
|UNLO

|GND
|

|
|

|
|

|IO_L19N_18
|

|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L19P_18
|

|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L18N_21
|

|UNUSED
|

|
|

|IO_L19P_21
|

|UNUSED
|

|
|

|GND
|

|
|

|
|

|IO_L16N_21
|

|UNUSED
|

|
|

|IO_L15N_21
|

|UNUSED
|

|
|

|IO_L15P_21
|

|UNUSED
|

|
|

|IO_L14N_VREF_21
|
|

|UNUSED
|

|
|

|GND
|

|
|

|
|

|IO_L12N_VRP_21
|
|

|UNUSED
|

|
|

|IO_L11P_CC_21
|
|

|UNUSED
|

|
|

|IO_L10N_CC_21
|
|

|UNUSED
|

|
|

|IO_L8N_CC_21
|
|

|UNUSED
|

|
|

|GND
|

|
|

|
|

|
|IOB
|
|
|IOB
|
|
|IOB
|
|
|
|
|
|IOB
|
|
|IOB
|
|
|IOBS
|
|
|IOBM
|
|
|
|
|
|IOBS
|
|
|IOBS
|
|
|IOBM
|
|
|IOBS
|
|
|
|

|
|IOBS
|
|
|IOBM
|
|
|IOBS
|
|
|IOBS
|
|
|
|
|

|AE20

|
|21
|

|IOBM
|

|IOBS
|

|IOBS
|

|IOBS
|

|AE21
|

|AE22
|

|AE23
|

|AE24

|
|

|AE25
|

|IOBM
|

|IOBM
|

|AE26
|

|AF1
|
|14
|NO
|18
|NO
|18
|NO
|18
|NO
|
|
|AF7
|21
|
|AF8
|21
|
|AF9
|21
|
|AF10
|21
|
|AF11
|
|
|AF12
|21
|
|AF13
|21
|

|UNUSED
|

|
|

|IO_L7N_21
|

|UNUSED
|

|
|

|IO_L4N_VREF_21
|
|

|UNUSED
|

|
|

|VCCO_21
|

|
|
|any******|

|IO_L3P_21
|

|UNUSED
|

|
|

|IO_L1P_21
|

|UNUSED
|

|
|

|GND
|

|
|

|
|

|IO_L19P_14
|

|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L16P_18
|

|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L18N_18
|

|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L18P_18
|

|NONE

|INPUT
|

|LVCM
|UNLO

|GND
|

|
|

|
|

|IO_L18P_21
|

|UNUSED
|

|
|

|IO_L17N_21
|

|UNUSED
|

|
|

|IO_L17P_21
|

|UNUSED
|

|
|

|IO_L16P_21
|

|UNUSED
|

|
|

|GND
|

|
|

|
|

|IO_L14P_21
|

|UNUSED
|

|
|

|IO_L13N_21
|

|UNUSED
|

|
|

|
|

|
|21

|IO_L6N_21
|

|
|21

|
|

|
|21

|UNUSED
|

|
|21

|
|21

|IO_L6P_21
|
|

|
|21

|AF2
OS25*
CATED
|AF3
OS25*
CATED
|AF4
OS25*
CATED
|AF5
OS25*
CATED
|AF6

|
|

|
|cipher_key<41>
|
|NONE
|cipher_key<53>
|
|NONE
|cipher_key<58>
|
|NONE
|cipher_key<57>
|
|NONE
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|

|
|
|IOB
|
|
|IOB
|
|
|IOB
|
|
|IOB
|
|
|
|
|
|IOBM
|
|
|IOBS
|
|
|IOBM
|
|
|IOBM
|
|
|
|
|
|IOBM
|
|
|IOBS
|
|

|AF14

|
|21
|

|IOBM
|

|IO_L13P_21
|

|AF16

|
|

|AF17
|

|IOBM
|

|IOBM
|

|IOBM
|

|IOBS
|

|AF18
|

|AF19
|

|AF20
|

|AF21

|
|

|AF22
|

|IOBM
|

|IOBM
|

|IOBM
|

|IOBS
|

|AF23
|

|AF24
|

|AF25
|

|AF26
|
|16
|NO
|16
|NO
|
|
|16
|NO
|16
|NO
|16
|NO
|16
|NO

|
|

|IO_L8P_CC_21
|
|

|UNUSED
|

|
|

|IO_L9P_CC_21
|
|

|UNUSED
|

|
|

|IO_L9N_CC_21
|
|

|UNUSED
|

|
|

|GND
|

|
|

|
|

|IO_L7P_21
|

|UNUSED
|

|
|

|IO_L4P_21
|

|UNUSED
|

|
|

|IO_L2P_21
|

|UNUSED
|

|
|

|IO_L2N_21
|

|UNUSED
|

|
|

|GND
|

|
|

|
|

|IO_L19P_16
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L19N_16
|

|OUTPUT
|

|LVCM
|UNLO

|GND
|

|
|

|
|

|IO_L15N_16
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L13P_16
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L13N_16
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L9P_CC_16
|
|

|OUTPUT
|

|LVCM
|UNLO

|
|

|UNUSED
|

|
|21

|IO_L10P_CC_21
|
|

|
|21

|
|

|
|21

|
|

|
|21

|GND
|

|
|

|
|

|
|21

|UNUSED
|

|
|21

|IO_L12P_VRN_21
|
|

|
|21

|
|

|
|21

|UNUSED
|

|
|

|
|21

|B4
OS25*
CATED
|B5
OS25*
CATED
|B6
OS25*
CATED
|B7
OS25*
CATED

|IOBM
|

|AF15

|B1
OS25*
CATED
|B2
OS25*
CATED
|B3

|
|

|
|cipher_text<93>
|12
|NONE
|cipher_text<116>
|12
|NONE
|
|
|
|cipher_text<108>
|12
|NONE
|cipher_text<103>
|12
|NONE
|cipher_text<104>
|12
|NONE
|cipher_text<76>
|12
|NONE

|
|
|IOB
|SLOW
|
|IOB
|SLOW
|
|
|
|
|IOB
|SLOW
|
|IOB
|SLOW
|
|IOB
|SLOW
|
|IOB
|SLOW
|

|B8

|
|
|

|B9
OS25*
CATED
|B10
OS25*
CATED
|B11
OS25*
CATED
|B12
OS25*
CATED
|B13

|16
|NO
|16
|NO
|16
|NO
|16
|NO
|
|

|B14
OS25*
CATED
|B15
OS25*
CATED
|B16
OS25*
CATED
|B17
OS25*
CATED
|B18

|15
|NO
|15
|NO
|15
|NO
|15
|NO
|
|

|B19
OS25*
CATED
|B20
OS25*
CATED
|B21
OS25*
CATED
|B22
OS25*
CATED
|B23

|15
|NO
|15
|NO
|15
|NO
|15
|NO
|15
|

|B24
OS25*
CATED
|B25
OS25*
CATED
|B26
OS25*
CATED
|C1
OS25*
CATED

|15
|NO
|15
|NO
|15
|NO
|16
|NO

|
|

|
|cipher_text<87>
|12
|NONE
|cipher_text<88>
|12
|NONE
|cipher_text<89>
|12
|NONE
|cipher_text<82>
|12
|NONE
|
|
|
|cipher_text<14>
|12
|NONE
|cipher_text<1>
|12
|NONE
|cipher_text<2>
|12
|NONE
|cipher_text<7>
|12
|NONE
|
|
|
|cipher_text<11>
|12
|NONE
|cipher_text<67>
|12
|NONE
|cipher_text<20>
|12
|NONE
|cipher_text<23>
|12
|NONE
|
|
|
|cipher_text<27>
|12
|NONE
|cipher_text<31>
|12
|NONE
|valid_out
|12
|NONE
|cipher_text<114>
|12
|NONE

|
|
|IOB
|SLOW
|
|IOB
|SLOW
|
|IOB
|SLOW
|
|IOB
|SLOW
|
|
|
|
|IOB
|SLOW
|
|IOB
|SLOW
|
|IOB
|SLOW
|
|IOB
|SLOW
|
|
|
|
|IOB
|SLOW
|
|IOB
|SLOW
|
|IOB
|SLOW
|
|IOB
|SLOW
|
|
|
|
|IOB
|SLOW
|
|IOB
|SLOW
|
|IOB
|SLOW
|
|IOB
|SLOW
|

|GND
|

|
|

|
|

|IO_L5P_16
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L5N_16
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L6P_16
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L2N_16
|

|OUTPUT
|

|LVCM
|UNLO

|GND
|

|
|

|
|

|IO_L0N_15
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L2P_15
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L2N_15
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L5P_15
|

|OUTPUT
|

|LVCM
|UNLO

|GND
|

|
|

|
|

|IO_L7P_15
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L8N_CC_15
|
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L11N_CC_15
|
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L13P_15
|

|OUTPUT
|

|LVCM
|UNLO

|VCCO_15
|

|
|2.50

|
|

|IO_L15P_15
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L17P_15
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L18P_15
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L18N_16
|

|OUTPUT
|

|LVCM
|UNLO

|C2
OS25*
CATED
|C3
OS25*
CATED
|C4
OS25*
CATED
|C5

|16
|NO
|16
|NO
|16
|NO
|
|

|C6
OS25*
CATED
|C7
OS25*
CATED
|C8
OS25*
CATED
|C9
OS25*
CATED
|C10

|16
|NO
|16
|NO
|16
|NO
|16
|NO
|1
|

|C11
OS25*
CATED
|C12
OS25*
CATED
|C13
OS25*
CATED
|C14
OS25*
CATED
|C15

|16
|NO
|16
|NO
|16
|NO
|15
|NO
|
|

|C16
OS25*
CATED
|C17
OS25*
CATED
|C18
OS25*
CATED
|C19
OS25*
CATED
|C20

|15
|NO
|15
|NO
|15
|NO
|15
|NO
|15

|
|C21
OS25* |15
CATED |NO

|cipher_text<109>
|12
|NONE
|cipher_text<92>
|12
|NONE
|cipher_text<107>
|12
|NONE
|
|
|
|cipher_text<99>
|12
|NONE
|cipher_text<100>
|12
|NONE
|cipher_text<113>
|12
|NONE
|cipher_text<85>
|12
|NONE
|
|
|
|cipher_text<79>
|12
|NONE
|cipher_text<80>
|12
|NONE
|cipher_text<81>
|12
|NONE
|cipher_text<33>
|12
|NONE
|
|
|
|cipher_text<4>
|12
|NONE
|cipher_text<6>
|12
|NONE
|cipher_text<12>
|12
|NONE
|cipher_text<15>
|12
|NONE
|
|
|
|cipher_text<19>
|12
|NONE

|IOB
|SLOW
|
|IOB
|SLOW
|
|IOB
|SLOW
|
|
|
|
|IOB
|SLOW
|
|IOB
|SLOW
|
|IOB
|SLOW
|
|IOB
|SLOW
|
|
|
|
|IOB
|SLOW
|
|IOB
|SLOW
|
|IOB
|SLOW
|
|IOB
|SLOW
|
|
|
|
|IOB
|SLOW
|
|IOB
|SLOW
|
|IOB
|SLOW
|
|IOB
|SLOW
|
|
|
|
|IOB
|SLOW
|

|IO_L16P_16
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L16N_16
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L15P_16
|

|OUTPUT
|

|LVCM
|UNLO

|GND
|

|
|

|
|

|IO_L11P_CC_16
|
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L11N_CC_16
|
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L8N_CC_16
|
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L4P_16
|

|OUTPUT
|

|LVCM
|UNLO

|VCCO_1
|

|
|2.50

|
|

|IO_L1P_16
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L1N_16
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L2P_16
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L0P_15
|

|OUTPUT
|

|LVCM
|UNLO

|GND
|

|
|

|
|

|IO_L3N_15
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L4N_VREF_15
|
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L7N_15
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L9P_CC_15
|
|

|OUTPUT
|

|LVCM
|UNLO

|VCCO_15
|

|
|2.50

|
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L11P_CC_15
|
|

|C22
OS25*
CATED
|C23
OS25*
CATED
|C24
OS25*
CATED
|C25

|15
|NO
|15
|NO
|15
|NO
|
|

|C26
OS25*
CATED
|D1
OS25*
CATED
|D2

|15
|NO
|16
|NO
|
|

|D3
OS25*
CATED
|D4
OS25*
CATED
|D5
OS25*
CATED
|D6
OS25*
CATED
|D7

|16
|NO
|16
|NO
|16
|NO
|16
|NO
|16
|

|D8
OS25*
CATED
|D9
OS25*
CATED
|D10
OS25*
CATED
|D11
OS25*
CATED
|D12

|16
|NO
|16
|NO
|16
|NO
|16
|NO
|
|

|D13
OS25*
CATED
|D14
OS25*
CATED
|D15
OS25*
CATED

|3
|NO
|3
|NO
|3
|NO

|cipher_text<22>
|12
|NONE
|cipher_text<28>
|12
|NONE
|cipher_text<30>
|12
|NONE
|
|
|
|cipher_text<36>
|12
|NONE
|cipher_text<94>
|12
|NONE
|
|
|
|cipher_text<105>
|12
|NONE
|cipher_text<106>
|12
|NONE
|cipher_text<97>
|12
|NONE
|cipher_text<98>
|12
|NONE
|
|
|
|cipher_text<115>
|12
|NONE
|cipher_text<86>
|12
|NONE
|cipher_text<78>
|12
|NONE
|cipher_text<77>
|12
|NONE
|
|
|
|cipher_text<59>
|12
|NONE
|cipher_text<60>
|12
|NONE
|cipher_text<63>
|12
|NONE

|IOB
|SLOW
|
|IOB
|SLOW
|
|IOB
|SLOW
|
|
|
|
|IOB
|SLOW
|
|IOB
|SLOW
|
|
|
|
|IOB
|SLOW
|
|IOB
|SLOW
|
|IOB
|SLOW
|
|IOB
|SLOW
|
|
|
|
|IOB
|SLOW
|
|IOB
|SLOW
|
|IOB
|SLOW
|
|IOB
|SLOW
|
|
|
|
|IOB
|SLOW
|
|IOB
|SLOW
|
|IOB
|SLOW
|

|IO_L12N_VRP_15
|
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L15N_15
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L16N_15
|

|OUTPUT
|

|LVCM
|UNLO

|GND
|

|
|

|
|

|IO_L18N_15
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L18P_16
|

|OUTPUT
|

|LVCM
|UNLO

|GND
|

|
|

|
|

|IO_L14P_16
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L14N_VREF_16
|
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L10P_CC_16
|
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L10N_CC_16
|
|

|OUTPUT
|

|LVCM
|UNLO

|VCCO_16
|

|
|2.50

|
|

|IO_L8P_CC_16
|
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L4N_VREF_16
|
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L0N_16
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L0P_16
|

|OUTPUT
|

|LVCM
|UNLO

|GND
|

|
|

|
|

|IO_L1P_CC_GC_3
|
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L1N_CC_GC_3
|
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L3P_GC_3
|

|OUTPUT
|

|LVCM
|UNLO

|D16
|cipher_text<3>
OS25* |15
|12
CATED |NO
|NONE
|D17
|
|3
|
|
|
|D18
|cipher_text<5>
OS25* |15
|12
CATED |NO
|NONE
|D19
|cipher_text<16>
OS25* |15
|12
CATED |NO
|NONE
|D20
|cipher_text<18>
OS25* |15
|12
CATED |NO
|NONE
|D21
|cipher_text<17>
OS25* |15
|12
CATED |NO
|NONE
|D22
|
|
|
|
|
|D23
|cipher_text<21>
OS25* |15
|12
CATED |NO
|NONE
|D24
|cipher_text<29>
OS25* |15
|12
CATED |NO
|NONE
|D25
|cipher_text<55>
OS25* |15
|12
CATED |NO
|NONE
|D26
|cipher_text<35>
OS25* |15
|12
CATED |NO
|NONE
|E1
|cipher_key<5>
OS25* |14
|
CATED |NO
|NONE
|E2
|plain_text<35>
OS25* |14
|
CATED |NO
|NONE
|E3
|cipher_key<33>
OS25* |14
|
CATED |NO
|NONE
|E4
|
|16
|
|
|
|E5
|cipher_text<120>
OS25* |12
|12
CATED |NO
|NONE
|E6
|cipher_text<119>
OS25* |12
|12
CATED |NO
|NONE
|E7
|cipher_text<117>
OS25* |12
|12
CATED |NO
|NONE
|E8
|cipher_text<74>
OS25* |3
|12
CATED |NO
|NONE
|E9
|
|
|
|
|

|IOB
|SLOW
|
|
|
|
|IOB
|SLOW
|
|IOB
|SLOW
|
|IOB
|SLOW
|
|IOB
|SLOW
|
|
|
|
|IOB
|SLOW
|
|IOB
|SLOW
|
|IOB
|SLOW
|
|IOB
|SLOW
|
|IOB
|
|
|IOB
|
|
|IOB
|
|
|
|
|
|IOB
|SLOW
|
|IOB
|SLOW
|
|IOB
|SLOW
|
|IOB
|SLOW
|
|
|
|

|IO_L3P_15
|

|OUTPUT
|

|LVCM
|UNLO

|VCCO_3
|

|
|2.50

|
|

|IO_L4P_15
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L9N_CC_15
|
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L10N_CC_15
|
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L10P_CC_15
|
|

|OUTPUT
|

|LVCM
|UNLO

|GND
|

|
|

|
|

|IO_L12P_VRN_15
|
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L16P_15
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L19N_15
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L19P_15
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L0N_14
|

|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L0P_14
|

|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L1N_14
|

|NONE

|INPUT
|

|LVCM
|UNLO

|VCCO_16
|

|
|2.50

|
|

|IO_L1N_12
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L1P_12
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L0P_12
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L8N_GC_3
|

|OUTPUT
|

|LVCM
|UNLO

|GND
|

|
|

|
|

|E10
OS25*
CATED
|E11
OS25*
CATED
|E12
OS25*
CATED
|E13
OS25*
CATED
|E14

|3
|NO
|3
|NO
|3
|NO
|3
|NO
|3
|

|E15
OS25*
CATED
|E16
OS25*
CATED
|E17
OS25*
CATED
|E18
OS25*
CATED
|E19

|3
|NO
|3
|NO
|3
|NO
|3
|NO
|
|

|E20
OS25*
CATED
|E21
OS25*
CATED
|E22
OS25*
CATED
|E23
OS25*
CATED
|E24

|11
|NO
|11
|NO
|11
|NO
|11
|NO
|15
|

|E25
OS25*
CATED
|E26
OS25*
CATED
|F1

|13
|NO
|13
|NO
|
|

|F2
OS25*
CATED
|F3
OS25*
CATED

|14
|NO
|14
|NO

|cipher_text<45>
|12
|NONE
|cipher_text<66>
|12
|NONE
|cipher_text<61>
|12
|NONE
|cipher_text<58>
|12
|NONE
|
|
|
|cipher_text<70>
|12
|NONE
|cipher_text<68>
|12
|NONE
|cipher_text<75>
|12
|NONE
|cipher_text<71>
|12
|NONE
|
|
|
|cipher_text<48>
|12
|NONE
|cipher_text<64>
|12
|NONE
|cipher_text<65>
|12
|NONE
|cipher_text<37>
|12
|NONE
|
|
|
|plain_text<73>
|
|NONE
|cipher_key<76>
|
|NONE
|
|
|
|cipher_key<35>
|
|NONE
|cipher_key<34>
|
|NONE

|IOB
|SLOW
|
|IOB
|SLOW
|
|IOB
|SLOW
|
|IOB
|SLOW
|
|
|
|
|IOB
|SLOW
|
|IOB
|SLOW
|
|IOB
|SLOW
|
|IOB
|SLOW
|
|
|
|
|IOB
|SLOW
|
|IOB
|SLOW
|
|IOB
|SLOW
|
|IOB
|SLOW
|
|
|
|
|IOB
|
|
|IOB
|
|
|
|
|
|IOB
|
|
|IOB
|
|

|IO_L4P_GC_3
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L4N_GC_VREF_3
|
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L2P_GC_VRN_3
|
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L0N_CC_GC_3
|
|

|OUTPUT
|

|LVCM
|UNLO

|VCCO_3
|

|
|2.50

|
|

|IO_L3N_GC_3
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L5P_GC_3
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L5N_GC_3
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L7P_GC_3
|

|OUTPUT
|

|LVCM
|UNLO

|GND
|

|
|

|
|

|IO_L1N_11
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L1P_11
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L2P_11
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L2N_11
|

|OUTPUT
|

|LVCM
|UNLO

|VCCO_15
|

|
|2.50

|
|

|IO_L1P_SM7P_13
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L1N_SM7N_13
|
|NONE

|INPUT
|

|LVCM
|UNLO

|GND
|

|
|

|
|

|IO_L3P_14
|

|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L1P_14
|

|NONE

|INPUT
|

|LVCM
|UNLO

|F4
OS25*
CATED
|F5
OS25*
CATED
|F6

|12
|NO
|12
|NO
|
|

|F7
OS25*
CATED
|F8
OS25*
CATED
|F9
OS25*
CATED
|F10
OS25*
CATED
|F11

|12
|NO
|3
|NO
|3
|NO
|3
|NO
|1
|

|F12
OS25*
CATED
|F13
OS25*
CATED
|F14
OS25*
CATED
|F15
OS25*
CATED
|F16

|3
|NO
|1
|NO
|3
|NO
|1
|NO
|
|

|F17
OS25*
CATED
|F18
OS25*
CATED
|F19
OS25*
CATED
|F20
OS25*
CATED
|F21

|3
|NO
|3
|NO
|3
|NO
|11
|NO
|11
|

|F22
OS25*
CATED
|F23
OS25*
CATED

|11
|NO
|11
|NO

|cipher_text<124>
|12
|NONE
|cipher_text<123>
|12
|NONE
|
|
|
|cipher_text<118>
|12
|NONE
|cipher_text<73>
|12
|NONE
|cipher_text<69>
|12
|NONE
|cipher_text<47>
|12
|NONE
|
|
|
|cipher_text<62>
|12
|NONE
|cipher_text<41>
|12
|NONE
|cipher_text<57>
|12
|NONE
|cipher_key<45>
|
|NONE
|
|
|
|cipher_text<127>
|12
|NONE
|cipher_text<40>
|12
|NONE
|cipher_text<72>
|12
|NONE
|cipher_text<38>
|12
|NONE
|
|
|
|cipher_text<39>
|12
|NONE
|cipher_text<51>
|12
|NONE

|IOB
|SLOW
|
|IOB
|SLOW
|
|
|
|
|IOB
|SLOW
|
|IOB
|SLOW
|
|IOB
|SLOW
|
|IOB
|SLOW
|
|
|
|
|IOB
|SLOW
|
|IOB
|SLOW
|
|IOB
|SLOW
|
|IOB
|
|
|
|
|
|IOB
|SLOW
|
|IOB
|SLOW
|
|IOB
|SLOW
|
|IOB
|SLOW
|
|
|
|
|IOB
|SLOW
|
|IOB
|SLOW
|

|IO_L3N_12
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L3P_12
|

|OUTPUT
|

|LVCM
|UNLO

|GND
|

|
|

|
|

|IO_L0N_12
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L8P_GC_3
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L6P_GC_3
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L6N_GC_3
|

|OUTPUT
|

|LVCM
|UNLO

|VCCO_1
|

|
|2.50

|
|

|IO_L2N_GC_VRP_3
|
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L0N_A18_1
|
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L0P_CC_GC_3
|
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L2P_A15_D31_1
|
|NONE

|INPUT
|

|LVCM
|UNLO

|GND
|

|
|

|
|

|IO_L9N_GC_3
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L9P_GC_3
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L7N_GC_3
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L0N_11
|

|OUTPUT
|

|LVCM
|UNLO

|VCCO_11
|

|
|2.50

|
|

|IO_L3P_11
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L3N_11
|

|OUTPUT
|

|LVCM
|UNLO

|F24
OS25*
CATED
|F25
OS25*
CATED
|F26

|13
|NO
|13
|NO
|
|

|G1
OS25*
CATED
|G2
OS25*
CATED
|G3

|14
|NO
|14
|NO
|
|

|G4
OS25*
CATED
|G5
OS25*
CATED
|G6
OS25*
CATED
|G7
OS25*
CATED
|G8

|12
|NO
|12
|NO
|12
|NO
|12
|NO
|16
|

|G9
OS25*
CATED
|G10
OS25*
CATED
|G11
OS25*
CATED
|G12
OS25*
CATED
|G13

|1
|NO
|1
|NO
|1
|NO
|1
|NO
|
|

|G14
OS25*
CATED
|G15
OS25*
CATED
|G16
OS25*
CATED
|G17
OS25*
CATED

|1
|NO
|1
|NO
|1
|NO
|1
|NO

|plain_text<46>
|
|NONE
|plain_text<70>
|
|NONE
|
|
|
|plain_text<32>
|
|NONE
|cipher_key<44>
|
|NONE
|
|
|
|plain_text<2>
|
|NONE
|plain_text<0>
|
|NONE
|cipher_text<121>
|12
|NONE
|cipher_text<122>
|12
|NONE
|
|
|
|plain_text<44>
|
|NONE
|cipher_key<72>
|
|NONE
|cipher_key<60>
|
|NONE
|cipher_text<43>
|12
|NONE
|
|
|
|cipher_text<56>
|12
|NONE
|cipher_text<42>
|12
|NONE
|cipher_key<73>
|
|NONE
|cipher_key<46>
|
|NONE

|IOB
|

|IO_L0P_SM8P_13
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L0N_SM8N_13
|
|NONE

|INPUT
|

|LVCM
|UNLO

|GND
|

|
|

|
|

|IO_L2P_14
|

|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L3N_14
|

|NONE

|INPUT
|

|LVCM
|UNLO

|GND
|

|
|

|
|

|IO_L6N_12
|

|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L5N_12
|

|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L2P_12
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L2N_12
|

|OUTPUT
|

|LVCM
|UNLO

|VCCO_16
|

|
|2.50

|
|

|IO_L7N_A4_D20_1
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L7P_A5_D21_1
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L5N_A8_D24_1
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L3P_A13_D29_1
|
|

|OUTPUT
|

|LVCM
|UNLO

|GND
|

|
|

|
|

|IO_L0P_A19_1
|
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L2N_A14_D30_1
|
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L4P_A11_D27_1
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L6N_A6_D22_1
|
|NONE

|INPUT
|

|LVCM
|UNLO

|
|IOB
|
|
|
|
|
|IOB
|
|
|IOB
|
|
|
|
|
|IOB
|
|
|IOB
|
|
|IOB
|SLOW
|
|IOB
|SLOW
|
|
|
|
|IOB
|
|
|IOB
|
|
|IOB
|
|
|IOB
|SLOW
|
|
|
|
|IOB
|SLOW
|
|IOB
|SLOW
|
|IOB
|
|
|IOB
|
|

|G18

|
|
|

|G19
OS25*
CATED
|G20
OS25*
CATED
|G21

|1
|NO
|11
|NO
|11

|
|G22
OS25* |11
CATED |NO
|G23
|
|
|G24
OS25* |13
CATED |NO
|G25
OS25* |13
CATED |NO
|G26
OS25* |13
CATED |NO
|H1
OS25* |14
CATED |NO
|H2
OS25* |14
CATED |NO
|H3
OS25* |14
CATED |NO
|H4
OS25* |12
CATED |NO
|H5
|12
|
|H6
OS25* |12
CATED |NO
|H7
OS25* |12
CATED |NO
|H8
OS25* |1
CATED |NO
|H9
OS25* |1
CATED |NO
|H10
|
|
|H11
OS25* |1
CATED |NO

|
|

|
|plain_text<37>
|
|NONE
|cipher_text<53>
|12
|NONE
|
|
|
|cipher_text<49>
|12
|NONE
|
|
|
|plain_text<75>
|
|NONE
|cipher_key<79>
|
|NONE
|plain_text<72>
|
|NONE
|cipher_key<32>
|
|NONE
|cipher_key<40>
|
|NONE
|plain_text<25>
|
|NONE
|plain_text<1>
|
|NONE
|
|
|
|cipher_text<95>
|12
|NONE
|cipher_text<126>
|12
|NONE
|plain_text<79>
|
|NONE
|cipher_key<38>
|
|NONE
|
|
|
|plain_text<117>
|
|NONE

|GND
|

|
|

|
|

|IO_L8P_CC_A3_D19_1
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L0P_11
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L4P_11
|

|UNUSED
|

|
|

|IO_L4N_VREF_11
|
|

|OUTPUT
|

|LVCM
|UNLO

|GND
|

|
|

|
|

|IO_L3P_SM5P_13
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L3N_SM5N_13
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L2P_SM6P_13
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L2N_14
|

|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L7N_14
|

|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L5P_14
|

|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L6P_12
|

|NONE

|INPUT
|

|LVCM
|UNLO

|VCCO_12
|

|
|2.50

|
|

|IO_L5P_12
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L4N_VREF_12
|
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L9N_CC_A0_D16_1
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L9P_CC_A1_D17_1
|
|NONE

|INPUT
|

|LVCM
|UNLO

|GND
|

|
|

|
|

|INPUT
|

|LVCM
|UNLO

|
|IOB
|
|
|IOB
|SLOW
|
|IOBM
|
|
|IOB
|SLOW
|
|
|
|
|IOB
|
|
|IOB
|
|
|IOB
|
|
|IOB
|
|
|IOB
|
|
|IOB
|
|
|IOB
|
|
|
|
|
|IOB
|SLOW
|
|IOB
|SLOW
|
|IOB
|
|
|IOB
|
|
|
|
|
|IOB
|
|

|IO_L5P_A9_D25_1
|
|NONE

|H12
OS25*
CATED
|H13
OS25*
CATED
|H14
OS25*
CATED
|H15

|1
|NO
|1
|NO
|1
|NO
|
|

|H16
OS25*
CATED
|H17
OS25*
CATED
|H18
OS25*
CATED
|H19

|1
|NO
|1
|NO
|1
|NO
|11
|

|H20
|
|
|H21
OS25*
CATED
|H22
OS25*
CATED
|H23
OS25*
CATED
|H24
OS25*
CATED
|H25

|11
|NO
|11
|NO
|11
|NO
|13
|NO
|11
|

|H26
OS25*
CATED
|J1
OS25*
CATED
|J2

|13
|NO
|14
|NO
|12
|

|J3
OS25*
CATED
|J4
OS25*
CATED
|J5
OS25*
CATED

|14
|NO
|12
|NO
|12
|NO

|cipherkey_valid_in|IOB
|
|
|NONE
|
|cipher_text<54> |IOB
|12
|SLOW
|NONE
|
|cipher_text<44> |IOB
|12
|SLOW
|NONE
|
|
|
|
|
|
|
|cipher_text<46> |IOB
|12
|SLOW
|NONE
|
|plain_text<38>
|IOB
|
|
|NONE
|
|plain_text<47>
|IOB
|
|
|NONE
|
|
|IOBS
|
|
|
|
|
|
|
|
|
|
|cipher_text<50> |IOB
|12
|SLOW
|NONE
|
|cipher_text<52> |IOB
|12
|SLOW
|NONE
|
|cipher_key<37>
|IOB
|
|
|NONE
|
|plain_text<77>
|IOB
|
|
|NONE
|
|
|
|
|
|
|
|plain_text<67>
|IOB
|
|
|NONE
|
|cipher_key<42>
|IOB
|
|
|NONE
|
|
|
|
|
|
|
|plain_text<8>
|IOB
|
|
|NONE
|
|plain_text<4>
|IOB
|
|
|NONE
|
|plain_text<3>
|IOB
|
|
|NONE
|

|IO_L3N_A12_D28_1
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L1N_A16_1
|
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L1P_A17_1
|
|

|OUTPUT
|

|LVCM
|UNLO

|VCCINT
|

|
|1.0

|
|

|IO_L4N_VREF_A10_D26_1
|
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L6P_A7_D23_1
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L8N_CC_A2_D18_1
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L6N_11
|

|UNUSED
|

|
|

|GND
|

|
|

|
|

|IO_L5P_11
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L5N_11
|

|OUTPUT
|

|LVCM
|UNLO

|IO_L7P_11
|

|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L5P_SM4P_13
|
|NONE

|INPUT
|

|LVCM
|UNLO

|VCCO_11
|

|
|2.50

|
|

|IO_L2N_SM6N_13
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L7P_14
|

|NONE

|INPUT
|

|LVCM
|UNLO

|VCCO_12
|

|
|2.50

|
|

|IO_L5N_14
|

|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L7N_12
|

|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L7P_12
|

|NONE

|INPUT
|

|LVCM
|UNLO

|J6
|cipher_text<125>
OS25* |12
|12
CATED |NO
|NONE
|J7
|
|
|
|
|
|J8
|
|
|
|
|
|J9
|
|
|
|
|
|J10
|
|
|
|
|
|J11
|
|
|
|
|
|J12
|
|
|
|
|
|J13
|
|
|
|
|
|J14
|
|
|
|
|
|J15
|
|
|
|
|
|J16
|
|
|
|
|
|J17
|
|
|
|
|
|J18
|
|
|
|
|
|J19
|
|11
|
|
|
|J20
|plain_text<41>
OS25* |11
|
CATED |NO
|NONE
|J21
|
|11
|
|
|
|J22
|
|11
|
|
|
|J23
|plain_text<112>
OS25* |11
|
CATED |NO
|NONE
|J24
|plain_text<76>
OS25* |13
|
CATED |NO
|NONE
|J25
|plain_text<66>
OS25* |13
|
CATED |NO
|NONE

|IOB
|SLOW
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|IOBM
|
|
|IOB
|
|
|IOBM
|
|
|
|
|
|IOB
|
|
|IOB
|
|
|IOB
|
|

|IO_L4P_12
|

|OUTPUT
|

|LVCM
|UNLO

|GND
|

|
|

|
|

|VCCAUX
|

|
|2.5

|
|

|GND
|

|
|

|
|

|CCLK_0
|

|
|

|
|

|INIT_B_0
|

|
|

|
|

|VCCINT
|

|
|1.0

|
|

|GND
|

|
|

|
|

|VCCINT
|

|
|1.0

|
|

|GND
|

|
|

|
|

|VCCINT
|

|
|1.0

|
|

|GND
|

|
|

|
|

|PROGRAM_B_0
|

|
|

|
|

|IO_L6P_11
|

|UNUSED
|

|
|

|IO_L8N_CC_11
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L8P_CC_11
|
|

|UNUSED
|

|
|

|VCCO_11
|

|
|2.50

|
|

|IO_L7N_11
|

|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L5N_SM4N_13
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L4P_13
|

|INPUT
|

|LVCM
|UNLO

|NONE

|J26
OS25*
CATED
|K1
OS25*
CATED
|K2
OS25*
CATED
|K3
OS25*
CATED
|K4

|13
|NO
|14
|NO
|14
|NO
|14
|NO
|
|

|K5
OS25*
CATED
|K6
OS25*
CATED
|K7
OS25*
CATED
|K8

|12
|NO
|12
|NO
|12
|NO
|
|

|K9
|
|
|K10
|
|
|K11
|
|
|K12
|
|
|K13
|
|
|K14
|
|
|K15
|
|
|K16
|
|
|K17
|
|
|K18
|
|
|K19
|
|

|cipher_key<74>
|
|NONE
|cipher_key<25>
|
|NONE
|plain_text<28>
|
|NONE
|plain_text<17>
|
|NONE
|
|
|
|plain_text<29>
|
|NONE
|plain_text<5>
|
|NONE
|plain_text<6>
|
|NONE
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|

|IOB
|

|IO_L4N_VREF_13
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L6N_14
|

|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L4N_VREF_14
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L4P_14
|

|NONE

|INPUT
|

|LVCM
|UNLO

|GND
|

|
|

|
|

|IO_L10P_CC_12
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L8P_CC_12
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L8N_CC_12
|
|NONE

|INPUT
|

|LVCM
|UNLO

|GND
|

|
|

|
|

|VCCINT
|

|
|1.0

|
|

|DONE_0
|

|
|

|
|

|D_IN_0
|

|
|

|
|

|GND
|

|
|

|
|

|VCCINT
|

|
|1.0

|
|

|GND
|

|
|

|
|

|VCCINT
|

|
|1.0

|
|

|GND
|

|
|

|
|

|VCCAUX
|

|
|2.5

|
|

|VBATT_0
|

|
|

|
|

|GND
|

|
|

|
|

|
|IOB
|
|
|IOB
|
|
|IOB
|
|
|
|
|
|IOB
|
|
|IOB
|
|
|IOB
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|

|K20

|
|11
|

|K21
OS25*
CATED
|K22
OS25*
CATED
|K23
OS25*
CATED
|K24

|11
|NO
|11
|NO
|11
|NO
|
|

|K25
OS25*
CATED
|K26
OS25*
CATED
|L1

|13
|NO
|13
|NO
|
|

|L2
OS25*
CATED
|L3
OS25*
CATED
|L4
OS25*
CATED
|L5
OS25*
CATED
|L6

|14
|NO
|12
|NO
|12
|NO
|12
|NO
|12

|
|L7
OS25* |12
CATED |NO
|L8
|
|
|L9
|
|
|L10
|
|
|L11
|
|
|L12
|
|
|L13
|
|

|
|
|plain_text<42>
|
|NONE
|plain_text<110>
|
|NONE
|plain_text<36>
|
|NONE
|
|
|
|cipher_key<87>
|
|NONE
|plain_text<113>
|
|NONE
|
|
|
|plain_text<40>
|
|NONE
|cipher_key<7>
|
|NONE
|plain_text<11>
|
|NONE
|plain_text<31>
|
|NONE
|
|
|
|plain_text<7>
|
|NONE
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|

|IOBS
|

|IO_L9N_CC_11
|
|

|UNUSED
|

|
|

|IO_L9P_CC_11
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L10N_CC_SM15N_11
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L10P_CC_SM15P_11
|
|NONE

|INPUT
|

|LVCM
|UNLO

|GND
|

|
|

|
|

|IO_L7P_SM2P_13
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L7N_SM2N_13
|
|NONE

|INPUT
|

|LVCM
|UNLO

|GND
|

|
|

|
|

|IO_L6P_14
|

|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L11N_CC_12
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L11P_CC_12
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L10N_CC_12
|
|NONE

|INPUT
|

|LVCM
|UNLO

|VCCO_12
|

|
|2.50

|
|

|IO_L9P_CC_12
|
|NONE

|INPUT
|

|LVCM
|UNLO

|VCCAUX
|

|
|2.5

|
|

|GND
|

|
|

|
|

|VCCINT
|

|
|1.0

|
|

|GND
|

|
|

|
|

|VCCINT
|

|
|1.0

|
|

|GND
|

|
|

|
|

|
|IOB
|
|
|IOB
|
|
|IOB
|
|
|
|

|
|IOB
|
|
|IOB
|
|
|
|
|
|IOB
|
|
|IOB
|
|
|IOB
|
|
|IOB
|
|
|
|

|
|IOB
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|

|L14

|L15

|
|

|L16

|
|

|L17

|
|

|L18

|
|

|L19

|
|

|
|

|VCCINT
|

|
|1.0

|
|

|GND
|

|
|

|
|

|HSWAPEN_0
|

|
|

|
|

|IO_L11N_CC_SM14N_11
|
|

|UNUSED
|

|
|

|IO_L11P_CC_SM14P_11
|
|NONE

|INPUT
|

|LVCM
|UNLO

|GND
|

|
|

|
|

|IO_L12N_VRP_11
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L12P_VRN_11
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L6P_SM3P_13
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L6N_SM3N_13
|
|NONE

|INPUT
|

|LVCM
|UNLO

|GND
|

|
|

|
|

|IO_L8P_CC_14
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L9P_CC_14
|
|NONE

|INPUT
|

|LVCM
|UNLO

|GND
|

|
|

|
|

|IO_L15P_12
|

|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L12P_VRN_12
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L12N_VRP_12
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L9N_CC_12
|
|NONE

|INPUT
|

|LVCM
|UNLO

|
|11

|GND
|

|
|

|
|

|
|

|
|1.0

|
|

|
|

|VCCINT
|

|
|
|L20
|cipher_key<64>
OS25* |11
|
CATED |NO
|NONE
|L21
|
|
|
|
|
|L22
|plain_text<119>
OS25* |11
|
CATED |NO
|NONE
|L23
|cipher_key<67>
OS25* |11
|
CATED |NO
|NONE
|L24
|plain_text<57>
OS25* |13
|
CATED |NO
|NONE
|L25
|plain_text<69>
OS25* |13
|
CATED |NO
|NONE
|L26
|
|
|
|
|
|M1
|cipher_key<31>
OS25* |14
|
CATED |NO
|NONE
|M2
|cipher_key<9>
OS25* |14
|
CATED |NO
|NONE
|M3
|
|
|
|
|
|M4
|plain_text<19>
OS25* |12
|
CATED |NO
|NONE
|M5
|plain_text<13>
OS25* |12
|
CATED |NO
|NONE
|M6
|plain_text<16>
OS25* |12
|
CATED |NO
|NONE
|M7
|plain_text<34>
OS25* |12
|
CATED |NO
|NONE

|IOBS
|
|
|IOB
|
|
|
|

|
|IOB
|
|
|IOB
|
|
|IOB
|
|
|IOB
|
|
|
|

|
|IOB
|
|
|IOB
|
|
|
|
|
|IOB
|
|
|IOB
|
|
|IOB
|
|
|IOB
|
|

|M8

|M9

|
|

|
|

|M11

|
|

|M12

|
|

|M13

|
|

|M14

|
|

|M15

|
|

|M16

|
|

|M17

|
|

|M18
|
|11
|NO
|11
|NO
|11
|NO
|11
|NO
|13
|
|13
|NO
|13
|NO
|13
|NO
|14
|NO

|
|

|
|

|VCCINT
|

|
|1.0

|
|

|GND
|

|
|

|
|

|AVSS_0
|

|
|

|
|

|AVDD_0
|

|
|

|
|

|VCCINT
|

|
|1.0

|
|

|GND
|

|
|

|
|

|VCCAUX
|

|
|2.5

|
|

|GND
|

|
|

|
|

|IO_L15N_SM13N_11
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L15P_SM13P_11
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L13N_11
|

|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L13P_11
|

|NONE

|INPUT
|

|LVCM
|UNLO

|VCCO_13
|

|
|2.50

|
|

|IO_L9P_CC_SM0P_13
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L8P_CC_SM1P_13
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L8N_CC_SM1N_13
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L8N_CC_14
|
|NONE

|INPUT
|

|LVCM
|UNLO

|
|

|GND
|

|
|

|
|

|
|

|
|1.0

|
|

|
|

|VCCINT
|

|
|

|
|

|
|

|
|

|
|

|
|

|M24
OS25*
CATED
|M25
OS25*
CATED
|M26
OS25*
CATED
|N1
OS25*
CATED

|M10

|GND
|
|

|
|

|M19
OS25*
CATED
|M20
OS25*
CATED
|M21
OS25*
CATED
|M22
OS25*
CATED
|M23

|
|

|
|cipher_key<77>
|
|NONE
|plain_text<45>
|
|NONE
|plain_text<61>
|
|NONE
|plain_text<39>
|
|NONE
|
|
|
|cipher_key<69>
|
|NONE
|plain_text<68>
|
|NONE
|plain_text<71>
|
|NONE
|plain_text<30>
|
|NONE

|
|
|IOB
|
|
|IOB
|
|
|IOB
|
|
|IOB
|
|
|
|
|
|IOB
|
|
|IOB
|
|
|IOB
|
|
|IOB
|
|

|N2
OS25*
CATED
|N3
OS25*
CATED
|N4
OS25*
CATED
|N5

|14
|NO
|12
|NO
|12
|NO
|
|

|N6
OS25*
CATED
|N7
OS25*
CATED
|N8

|12
|NO
|12
|NO
|
|

|N9
|
|
|N10
|
|
|N11
|
|
|N12
|
|
|N13
|
|
|N14
|0
|
|N15
|
|
|N16
|
|
|N17
|
|
|N18
|
|
|N19
OS25* |11
CATED |NO
|N20
|13
|
|N21
OS25* |11
CATED |NO

|plain_text<9>
|
|NONE
|plain_text<18>
|
|NONE
|cipher_key<1>
|
|NONE
|
|
|
|plain_text<33>
|
|NONE
|plain_text<43>
|
|NONE
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|cipher_key<78>
|
|NONE
|
|
|
|cipher_key<75>
|
|NONE

|IOB
|

|IO_L9N_CC_14
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L14N_VREF_12
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L15N_12
|

|NONE

|INPUT
|

|LVCM
|UNLO

|GND
|

|
|

|
|

|IO_L13N_12
|

|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L13P_12
|

|NONE

|INPUT
|

|LVCM
|UNLO

|VCCAUX
|

|
|2.5

|
|

|GND
|

|
|

|
|

|VCCINT
|

|
|1.0

|
|

|GND
|

|
|

|
|

|VCCINT
|

|
|1.0

|
|

|VREFN_0
|

|
|

|
|

|VP_0
|

|UNUSED
|

|
|

|GND
|

|
|

|
|

|VCCINT
|

|
|1.0

|
|

|GND
|

|
|

|
|

|CS_B_0
|

|
|

|
|

|IO_L14N_VREF_11
|
|NONE

|INPUT
|

|LVCM
|UNLO

|VCCO_13
|

|
|2.50

|
|

|INPUT
|

|LVCM
|UNLO

|
|IOB
|
|
|IOB
|
|
|
|
|
|IOB
|
|
|IOB
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|IPAD
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|IOB
|
|
|
|

|
|IOB
|

|IO_L17N_SM11N_11
|
|NONE
|

|N22
OS25*
CATED
|N23
OS25*
CATED
|N24
OS25*
CATED
|N25

|11
|NO
|11
|NO
|13
|NO
|
|

|N26
OS25*
CATED
|P1
OS25*
CATED
|P2

|13
|NO
|14
|NO
|
|

|P3
OS25*
CATED
|P4
OS25*
CATED
|P5
OS25*
CATED
|P6
OS25*
CATED
|P7

|12
|NO
|12
|NO
|12
|NO
|12
|NO
|
|

|P8
|
|
|P9
|
|
|P10
|
|
|P11
|
|
|P12
|
|
|P13
|0
|
|P14
|
|
|P15
|
|

|cipher_key<68>
|
|NONE
|cipher_key<65>
|
|NONE
|plain_text<85>
|
|NONE
|
|
|
|plain_text<84>
|
|NONE
|plain_text<14>
|
|NONE
|
|
|
|cipher_key<6>
|
|NONE
|cipher_key<0>
|
|NONE
|plain_text<10>
|
|NONE
|cipher_key<3>
|
|NONE
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|

|IOB
|

|IO_L17P_SM11P_11
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L16N_SM12N_11
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L9N_CC_SM0N_13
|
|NONE

|INPUT
|

|LVCM
|UNLO

|GND
|

|
|

|
|

|IO_L10N_CC_13
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L10P_CC_14
|
|NONE

|INPUT
|

|LVCM
|UNLO

|GND
|

|
|

|
|

|IO_L14P_12
|

|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L16N_12
|

|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L16P_12
|

|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L19P_12
|

|NONE

|INPUT
|

|LVCM
|UNLO

|GND
|

|
|

|
|

|GND
|

|
|

|
|

|VCCINT
|

|
|1.0

|
|

|GND
|

|
|

|
|

|VCCINT
|

|
|1.0

|
|

|GND
|

|
|

|
|

|VN_0
|

|UNUSED
|

|
|

|VREFP_0
|

|
|

|
|

|VCCINT
|

|
|1.0

|
|

|
|IOB
|
|
|IOB
|
|
|
|

|
|IOB
|
|
|IOB
|
|
|
|
|
|IOB
|
|
|IOB
|
|
|IOB
|
|
|IOB
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|IPAD
|
|
|
|
|
|
|
|

|P16

|P17

|
|

|
|
|11
|NO
|11
|NO
|11
|NO
|
|
|11
|NO
|13
|NO
|13
|NO
|13
|NO
|14
|NO
|14
|NO
|12
|NO
|14
|
|R5
OS25*
CATED
|R6
OS25*
CATED
|R7
OS25*
CATED
|R8

|12
|NO
|12
|NO
|12
|NO
|
|

|R9
|
|

|
|

|
|

|VCCAUX
|

|
|2.5

|
|

|RDWR_B_0
|

|
|

|
|

|IO_L14P_11
|

|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L19N_SM9N_11
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L19P_SM9P_11
|
|NONE

|INPUT
|

|LVCM
|UNLO

|GND
|

|
|

|
|

|IO_L16P_SM12P_11
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L11N_CC_13
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L11P_CC_13
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L10P_CC_13
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L10N_CC_14
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L11N_CC_14
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L17P_12
|

|NONE

|INPUT
|

|LVCM
|UNLO

|VCCO_14
|

|
|2.50

|
|

|IO_L18N_12
|

|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L18P_12
|

|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L19N_12
|

|NONE

|INPUT
|

|LVCM
|UNLO

|VCCAUX
|

|
|2.5

|
|

|GND
|

|
|

|
|

|
|

|P23
OS25*
CATED
|P24
OS25*
CATED
|P25
OS25*
CATED
|P26
OS25*
CATED
|R1
OS25*
CATED
|R2
OS25*
CATED
|R3
OS25*
CATED
|R4

|P18

|GND
|
|

|
|

|P19
OS25*
CATED
|P20
OS25*
CATED
|P21
OS25*
CATED
|P22

|
|

|
|cipher_key<66>
|
|NONE
|plain_text<74>
|
|NONE
|plain_text<64>
|
|NONE
|
|
|
|cipher_key<70>
|
|NONE
|plain_text<108>
|
|NONE
|plain_text<86>
|
|NONE
|plain_text<89>
|
|NONE
|plain_text<15>
|
|NONE
|plain_text<12>
|
|NONE
|plain_text<26>
|
|NONE
|
|
|
|cipher_key<4>
|
|NONE
|plain_text<27>
|
|NONE
|cipher_key<2>
|
|NONE
|
|
|
|
|
|

|
|
|IOB
|
|
|IOB
|
|
|IOB
|
|
|
|

|
|IOB
|
|
|IOB
|
|
|IOB
|
|
|IOB
|
|
|IOB
|
|
|IOB
|
|
|IOB
|
|
|
|
|
|IOB
|
|
|IOB
|
|
|IOB
|
|
|
|
|
|
|
|

|R10

|R11

|
|

|
|

|
|

|R14

|
|

|R15

|
|

|R16

|
|

|R17

|
|

|R18

|
|

|R19
|
|17
|NO
|17
|NO
|11
|NO
|11
|NO
|13
|
|13
|NO
|13
|NO
|
|
|14
|NO
|12
|NO

|VCCINT
|

|
|1.0

|
|

|DXN_0
|

|
|

|
|

|DXP_0
|

|
|

|
|

|GND
|

|
|

|
|

|VCCINT
|

|
|1.0

|
|

|GND
|

|
|

|
|

|RSVD_0
|

|
|

|
|

|GND
|

|
|

|
|

|IO_L1N_17
|

|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L1P_17
|

|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L18P_SM10P_11
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L18N_SM10N_11
|
|NONE

|INPUT
|

|LVCM
|UNLO

|VCCO_13
|

|
|2.50

|
|

|IO_L12P_VRN_13
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L12N_VRP_13
|
|NONE

|INPUT
|

|LVCM
|UNLO

|GND
|

|
|

|
|

|IO_L11P_CC_14
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L17N_12
|

|INPUT
|

|LVCM
|UNLO

|
|

|
|

|
|

|
|

|
|

|
|

|GND
|

|
|

|
|

|
|

|
|1.0

|
|

|T2
OS25*
CATED
|T3
OS25*
CATED

|R13

|
|

|R25
OS25*
CATED
|R26
OS25*
CATED
|T1

|R12

|VCCINT
|
|

|
|

|R20
OS25*
CATED
|R21
OS25*
CATED
|R22
OS25*
CATED
|R23
OS25*
CATED
|R24

|
|

|
|cipher_key<88>
|
|NONE
|cipher_key<95>
|
|NONE
|plain_text<78>
|
|NONE
|cipher_key<71>
|
|NONE
|
|
|
|plain_text<88>
|
|NONE
|cipher_key<107>
|
|NONE
|
|
|
|cipher_key<13>
|
|NONE
|plain_text<24>
|
|NONE

|
|
|IOB
|
|
|IOB
|
|
|IOB
|
|
|IOB
|
|
|
|

|
|IOB
|
|
|IOB
|
|
|
|

|
|IOB
|
|
|IOB
|
|

|NONE

|T4
OS25*
CATED
|T5
OS25*
CATED
|T6

|18
|NO
|18
|NO
|

|
|T7
OS25* |18
CATED |NO
|T8
|
|
|T9
|
|
|T10
|
|
|T11
|
|
|T12
|
|
|T13
|
|
|T14
|
|
|T15
|
|
|T16
|
|
|T17
|
|
|T18
|
|
|T19
OS25* |17
CATED |NO
|T20
OS25* |17
CATED |NO
|T21
|17
|
|T22
OS25* |17
CATED |NO
|T23
OS25* |17
CATED |NO

|plain_text<121>
|
|NONE
|plain_text<120>
|
|NONE
|
|
|
|cipher_key<10>
|
|NONE
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|cipher_key<91>
|
|NONE
|cipher_key<90>
|
|NONE
|
|
|
|cipher_key<100>
|
|NONE
|cipher_key<105>
|
|NONE

|IOB
|

|IO_L1N_18
|

|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L1P_18
|

|NONE

|INPUT
|

|LVCM
|UNLO

|GND
|

|
|

|
|

|IO_L2P_18
|

|NONE

|INPUT
|

|LVCM
|UNLO

|GND
|

|
|

|
|

|VCCINT
|

|
|1.0

|
|

|GND
|

|
|

|
|

|VCCINT
|

|
|1.0

|
|

|GND
|

|
|

|
|

|VCCINT
|

|
|1.0

|
|

|GND
|

|
|

|
|

|VCCINT
|

|
|1.0

|
|

|GND
|

|
|

|
|

|VCCAUX
|

|
|2.5

|
|

|RSVD_0
|

|
|

|
|

|IO_L2N_17
|

|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L2P_17
|

|NONE

|INPUT
|

|LVCM
|UNLO

|VCCO_17
|

|
|2.50

|
|

|IO_L0N_17
|

|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L0P_17
|

|NONE

|INPUT
|

|LVCM
|UNLO

|
|IOB
|
|
|
|
|
|IOB
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|IOB
|
|
|IOB
|
|
|
|
|
|IOB
|
|
|IOB
|
|

|T24
OS25*
CATED
|T25
OS25*
CATED
|T26

|13
|NO
|13
|NO
|
|

|U1
OS25*
CATED
|U2
OS25*
CATED
|U3

|14
|NO
|14
|NO
|
|

|U4
OS25*
CATED
|U5
OS25*
CATED
|U6
OS25*
CATED
|U7
OS25*
CATED
|U8

|18
|NO
|18
|NO
|18
|NO
|18
|NO
|
|

|U9
|
|
|U10
|
|
|U11
|
|
|U12
|
|
|U13
|
|
|U14
|
|
|U15
|
|
|U16
|
|
|U17
|
|

|plain_text<65>
|
|NONE
|plain_text<87>
|
|NONE
|
|
|
|plain_text<21>
|
|NONE
|plain_text<23>
|
|NONE
|
|
|
|plain_text<125>
|
|NONE
|cipher_key<27>
|
|NONE
|cipher_key<8>
|
|NONE
|plain_text<123>
|
|NONE
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|

|IOB
|

|IO_L13P_13
|

|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L13N_13
|

|NONE

|INPUT
|

|LVCM
|UNLO

|GND
|

|
|

|
|

|IO_L12N_VRP_14
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L12P_VRN_14
|
|NONE

|INPUT
|

|LVCM
|UNLO

|GND
|

|
|

|
|

|IO_L0N_18
|

|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L3P_18
|

|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L3N_18
|

|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L2N_18
|

|NONE

|INPUT
|

|LVCM
|UNLO

|VCCAUX
|

|
|2.5

|
|

|GND
|

|
|

|
|

|VCCINT
|

|
|1.0

|
|

|TCK_0
|

|
|

|
|

|VCCINT
|

|
|1.0

|
|

|GND
|

|
|

|
|

|VCCINT
|

|
|1.0

|
|

|GND
|

|
|

|
|

|VCCINT
|

|
|1.0

|
|

|GND
|

|
|

|
|

|
|IOB
|
|
|
|
|
|IOB
|
|
|IOB
|
|
|
|
|
|IOB
|
|
|IOB
|
|
|IOB
|
|
|IOB
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|

|U18

|
|
|

|U19
OS25*
CATED
|U20
OS25*
CATED
|U21
OS25*
CATED
|U22
OS25*
CATED
|U23

|17
|NO
|17
|NO
|17
|NO
|17
|NO
|
|

|U24
OS25*
CATED
|U25
OS25*
CATED
|U26
OS25*
CATED
|V1
OS25*
CATED
|V2
OS25*
CATED
|V3
OS25*
CATED
|V4
OS25*
CATED
|V5

|13
|NO
|13
|NO
|13
|NO
|14
|NO
|14
|NO
|18
|NO
|18
|NO
|14
|

|V6
OS25*
CATED
|V7
OS25*
CATED
|V8

|18
|NO
|18
|NO
|
|

|V9
|
|
|V10
|
|
|V11
|
|

|
|

|
|plain_text<94>
|
|NONE
|plain_text<100>
|
|NONE
|cipher_key<97>
|
|NONE
|cipher_key<96>
|
|NONE
|
|
|
|plain_text<91>
|
|NONE
|plain_text<111>
|
|NONE
|plain_text<90>
|
|NONE
|plain_text<20>
|
|NONE
|cipher_key<61>
|
|NONE
|plain_text<127>
|
|NONE
|cipher_key<29>
|
|NONE
|
|
|
|cipher_key<28>
|
|NONE
|cipher_key<14>
|
|NONE
|
|
|
|
|
|
|
|
|
|
|
|

|GND
|

|
|

|
|

|IO_L14P_17
|

|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L14N_VREF_17
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L3N_17
|

|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L3P_17
|

|NONE

|INPUT
|

|LVCM
|UNLO

|GND
|

|
|

|
|

|IO_L15P_13
|

|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L15N_13
|

|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L14N_VREF_13
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L13N_14
|

|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L13P_14
|

|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L0P_18
|

|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L4P_18
|

|NONE

|INPUT
|

|LVCM
|UNLO

|VCCO_14
|

|
|2.50

|
|

|IO_L6P_18
|

|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L6N_18
|

|NONE

|INPUT
|

|LVCM
|UNLO

|GND
|

|
|

|
|

|VCCINT
|

|
|1.0

|
|

|GND
|

|
|

|
|

|TDI_0
|

|
|

|
|

|
|IOB
|
|
|IOB
|
|
|IOB
|
|
|IOB
|
|
|
|
|
|IOB
|
|
|IOB
|
|
|IOB
|
|
|IOB
|
|
|IOB
|
|
|IOB
|
|
|IOB
|
|
|
|
|
|IOB
|
|
|IOB
|
|
|
|
|
|
|
|
|
|
|
|
|
|

|V12

|V13

|
|

|V14

|
|

|V15

|
|

|V16

|
|

|V17

|
|

|V18

|
|1.0

|
|

|GND
|

|
|

|
|

|VCCINT
|

|
|1.0

|
|

|GND
|

|
|

|
|

|VCCAUX
|

|
|2.5

|
|

|M2_0
|

|
|

|
|

|IO_L15P_17
|

|NONE

|INPUT
|

|LVCM
|UNLO

|GND
|

|
|

|
|

|IO_L12P_VRN_17
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L12N_VRP_17
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L5N_17
|

|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L5P_17
|

|NONE

|INPUT
|

|LVCM
|UNLO

|VCCO_17
|

|
|2.50

|
|

|IO_L14P_13
|

|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L14N_VREF_14
|
|NONE

|INPUT
|

|LVCM
|UNLO

|VCCO_14
|

|
|2.50

|
|

|IO_L5P_18
|

|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L4N_VREF_18
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L7P_18
|

|INPUT
|

|LVCM
|UNLO

|
|

|
|

|VCCINT
|

|
|

|
|

|
|

|
|

|
|

|
|

|TMS_0
|

|
|

|
|
|V19
|plain_text<95>
OS25* |17
|
CATED |NO
|NONE
|V20
|
|
|
|
|
|V21
|cipher_key<121>
OS25* |17
|
CATED |NO
|NONE
|V22
|cipher_key<123>
OS25* |17
|
CATED |NO
|NONE
|V23
|plain_text<99>
OS25* |17
|
CATED |NO
|NONE
|V24
|cipher_key<99>
OS25* |17
|
CATED |NO
|NONE
|V25
|
|17
|
|
|
|V26
|cipher_key<89>
OS25* |13
|
CATED |NO
|NONE
|W1
|cipher_key<47>
OS25* |14
|
CATED |NO
|NONE
|W2
|
|14
|
|
|
|W3
|cipher_key<24>
OS25* |18
|
CATED |NO
|NONE
|W4
|cipher_key<63>
OS25* |18
|
CATED |NO
|NONE
|W5
|cipher_key<39>
OS25* |18
|
CATED |NO
|NONE

|
|
|IOB
|
|
|
|
|
|IOB
|
|
|IOB
|
|
|IOB
|
|
|IOB
|
|
|
|
|
|IOB
|
|
|IOB
|
|
|
|
|
|IOB
|
|
|IOB
|
|
|IOB
|
|

|NONE

|W6
|cipher_key<36>
OS25* |18
|
CATED |NO
|NONE
|W7
|
|
|
|
|
|W8
|
|
|
|
|
|W9
|
|
|
|
|
|W10
|
|
|
|
|
|W11
|
|
|
|
|
|W12
|
|0
|
|
|
|W13
|
|
|
|
|
|W14
|
|
|
|
|
|W15
|
|
|
|
|
|W16
|
|
|
|
|
|W17
|
|
|
|
|
|W18
|
|
|
|
|
|W19
|plain_text<101>
OS25* |17
|
CATED |NO
|NONE
|W20
|cipher_key<120>
OS25* |17
|
CATED |NO
|NONE
|W21
|plain_text<93>
OS25* |17
|
CATED |NO
|NONE
|W22
|
|17
|
|
|
|W23
|plain_text<97>
OS25* |17
|
CATED |NO
|NONE
|W24
|plain_text<96>
OS25* |17
|
CATED |NO
|NONE
|W25
|plain_text<126>
OS25* |13
|
CATED |NO
|NONE

|IOB
|

|IO_L7N_18
|

|NONE

|INPUT
|

|LVCM
|UNLO

|GND
|

|
|

|
|

|VCCAUX
|

|
|2.5

|
|

|GND
|

|
|

|
|

|TDO_0
|

|
|

|
|

|D_OUT_BUSY_0
|
|

|
|

|
|

|VCCO_0
|

|
|
|any******|

|GND
|

|
|

|
|

|VCCINT
|

|
|1.0

|
|

|GND
|

|
|

|
|

|VCCAUX
|

|
|2.5

|
|

|GND
|

|
|

|
|

|M0_0
|

|
|

|
|

|IO_L15N_17
|

|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L13N_17
|

|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L13P_17
|

|NONE

|INPUT
|

|LVCM
|UNLO

|VCCO_17
|

|
|2.50

|
|

|IO_L4N_VREF_17
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L4P_17
|

|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L16P_13
|

|NONE

|INPUT
|

|LVCM
|UNLO

|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|IOB
|
|
|IOB
|
|
|IOB
|
|
|
|
|
|IOB
|
|
|IOB
|
|
|IOB
|
|

|W26
OS25*
CATED
|Y1
OS25*
CATED
|Y2
OS25*
CATED
|Y3
OS25*
CATED
|Y4

|13
|NO
|14
|NO
|14
|NO
|18
|NO
|
|

|Y5
OS25*
CATED
|Y6
OS25*
CATED
|Y7
OS25*
CATED
|Y8
OS25*
CATED
|Y9

|18
|NO
|18
|NO
|18
|NO
|2
|NO
|0
|

|Y10
OS25*
CATED
|Y11
OS25*
CATED
|Y12
OS25*
CATED
|Y13
OS25*
CATED
|Y14

|2
|NO
|2
|NO
|2
|NO
|2
|NO
|
|

|Y15
|
|
|Y16
|
|
|Y17
|
|
|Y18
OS25* |2
CATED |NO
|Y19
|
|

|plain_text<102>
|
|NONE
|plain_text<22>
|
|NONE
|plain_text<118>
|
|NONE
|cipher_key<30>
|
|NONE
|
|
|
|cipher_key<23>
|
|NONE
|cipher_key<18>
|
|NONE
|cipher_key<22>
|
|NONE
|plain_text<62>
|
|NONE
|
|
|
|plain_text<115>
|
|NONE
|cipher_key<62>
|
|NONE
|plain_text<51>
|
|NONE
|cipher_key<80>
|
|NONE
|
|
|
|
|
|
|
|
|
|
|
|
|plain_text<59>
|
|NONE
|
|
|

|IOB
|

|IO_L16N_13
|

|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L14P_14
|

|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L15N_14
|

|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L5N_18
|

|NONE

|INPUT
|

|LVCM
|UNLO

|GND
|

|
|

|
|

|IO_L8N_CC_18
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L8P_CC_18
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L10P_CC_18
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L2P_A23_2
|
|NONE

|INPUT
|

|LVCM
|UNLO

|VCCO_0
|

|
|
|any******|

|
|IOB
|
|
|IOB
|
|
|IOB
|
|
|
|
|
|IOB
|
|
|IOB
|
|
|IOB
|
|
|IOB
|
|
|
|

|
|IOB
|

|IO_L0P_CC_RS1_2
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L0N_CC_RS0_2
|
|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L6P_D7_2
|

|NONE

|INPUT
|

|LVCM
|UNLO

|IO_L6N_D6_2
|

|NONE

|INPUT
|

|LVCM
|UNLO

|GND
|

|
|

|
|

|VCCINT
|

|
|1.0

|
|

|GND
|

|
|

|
|

|M1_0
|

|
|

|
|

|IO_L1N_CC_A24_2
|
|NONE

|INPUT
|

|LVCM
|UNLO

|GND
|

|
|

|
|

|
|IOB
|
|
|IOB
|
|
|IOB
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|IOB
|
|
|
|
|

|Y20

|
|17

|IOBS
|

|IO_L16N_17
|

|UNUSED
|

|
|

|
|
|cipher_key<125> |IOB
|IO_L16P_17
|INPUT
|LVCM
|17
|
|
|
|NONE
|
|UNLO
|NO
|NONE
|
|cipher_key<101> |IOB
|IO_L7N_17
|INPUT
|LVCM
|17
|
|
|
|NONE
|
|UNLO
|NO
|NONE
|
|cipher_key<93>
|IOB
|IO_L7P_17
|INPUT
|LVCM
|17
|
|
|
|NONE
|
|UNLO
|NO
|NONE
|
|
|
|GND
|
|
|
|
|
|
|
|
|
|
|
|
|Y25
|cipher_key<106> |IOB
|IO_L17P_13
|INPUT
|LVCM
OS25* |13
|
|
|
|NONE
|
|UNLO
CATED |NO
|NONE
|
|Y26
|plain_text<104> |IOB
|IO_L17N_13
|INPUT
|LVCM
OS25* |13
|
|
|
|NONE
|
|UNLO
CATED |NO
|NONE
|
+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
|Y21
OS25*
CATED
|Y22
OS25*
CATED
|Y23
OS25*
CATED
|Y24

* Default value.
** This default Pullup/Pulldown value can be overridden in Bitgen.
All RSVD_0 pins must be tied to GROUND.
****** Special VCCO requirements may apply. Please consult the device
family datasheet for specific guideline on VCCO requirements.

Anda mungkin juga menyukai