Anda di halaman 1dari 16

ITESHU

INSTITUTO TECNOLGICO SUPERIOR DE


HUICHAPAN
Principios electrnicos y Principios Digitales

Profesor: Carlos Arturo Espinoza Galicia

Unidad 4

Ingeniera en Sistemas Computacionales

I SC-4

Contenido
Introduccin.......................................................................................................... 1
Definicin de HDL.................................................................................................. 2
Tipos de lenguajes HDL.......................................................................................... 2
Dispositivos lgicos programables............................................................................ 4
Tipos................................................................................................................. 4
Caractersticas.................................................................................................... 4
Fabricantes........................................................................................................ 5
Pasos para el diseo con PLDs............................................................................ 5
Por captura esquemtica...................................................................................... 6
Por tabla de verdad............................................................................................. 7
Por ecuaciones booleanas................................................................................... 7
Por descripcin de comportamiento.......................................................................7
Programacin de circuitos secuencias con HDL..........................................................8
Por captura esquemtica...................................................................................... 8
Por tabla de verdad............................................................................................. 9
Por ecuaciones booleanas................................................................................... 9
Por descripcin de comportamiento.......................................................................9
Por tabla de estado........................................................................................... 10
Por diagrama de transicin................................................................................. 10
Software de simulacin HDL.................................................................................. 10
Tipos............................................................................................................... 11
Comparativa..................................................................................................... 11
Ejemplos.......................................................................................................... 12
Conclusiones....................................................................................................... 13
Referencias......................................................................................................... 14

Introduccin
En este trabajo se englobara la unidad r4 la Lenguajes HDL, este lenguaje de
descripcin de hardware (o HDL por sus siglas en ingles Hardware Description
Languaje) es una herramienta para el diseo y/o documentacin sobre los circuitos
integrados, una de las principales caractersticas de este lenguaje (y sus derivados) est
en la capacidad de estos para describir en distintos niveles de abstraccin, estos, desde
un punto de vista de simulacin y sntesis del circuito pueden definirse como:
*Algoritmo: se refiere a la relacin funcional entre las entradas y salidas del circuito, sin
hacer referencia a la realizacin final y esto consiste en la particin del sistema en
bloques funcionales sin considerar a detalle la realizacin final de cada bloque y el circuito
se

expresa

en

trminos

de

ecuaciones

lgicas

de

compuertas.

los HDL, surgen en la dcada de los aos cincuenta, frutos de la creciente necesidad de
integrar un mayor nmero de dispositivos a un solo circuito integrado, el desarrollo de
estos alcanza un nivel ms elaborado en los aos setenta; entre los primeros lenguajes
de descripcin de hardware, estaban los ISP (Instruction Set Processor), sin embargo el
uso de este era un tanto limitado y era ms parecido a un lenguaje de programacin de
software, era usado para describir las relaciones entre las entradas y salidas del circuito,
por lo cual poda ser utilizado para simular.

Definicin de HDL
Un lenguaje de descripcin de hardware (HDL, Hardware Description Language) permite
documentar las interconexiones y el comportamiento de un circuito electrnico, sin utilizar
diagramas esquemticos.
El flujo de diseo suele ser tpico:

Definir la tarea o tareas que tiene que hacer el circuito.


Escribir el programa usando un lenguaje HDL. Tambin existen programas de
captura de esquemas que pueden hacer esto, pero no son tiles para diseos
complicados.
Comprobacin de la sintaxis y simulacin del programa.
Programacin del dispositivo y comprobacin del funcionamiento.

Un rasgo comn a estos lenguajes suele ser la independencia del hardware y la


modularidad o jerarqua, es decir, una vez hecho un diseo ste puede ser usado dentro
de otro diseo ms complicado y con otro dispositivo compatible.
Tipos de lenguajes HDL
ASICS
Desde los finales de la dcada de 1970, los equipos electrnicos digitales utilizan
Circuitos Integrados (CI o CHIPS) de funcin lgica fija, realizados en pequea o mediana
escala de integracin (SSI, MSI). Para la implementacin de aplicaciones muy complejas,
que requieren de una gran cantidad de circuitos de funcin fija, por lo que resulta ms
conveniente intgralos en un solo dispositivo fabricado a la medida, los cuales son
llamados: ASICS, ApplicationSpecificIntegratedCircuits. (Circuitos Integrados de
Aplicacin Especifica o circuitos a la medida).
Entre las ventajas que presenta el uso de los ASICs podemos mencionar que: Ahorran
espacio, reducen el nmero de dispositivos, tienen menor costo, reducen el tiempo de
ensamble, bajo consumo de potencia, menor calentamiento, facilidad en la verificacin
(control de calidad) y mejor confiabilidad. Los ASIC se pueden clasificar por su tecnologa
de fabricacin en cuatro categoras: Arreglos de Compuertas, Celdas Estndar, Full
Custom y Lgica Programable.

Clasificacin de los ASICS


Las tecnologas de Arreglos de Compuertas, Celdas Estndar y Full Custom, estn
encaminadas a la produccin industrial de alto volumen y requieren de equipo
especializado para la fabricacin del ASIC. Por otro lado, con la Lgica Programable es
posible disear e implementar funciones desde un solo circuito con el uso de solamente
una computadora, un programador y software de Diseo Electrnico Asistido EDA
(ElectronicDesignAssistant).

PLD
Un dispositivo de lgica programable (PLD) es un Circuito Integrado cuya estructura
lgica final es directamente configurada por el usuario, sin necesidad de llevar a cabo
ningn proceso de fabricacin. PeggyAycinena de la revista electrnica
IntegratedSystemDesign asegura que los dispositivos lgicos programables son la ola del
futuro porque presentan las siguientes caractersticas: 10,000 compuertas en 1 in2,
entradas y salidas configurables reprogramables y programables remotamente para
diferentes funciones. Los PLDs facilitan el proceso de diseo y reducen el tiempo de
desarrollo, cuando se requieren prototipos o produccin de baja escala, pues todo el
proceso se puede llevar a cabo con la ayuda de una computadora personal, programas de
aplicacin y el programador los cuales actualmente estn disponibles a bajo costo.
Los diferentes tipos de dispositivos de lgica programable que existen hoy en da pueden
clasificarse por su tecnologa o su capacidad tales como:

Simplex Programmable Logic Device SPLDs.


Complex Programmable Logic Device CPLDs.
Field Programmable Gate Arraysevice FPGAs.
Field Programmable Inter Connect FPICs.

Dispositivos lgicos programables


Un Dispositivo Lgico Programable (PLD) es un componente electrnico usado para
construir circuitos digitales reconfigurables. A diferencia de una compuerta lgica que
tiene una funcin fija, los PLDs salen de fbrica sin una funcin en especfico, por lo tanto
necesitan ser programados o reconfigurados antes de poder ser usados.
Los PLDs tienen varias ventajas.

Habilidad de integracin.
permite integrar una gran cantidad de funcionalidad en un solo chip.
Los PLDs eliminan el uso de mltiples chips as como la inconveniencia y
desconfianza de usar cableado externo.
Se puede cambiar el diseo.
Muchos PLDs permiten ser reprogramados o reconfigurados.

Tipos

PROMs: son utilizados como elementos de memoria y tienen un arreglo fijo de


compuertas AND (conocido como decodificador) seguido por un arreglo
programable OR.
PALs: Estos dispositivos tienen un arreglo AND programable seguido de un
arreglo fijo OR.
GALs: Estos chips estn fabricados en base a tecnologa CMOS, por lo que
consumen mucho menos potencia y su principal ventaja es que son
elctricamente reprogramables. Adems, sus salidas pueden tambin ser
configuradas por el usuario.
PLAs: Estos circuitos tienen ambos arreglos, AND y OR, programables, lo
cual permite gran flexibilidad en el diseo de funciones lgicas complejas y con
gran cantidad de entradas/salidas.

Caractersticas

ASIC: Son dispositivos definidos por el usuario.*Pueden contener funciones


analgicas, digitales y combinacionales.
PROM: Son memorias programables de solo lectura.
o Son lgicos.
o Son usadas para codificar las combinaciones de entrada en funciones de
salida.
4

PAL: Son dispositivos de matriz programables.


o Son los dispositivos programables por usuario ms empleados.
o GAL:Las gal son dispositivos de matrices lgica genrica.
o Son electrnicamente borrables.
PLA: Son matrices lgicas programables.
o Tienen mayor flexibilidad que otros dispositivos.
FPGA: Son campos de matrices de puertas programables.
o Contienen mltiples niveles de lgica.

Fabricantes

Actel Es un fabricante de FPGAs y soluciones lgica programables.


Altera Corp. Es un fabricante lder de dispositivos lgicos programables.
AtmelCorp. Es un fabricante de semiconductores.
Chip Express .
Cypress Sem. Es una empresa dedicada al diseo de semiconductor.
Lattice Sem. Quicklogic Corp. (www.quicklogic.com): Son proveedores de matrices
de puertas programables de campo, con dispositivos solo programables una vez.
Xilinx Inc. (www.xilinx.com): Proveedor de dispositivos de lgica programable.

Pasos para el diseo con PLDs

Son IC's estndar de la familia de ASICs que estn disponibles en configuraciones


estndar desde catlogos de partes y se venden en grandes volmenes a muchos
consumidores. Sin embargo, los PLD's pueden configurarse o programarse para crear
partes configurables para una aplicacin especfica, los PLD's utilizan diferentes
tecnologas para permitir la programacin del dispositivo. Entre las principales
caractersticas de los PLD's se puede destacar:

No poseen mascaras o capas ni celdas lgicas configurables.

Rpido diseo.

Un solo gran bloque de interconexiones programables.

Poseen una matriz de macro celdas lgicas que usualmente consiste de un arreglo
programable lgico seguido por un flip-flop o latch.

Etapas del diseo y realizacin de un sistema digital mediante PLDs.


-Especificaciones
-Lista de conexiones Verificacin
-Descripcin del diseo
-Simulacin Funcional
-Lista de conexiones
-Compilacin y/o Sntesis
-Simulacin Temporal.
-Lista de conexiones
-Implementacin
-Anlisis de retardos.
-Lista de conexiones
-Prueba del circuito.
-Programacin del circuito.

Por captura esquemtica


Por Captura Esquemtica se entiende el proceso de descripcin, mediante un dibujo, de
un circuito elctrico, en l se representan a los diferentes componentes del circuito y
solo se efectan interconexiones entre ellos.
Existen varios programas con la aplicacin de Captura Esquemtica como el Schematic
del IspStarter de Lattice Semiconductor o Fundation de XILINX entre otros.
Esta tcnica permite simular en la computadora el circuito virtualmente y verificar su
funcionamiento antes de su fabricacin o implementacin en un PLD, reduciendo as el
ciclo de diseo y el tiempo de obtencin de un producto.

Por tabla de verdad


Para aclarar el proceso es necesario un ejemplo especfico, la tabla de verdad especifica
un circuito combinacional con dos entradas y dos salidas. Las funciones de BOOLE
pueden expresarse en suma de trminos mnimos.
El diseo de circuitos combinacionales parte la especificacin del problema y culmina en
un diagrama lgico de circuitos o un conjunto de funciones booleanas a partir de las
cuales se puede obtener el diagrama lgico. El procedimientoimplica los pasos siguientes:
De la especificacin del circuito, deduzca el nmero requerido de entradas y salidas;
asigne un smbolo a cada una.

Por ecuaciones booleanas


Si se requiere reducir la funcin que realiza el circuito. Este proceso parte del diagrama
lgico dado y culmina en un conjunto de funciones BOOLEANAS, una tabla de vedad o
una posible explicacin del funcionamiento del circuito. Si el diagrama lgico analizar va
acompaado de un nombre de funcin o de una explicacin de lo que se supone que
hace, el problema de anlisis se reduce a una verificacin de la funcin planeada. El
anlisis se efecta manual mente encontrando las funciones BOOLEANAS o la TABLA DE
VERDAD, o bien utilizando un programa de simulacin de computadora.
El primer paso del anlisis consiste en asegurarse del que el circuito dado sea
combinacional y no secuencial. El diagrama del circuito combinacional tiene compuertas
lgicas sin trayectoria de retroalimentacin ni elementos de memoria. Una trayectoria de
retroalimentacin es una conexin de salida de una compuerta a la entrada deuna
segunda compuerta que forma parte de la entrada a la primera compuerta.

Por descripcin de comportamiento


Lenguaje de Descripcin de Hardware de alta escala de integracin (VHDL)
VHDL es el acrnimo que representa la combinacin de VHSIC y HDL, donde VHSIC es
el acrnimode Very High SpeedIntegratedCircuit y HDL es a su vez el acrnimo de
Hardware.
DescriptionLanguage.
Es un lenguaje definido por el IEEE (Institute of Electrical and ElectronicsEngineers)
(ANSI/IEEE 1076-1993) usado por ingenieros para describir circuitos digitales. Otros
mtodos para disear circuitos son la captura de esquemas (con herramientas CAD) y los
diagramas debloques, pero stos no son prcticos en diseos complejos. Otros lenguajes
para el mismo propsito son Verilog y ABEL.
7

Dentro del VHDL hay varias formas con las que podemos disear el mismo circuito y es
tarea deldiseador elegir la ms apropiada.
* Funcional: Describimos la forma en que se comporta elcircuito. Esta es la forma que
msse parece a los lenguajes de software ya que la descripcin es secuencial.
Estas sentencias secuenciales se encuentran dentro de los llamados procesos
en VHDL. Los procesos son ejecutados en paralelo entre s, y en paralelo con
asignaciones concurrentes de seales y con las instancias a otros componentes.
* Flujo de datos: describe asignaciones concurrentes (en paralelo) de seales.

Programacin de circuitos secuencias con HDL


Los circuitos de conmutacin secuenciales tienen la propiedad de que la salida no slo
depende de la entrada actual, sino tambin de la secuencia de entradas anteriores.
Son las siglas de Programable Logic Designo dispositivos de lgica programable. Estos
circuitos integrados permiten generar dentro de las mismas funciones que no disponemos
en losC.I. Comerciales (decodificadores, comparadores, sumadores, etc).Los PLDs
pueden reemplazar circuitos integrados de propsito especfico en el diseo de
circuitos digitales. Un solo PLD es funcionalmente equivalente a dispositivos que tienen
desde 5 hasta 10,000compuertas lgicas.
Estos dispositivos estn basados en transistores bipolares transistores especiales que
cuando se hace circular una fuerte corriente dejan de conducir ,pero pueden volver a
conducir aplicando una diferencia de potencial en el circuito.

Por captura esquemtica


Los programas de captura esquemtica son aplicaciones creadas para esbozar circuitos
electrnicos y exportar los esquemas como archivos netlist ; los cuales satisfacen la
sintaxis de algn ncleo de simulacin en particular.
Dentro de este grupo de programas, que delegan al usuario la tarea de enlazar los
archivos netlist generados con el ncleo de simulacin, se encuentran: XCircuit 11, y
gschem
12;
pertenecientes
a
los
grupos
Open
Circuit
Design
y
gEDA , respectivamente.

La principal caracterstica de ambos programas, es que pueden generar dibujos


decircuitos de gran calidad, debido a la tecnologa utilizada en su implementacin: el
8

lenguaje Postscript para la descripcin de diagramas. Sin embargo, se aprecia una ligera
diferencia entre estas dos aplicaciones, locual podra ayudar a discriminar entre una y
otra. Por una parte, el autor de gschem reconoce que XCircuit produce mejores salidas
grcas; y por otra, gschem est ms orientado al diseo de circuitos que a dibujos de
calidad en s, lo cual se aprecia en su funcionalidad.

Por tabla de verdad


La tabla de verdad es uninstrumento utilizado para la simplificacin de circuitos digitales a
travs de su ecuacin booleana. Las tablas de verdad pueden tener muchas columnas,
pero todas las tablas funcionan de igual forma. Hay siempre una columna de salida
(ltima columna a la derecha) que representa el resultado de todas las
posibles combinaciones de
las
entradas.
El nmero total de columnas en unatabla de verdad es la suma de las entradas que hay +
1 (la columna de la salida).

Por ecuaciones booleanas


Los nmeros reales lo conocemos muy bien, as como todas las operaciones definidas en
l. Estamos acostumbrados a trabajar con ellos desde pequeos, por eso este tipo de
Ecuaciones nos parecen intuitivas y sencillas, aunque no comprendamos lo que significan
las variables usadas. Hemos dicho que los circuitos digitales trabajan con nmeros, y que
estos nmeros se expresan en binario. Veremos ms adelante cmo con un conjunto de
ecuaciones podemos describir lo que hace un circuito, que transforma los nmeros de la
entrada y los saca por la salida.

Por descripcin de comportamiento


Descripcin VHDL de comportamiento.- Esta es quizs la etapa mas importante del
diseo, ya que en ella se toman decisiones claves para el resultado final.
El mtodo se clarifica con un ejemplo, en el cual se ha buscado tambin la aplicacin de
los criterios de jerarqua, modularidad y regularidad. Para ello se trata de que todos los
pasos de la secuencia sean iguales, cuando ello es posible. Tambin se siguieron las
recomendaciones de no usar lgica auxiliar en las seales de reloj (todos los cambios del
sistema se producen sincronizados con la seal de reloj la cual es nica para todos los
Flip Flops.)

Por tabla de estado


Un circuito cuya salida depende no solo de la combinacin de entrada, sino tambin de la
historia de las entradas anteriores se denomina Circuito Secuencial. La historia de las
entradas anteriores en un momentodado se encuentra resumida en el estado del circuito,
el cual se expresa en un conjunto de variables de estado.
El circuito secuencial debe ser capaz de mantener su estado durante algn tiempo, para
ello se hace necesario el uso de dispositivos de memoria. Los dispositivos de memoria
utilizados en circuitos secuenciales pueden ser tan sencillos como un simple retardador
(inclusive, se puede usar el retardo natural asociado a las compuertas lgicas) o tan
complejos como un circuito completo de memoria denominado multivibrador biestable o
Flip Flop.
Como puede verse entonces, en los circuitos secuenciales entra un factor que no se
haba considerado en los combinacionales, dicho factor es el tiempo. De hecho, los
circuitos secuenciales se clasifican de acuerdo a la manera como manejan el tiempo en
circuitos secuenciales sncronos y circuitos secuenciales asncronos.

Por diagrama de transicin


Dibujar el diagrama de transicin de estados y produccin de salidas, las tablas de
transicin y la expresin lgica de las funciones f y g para el circuito secuencial de la
figura. Obsrvese que ahora hay realimentacin desde la salida y por consiguiente a la
entrada se calculan funciones de x(t) y Q(t) pero Q(t) procede de los valores de D en (tt). Si el biestable D se dispara a subidas dibujar la evolucin temporal de la seal en Q
cuando en la entrada x(t) y en el reloj Ck.
Software de simulacin HDL
Los lenguajes de descripcin hardware (HDLs, Hardware Description Languages) vienen
utilizndose desde los aos 70 en los ciclos de diseo de sistemas digitales asistidos por
herramientas de CAD electrnico. Al principio surgieron una serie de lenguajes que no
llegaron a alcanzar un xito que permitiera su consolidacin en el campo industrial o
acadmico. En los aos 80 aparecen los lenguajes Verilog y VHDL que, aprovechando la
disponibilidad de herramientas hardware y software cada vez ms potentes y asequibles y
los adelantos en las tecnologas de fabricacin de circuitos integrados, logran imponerse
como herramientas imprescindibles en el desarrollo de nuevos sistemas.

10

Tipos
Los estudios para la creacin del lenguaje VHDL (VHSIC HDL) comenzaron en el ao
1981, bajo la cobertura de un programa para el desarrollo de Circuitos Integrados de Muy
Alta Velocidad (VHSIC), del Departamento de Defensa de los Estados Unidos. En 1983
las compaas Intermetrics, IBM y Texas Instruments obtuvieron la concesin de un
proyecto para la realizacin del DESCRIPCIN VERILOGent0ent1MUXdirsalmodule mux
(sal, dir, ent0, ent1); input ent0, ent1;input dir;output sal; assign sal = (!dir) ? ent0:
ent1;endmoduleIntroduccin al lenguaje VHDL II Miguel Angel Freire Rubio Dpto. de
Sistemas Electrnicos y de Control. EUITT. UPM lenguaje y de un conjunto de
herramientas auxiliares para su aplicacin.

Comparativa
El lenguaje VHDL fue creado con el propsito de especificar y documentar circuitos y
sistemas digitales utilizando un lenguaje formal. En la prctica se ha convertido, en un
gran nmero de entornos de CAD, en el HDL de referencia para realizar modelos
sintetizables automticamente. Las principales caractersticas del lenguaje VHDL se
explican en los siguientes puntos:
Descripcin textual normalizada: El lenguaje VHDL es un lenguaje de descripcin que
especifica los circuitos electrnicos en un formato adecuado para ser interpretado tanto
por mquinas como por personas. Se trata adems de un lenguaje formal, es decir, no
resulta ambiguo a la hora de expresar el comportamiento o representar la estructura de un
circuito. Est, como ya se ha dicho, normalizado, o sea, existe un nico modelo para el
lenguaje, cuya utilizacin est abierta a cualquier grupo que quiera desarrollar
herramientas basadas en dicho modelo, garantizando su compatibilidad con cualquier otra
herramienta que respete las indicaciones especificadas en la norma oficial.

Amplio rango de capacidad descriptiva: El lenguaje VHDL posibilita la descripcin del


hardware con distintos niveles de abstraccin, pudiendo adaptarse a distintos propsitos y
utilizarse en las sucesivas fases que se dan en el desarrollo de los diseos. Adems es un
lenguaje adaptable a distintas metodologas de diseo y es independiente de la
tecnologa, lo que permite, en el primer caso, cubrir el tipo de necesidades de los distintos
gneros de instituciones, compaas y organizaciones relacionadas con el mundo de la
electrnica digital; y, en el segundo, facilita la actualizacin y adaptacin de los diseos a
los avances de la tecnologa en cada momento.

11

Otras ventajas: Adems de las ventajas ya reseadas tambin es destacable la


capacidad del lenguaje para el manejo de proyectos de grandes dimensiones, las
garantas que comporta su uso cuando, durante el ciclo de mantenimiento del proyecto,
hay que sustituir componentes o realizar modificaciones en los circuitos, y el hecho de
que, para muchas organizaciones contratantes, sea parte indispensable de la
documentacin de los sistemas.

Ejemplos
Se trata de una herramienta moderna (soporta la versin del lenguaje de 1993), eficiente y
fcil de manejar. Es, adems, el simulador escogido por Actel, un importante fabricante de
dispositivos lgicos programables, para su entorno de diseo con lgica programable,
Actel DeskTOP un entorno, por otra parte, magnfico para empezar a utilizar
herramientas VHDL, ya que cuenta tambin con una muy buena herramienta de sntesis,
Synplicity, pero que lamentablemente, no dispone de una versin de evaluacin por lo
que su aprendizaje puede resultar til a diseadores que vayan a trabajar con esta
tecnologa. La versin de evaluacin pone limitaciones (poco importantes para ejercicios
de baja o mediana complejidad) al tamao del cdigo que se desea simular y a la
duracin de las simulaciones. A continuacin se describe el proceso de instalacin del
software.
Para instalar el programa necesita unos 30 Mbytes de espacio libre en su disco duro y un
lector de CDs.
1. Introduzca el CD en el lector.
2. Ejecute Setup.exe en el directorio VHDL_Simulator.
3. Acepte todas las opciones que aparecen.
4. Espere a que se complete la instalacin.
5. Si desea desinstalar el programa, utilice la utilidad que se suministra en el
CD de instalacin.
El programa ser ejecutable desde la barra de programas de Windows.
Adems del simulador se habr instalado un tutorial interactivo que puede
servirle para aprender a manejar la herramienta.

12

Conclusiones
A la finalizacin de este trabajo pudimos observar lo importante que son los lenguajes
HDL, es importante saber en qu consisten, aqu en este lenguaje existen diferentes
definiciones sobre Lenguajes HDL, delas cuales podemos mencionar las siguientes:
Los lenguajes de descripcin Hardware (HDL) son lenguajes de descripcin formal,
pensados para la descripcin, documentacin y diseo de elementos hardware. A grandes
rasgos son lenguajes de programacin que permiten describir sistemas hardware y
facilitan el proceso de diseo de los mismos mediante herramientas CAD. En los aos
ochenta se impusieron dos de estos lenguajes sobre los dems: Verilog y VHDL. Las
razones para haber incluido VHDL son fundamentalmente dos: en primer lugar, la versin
de estudiante de la herramienta incluida en el CD-ROM, Maxplus II, soporta VHDL; y en
segundo lugar, VHDL es un estndar de la IEEE, lo que da unas ciertas garantas de
portabilidad

reutilizacin

de

los

diseos

realizados.

Por otro lado podemos decir que en electrnica, un lenguaje de descripcin de hardware
(HDL, Hardware DescriptionLanguage) es cualquier idioma de una clase lenguajes de
programacin para la descripcin formal de los circuitos ya que aqu se dieron a conocer
sus caractersticas que tienen, esta unidad es muy interesante ya que se puede observar
la importancia que estos tienen en el desarrollo de la asignatura.

13

Referencias
http://fcqi.tij.uabc.mx/usuarios/tcarrillo/files/circDig/unidad3/disSisDig.pdf
http://www.mailxmail.com/curso-sistemas-digitales/configuracion-logica-combinacional
http://www.todopic.com.ar/foros/index.php?topic=34107.0
http://gemini.udistrital.edu.co/comunidad/profesores/jruiz/jairocd/texto/cirdig/vhdl/man3.pdf
http://iindustrial.obolog.com/dispositivos-logicos-programables-parte-1-209085
http://aristotelesanato.blogspot.com/2008_01_01_archive.html
http://electronicaintegrada.blogspot.com/2008/02/cpld-verilog.html
http://es.wikipedia.org/wiki/Dispositivo_l%C3%B3gico_programable
http://html.rincondelvago.com/dispositivos-logicos-programables_2.html
http://torio.unileon.es/~dierar/ti/pdf/pld.PDF
http://www.latticesemi.com/lit/docs/datasheets/pal_gal/16lv8.pdf

14

Anda mungkin juga menyukai