Anda di halaman 1dari 45

A2-07-CT

BROJAKO TAJMERSKI
MODUL

V2.0

Electronic Design
Beograd 2007.

Electronic Design

UPOZORENJE !
Da ne bi dolo do oteenja, potrebno je pre ugradnje modula iskljuiti napon
napajanja kontrolera!

Za informacije date u ovom uputstvu, veruje se da su tane. Meutim, Electronic Design (ED) ne snosi nikakvu
odgovornost za eventualne netanosti ili propuste. Mole se korisnici da nam skrenu panju na uoene greke.
Po oceni autora, termini koji nemaju adekvatan prevod na srpski jezik, koriteni su u izvornom obliku.
Nije dozvoljeno pretampavanje, kopiranje i objavljivanje ovog uputstva ili njegovih delova bez predhodne saglasnosti
ED-a.
ED ne snosi nikakvu odgovornost za koritenje ovih informacija, i korisnik ih primenjuje na svoj rizik.
ED zadrava pravo da specifikacije i cene promeni bez predhodne najave.
ED zadrava sva autorska prava na tehnika reenja opisana u ovom uputstvu.
ED ne garantuje za svoje proizvode kada se koriste za odravanje ivota ljudi i u primenama gde ljudski ivoti i druge
vrednosti mogu biti ugroeni na bilo koji nain i u bilo kojoj situaciji.
ED ne snosi odgovornost za tete bilo kakve vrste, nastale direktno ili indirektno, usled nepravilnog koritenja njegovih
ureaja.

Electronic Design
Marala Tolbuhina bb - Pejton
11000 Beograd
Telefon: (011) 308-50-30
Fax
: (011) 308-50-31

V2.0 0507

A2-07-CT Uputstvo za upotrebu

Electronic Design

SADRAJ
1.

UVOD ..................................................................................................................................3

1.1.

Opis modula A2-07-CT .......................................................................................................3

1.2.

Karakteristike i mogunosti................................................................................................3

2.

KONFIGURISANJE I INSTALACIJA HARDVERA .....................................5

2.1.

Konfigurisanje i instalacija.................................................................................................5

2.2.

Postavljanje bazne adrese modula .....................................................................................5

2.3.
2.3.1.
2.3.2.
2.3.3.
2.3.4.

Funkcionalni opis modula A2-07-CT.................................................................................9


Kanal za generisanje frekvencije ...........................................................................................9
Kanali za optu namenu...................................................................................................... 10
32-bitno proirenje opsega brojaa..................................................................................... 16
Kvadraturni dekoder ........................................................................................................... 16

2.4.

Instalacija kratkospojnika - opti pregled...................................................................... 16

2.4.1.

Kanal generatora frekvencije .............................................................................................. 16

2.4.2.

Kanali za optu namenu...................................................................................................... 17

2.4.3.

Biranje nivoa prekida.......................................................................................................... 18

2.4.4.

Postavljanje modula A2-07-CT u kontroler ....................................................................... 18

2.4.5.

Konektori: povezivanje sa realnim procesom..................................................................... 19

3.

PROGRAMIRANJE................................................................................................... 21

3.1.

Napomene o adresiranju .................................................................................................. 21

3.2.

Ofseti registara.................................................................................................................. 22

3.3.

Upotreba registara............................................................................................................ 22

4.

PROCEDURE ZA PROGRAMIRANJE ............................................................ 28

4.1.

Procedura za inicijalizaciju brojaa ............................................................................... 28

4.2.

Procedura za omoguavanja rada brojaa..................................................................... 28

4.3.

Procedura za itanje brojaa ........................................................................................... 29

4.4.

Procedura za inicijalizaciju generatora frekvencije...................................................... 29

4.5.

Procedura za omoguavanje rada generatora frekvencije ........................................... 30


A2-07-CT Uputstvo za upotrebu

V2.0 0507

Electronic Design

5.

TESTIRANJE ............................................................................................................... 31

6.

PRIMENE, KVADRATURNI DEKODER ........................................................ 32

6.1.

Osnove rada kvadraturnog enkodera / dekodera.......................................................... 32

6.1.1.

Enkodovanje ....................................................................................................................... 33

6.1.2.

Dekodovanje ....................................................................................................................... 33

6.2.

Primer primene: Merenje brzine i ubrzanja osovine .................................................... 34

6.2.1.

Opti deo ............................................................................................................................. 34

6.2.2.

Softver................................................................................................................................. 34

7.

TEHNIKE KARAKTERISTIKE ....................................................................... 36

8.

PREGLED OSNOVNIH POJMOVA ................................................................... 37

V2.0 0507

A2-07-CT Uputstvo za upotrebu

Electronic Design

1. UVOD
1.1. Opis modula A2-07-CT
Modul A2-07-CT (Counter/Timer) se ugrauje u PC kontroler A2 i moe da se upotrebi za
mnoge primene u sistemima za prikupljanje podataka. Neke od tipinih aplikacija modula su:

Stabilna vremenska baza za kontrolu prikupljanja podataka


Generisanje impulsa/pobuda
Generisanje frekvencije
Merenje frekvencije
Broja dogaaja
Brzina obrtanja, ubrzanje i/ili merenje pozicije.

1.2. Karakteristike i mogunosti


Kao to je prikazano na Slici 1.1, A2-07-CT modul ima 15 nezavisnih 16-bit
brojakih/tajmerskih kanala rasporeenih u 3 nezavisna modula i kristalom kontrolisan 8 MHz
oscilator. Tri od petnaest kanala su generatori frekvencije, koji omoguavaju stabilan, precizan
klok, ija se frekvencija postavlja softverski. Preostalih dvanaest kanala su opte namene i mogu se
upotrebljavati u bilo kojoj napred navedenoj primeni. Postavljanjem odgovarajuih kratkospojnika,
ovi kanali opte namene mogu da se konfiguriu kao est nezavisna kvadraturna dekodera, ili kao
est brojaa/tajmera sa proirenim opsegom (32-bit).
Postavljanjem kratkospojnika J12 ili J13, mogua je identifikacija kerijera bez digitalnog
I/O, ili sa digitalnim I/O. Modul se standardno isporuuje sa postavljenim kratkospojnikom J12, i
modul se tada identifikuje kao kerijer bez digitalnog I/O. Druga mogunost je ostavljena da bi
modul mogao da radi ako se u budunosti pojavi softver koji ne podrava kerijer bez digitalnog I/O.
Tada je dovoljno postaviti kratkospojnik J13, a skinuti kratkospojnik J12. Raspored elemenata na
modulu dat je na slici 2.3.
Modul A2-07-CT je softverski kompatibilan sa softverskim drajverima ED Link Software
Libraries . Takoe, modul moe da radi i sa menijem upravljanim, softverskim paketima za
grafiko, intuitivno programiranje aplikacija, kao to su LABTECH CONTROLpro, LABTECH
NOTEBOOK, VISUAL DESIGNER, LabVIEW itd.

V2.0 0507

A2-07-CT Uputstvo za upotrebu

Electronic Design

MODULE 1
IRQ*
Rate CE
Generator
EN

CLK

1CLK0
1OUT0
1GATE0

OUT
GATE

CLK

1CLK1
1OUT1
1GATE1

OUT
GATE

Quadrature
Decoder

CLK

1CLK2
1OUT2
1GATE2

OUT
GATE

CLK

1CLK3
1OUT3
1GATE3

OUT
GATE

Osc.
8 MHz

Interrupt
Control
&
Selection

#10
CE

#11
CE

PC Bus

1FOUT

Switches
#12
CE

Module ID

#13
CE

CE
Gate
Control

Address
Decoder

Address

Interface
&
Control
Data
Buffer

Data

MODULE 3

Slika 1.1. Blok dijagram modula A2-07-CT

V2.0 0507

A2-07-CT Uputstvo za upotrebu

Electronic Design

2. KONFIGURISANJE I INSTALACIJA HARDVERA


2.1. Konfigurisanje i instalacija
Da biste zapoeli sa radom potrebno je da pravilno konfiguriete modul. To se postie
postavljanjem odreenih kratkospojnika i prekidaa u odgovarajui poloaj. Konfigurisanje modula
se vri u sledeim koracima:
Postavljanje bazne adrese modula
Selektovanje izvora za kontrolu gejtova brojaa i generatora frekvencije
Izbor rada sa kvadraturnim dekoderima
Izbor nivoa prekida koji generie modul.

2.2. Postavljanje bazne adrese modula


Da bi ste koristili modul, potrebno je na njemu postaviti baznu adresu. Ukoliko bazna
adresa nije pravilno postavljena, modul nee raditi, a takoe moe spreiti i druge komponente u
kontroleru da rade ispravno. U ovoj sekciji opisan je izbor bazne adrese.
Digitalni modul A2-07-CT zauzima 1 Kbyte memorijskog prostora. Bazna adresa postavlja
se na DIP prekidau sa deset pozicija, koji se nalazi na modulu. Opseg dostupnih adresa kree se od
400 (Hex) do FFC00 (Hex), u koracima od 400 (Hex).
Prilikom izbora bazne adrese, vodite rauna da birate lokaciju koja nije iskoriena od
neke druge komponente u kontroleru (raunaru), tj. adresa se odreuje u skladu sa hardverskom
konfiguracijom sistema. Prilikom isporuke modula, bazna adresa je postavljena na D000 (Hex).
Ukoliko se u raunaru koristi expandid memorija prema LIM specifikaciji, preporuujemo vam da
za poetak adresnog prostora ove memorije zauzmete adresu D0000 (Hex), da biste adrese izmeu
CD000 (Hex) i CFC00 (Hex) uinili dostupnim za va modul.
Na slici 2.1. prikazana je memorijska mapa PC raunara, kao i preporuene adrese za
modul. Kako je ve reeno, bazna adresa modula je prilikom isporuke postavljena D0000 (Hex).
Bazna adresa 1-Kbytnog bloka odreuje se na modulu postavljanjem prekidaa od 1 do 10,
pri emu prekida 1 odgovara adresnom bitu 10, a prekida 10 odgovara adresnom bitu 19.
Postavljanje prekidaa u poloaj "OFF" postavlja vrednost odgovarajueg bita na 1, dok poloaj
prekidaa "ON" postavlja vrednost odgovarajueg bita na 0.
Slika 2.2. prikazuje nekoliko primera u odreivanju bazne adrese modula, postavljajui te
adrese na vrednosti CD000 (Hex), CD400 (Hex), CD800 (Hex) i CDC00 (Hex). Treba obratiti
panju da je bazna adresa, odreena poloajem prekidaa, izraena kao heksadecimalni broj od 5
cifara (20-bitna binarna vrednost), gde je mogue podeavati vrednost bita na pozicijama od 10 do
19. Takoe, u svakom primeru, bazna adresa je predstavljena u obliku SEGMENT:OFSET.

V2.0 0507

A2-07-CT Uputstvo za upotrebu

Electronic Design

F FF FFF
100000
F FF FF
F 0000
E F FFF
E 0000
D F FFF

D 0000
CF F F F

CD 000
CCF F F
C8000
C7F F F
C4000
C3F F F
C0000
BF F F F
B0000
AF F F F
A0000
9F F F F

AT EXTENDID
MEMORIJSKI PROSTOR
ROM
KORISTI SE NA AT'u
PREPORUENE LOKACIJE ZA "LIM" EXPANDID MEMORIJU (64K)

KORISNIKI PROSTOR
CFC00
CFC00
CFC00
CFC00
CFC00
CFC00
CFC00
CFC00
CFC00

ADRESNE
LOKACIJE ZA
RAZLIITE ED
SISTEME

KORISNIKI PROSTOR
ROM EKSPANZIONI
MEMORIJSKI PROSTOR
EGA BIOS
VIDEO ADAPTERI
EGA EKRANSKI BAFER
128K RAM
EKSPANZIONI PROSTOR

80000
7F F F F

00500
004F F
00400
003F F
00000

512K RAM
EKSPANZIONI PROSTOR
DOS
BIOS
INTERAPT VEKTORI

P R EPORU ENE ADRESE


Slika 2.1. Memorijska mapa

V2.0 0507

A2-07-CT Uputstvo za upotrebu

Electronic Design

PR I M E R 1. M emorijska adresa CD 00: 0000


1

10

ON

A dresa CD 000 (H ex) = 1 1 0 0

1 1 0 1

00 0 0

00 0 0

A 19

0 0 0 0 (Binarno)
A0

PR I M ER 2. M emorijska adresa CD 40: 0000


1

10

ON

A dresa CD 400 (H ex) = 1 1 0 0

1 1 0 1

01 0 0

00 0 0

0 0 0 0 (Binarno)
A0

A 19
PR I M ER 3. M emorijska adresa CD 80: 0000
1

10

ON

A dresa CD 800 (H ex) = 1 1 0 0

1 1 0 1

10 0 0

00 0 0

0 0 0 0 (Binarno)
A0

A 19
PR I M ER 4. M emorijska adresa CD C0: 0000
1

10

ON

A dresa CD C00 (H ex) = 1 1 0 0

1 1 0 1

11 0 0

A 19

00 0 0

0 0 0 0 (Binarno)
A0

Slika 2.2 Primeri postavljanja adrese

V2.0 0507

A2-07-CT Uputstvo za upotrebu

Electronic Design

Slika 2.3. Raspored elemenata na modulu A2-07-CT

V2.0 0507

A2-07-CT Uputstvo za upotrebu

Electronic Design

2.3. Funkcionalni opis modula A2-07-CT


Ovaj deo opisuje osnovni nain rada za svaku od funkcija modula. Slika 1.1. prikazuje
blok dijagram modula. Modul koristi Intelove 8254 programabilne interval tajmere kao osnovne
brojako/tajmerske komponente.

2.3.1. Kanali za generisanje frekvencije


Za generisanje periodinog izlaznog signala, generator frekvencije moe da radi ili kao
impulsni, ili kao generator kvadratnog talasnog oblika. Vremenska baza za generator frekvencije je
8 MHz, i kontrolisana je kristalnim oscilatorom koji se nalazi na modulu. Frekvencija na izlazu
generatora frekvencije je rezultat deljenja osnovne frekvencuje sa dva cela broja, N1 i N2, od kojih
je svaki u opsegu od 2 do 65535. Izlazna frekvencija, za impulsni nain rada ili nain rada sa
kvadratnim izlaznim talasnim oblikom, moe se zadati pomou formule:
Fout = 8 MHz / (N1 * N2)
gde su i N1 i N2 celi brojevi, vei ili jednaki od 2 i manji ili jednaki od 65535. Broj N1 predstavlja
niih 16 bita kod generatora frekvencije. Broj N2 predstavlja viih 16 bita (za dodatne informacije o
ovim registrima pogledajte poglavlje o ofsetima registara). Izlazna frekvencija tada moe da bude u
opsegu od najvie 2 MHz do minimalno 0.002 Hz.
U impulsnom nainu rada, irina dela talasnog oblika izlaznog signala u stanju logike
nule (nizak nivo), odreena je kao:
T1 = N1 * 125 ns,
a ukupno vreme ponavljanja impulsa (period T) dato je kao:
T = (N1 * N2) * 125 ns .
Dakle, irina dela talasnog oblika u stanju logike jedinice (visoki nivo) je:
T2 = T T1 = N1 * (N2 1) * 125 ns
Generator frekvencije se moe pomou kratkospojnika konfigurisati da se pokree i
zaustavlja ili softverskim putem, ili hardverski, pomou SYNC IN signala (Slika 1.1.). Izlaz
generatora frekvencije se nalazi na konektoru, a takoe je prikljuen i na IRQ0 liniju modula, tako
da se status moe ispitati softverski ili, opciono, moe se generisati prekid (interrupt).

V2.0 0507

A2-07-CT Uputstvo za upotrebu

Electronic Design

2.3.2. Kanali za optu namenu


Modul A2-07-CT ima dvanaest brojako/tajmerskih kanala za optu namenu. Slika 2.4.
prikazuje blok dijagram jednog brojako/tajmerskog kanala i pridruena kola (opcija za kvadraturni
dekoder nije prikazana). Svaki kanal za optu namenu ima Clock ulaz (CLK), Gate ulaz (GATE) i
izlaz (OUT). Sva tri signala, za svaki kanal, se pojavljuju na spoljnom signalnom konektoru. Ulaz
CLK se upotrebljava za brojanje spoljnih impulsa ili dogadjaja. GATE ulaz se moe prespojiti na
spoljni konektor ili na Gate Control Register na ploi. Ovo omoguava da se okidanje ili kontrola
(gating) svakog kanala izvodi iz spoljnjeg okruenja (hardverski) ili interno (sofverski). Svaki kanal
opte namene sadri presetabilni broja na dole. 16-bitna poetna brojna vrednost se postavlja
putem 8-bitnog brojakog registarskog porta, jedna po kanalu, i ona je interna za svako
brojako/tajmersko kolo (IC).
NA OSTA LA
KOLA ZA
KONTROLU
GEJTOVA

D0-D7

REGI STA R
ZA
KONTROLU
GEJTOVA

SOFTV ERSKA
KONTROLA
GEJTA

H ARDV ERSKA
KONTROLA
GEJTA

GEJT

KLOK
D0-D7

GATE

BROJA ^
RD

WR

CLK

I Z LA Z

OU T
CS

READ
WRITE
A2
A3

DEKODER
A DRESE

NA OSTA LE
BROJA ^ E

Slika 2.4. Blok dijagram brojaa


Brojai mogu da se programiraju da rade u 6 razliitih naina rada (modova). 8-bitna
kontrolna re se upisuje u kontrolni registar (interni za svaki brojaki ip), ime se definie nain
rada, kao i druge funkcije kao to su: postavljanje formata za itanje i pisanje, postavljanje naina
brojanja (binarno ili dekadno (BCD)), oitavanje brojaa i informacija o njegovom statusu.
Izlaz svakog brojaa obezbeuje impuls, niz impulsa, kvadratni talasni oblik ili indikaciju
kraja odbrojavanja, zavisno od naina rada koji je izabran. Naini rada, koji se mogu postaviti,
saeti su u sledeoj tablici, a obraeni su detaljnije u sledeim poglavljima.

V2.0 0507

A2-07-CT Uputstvo za upotrebu

10

Electronic Design

NAINI RADA KOLA 8254


NAIN RADA
0
1
2
3
4
5

OPIS
prekid na zavretku brojanja
hardverski okidano monostabilno kolo
generator frekvencije
generator kvadratnog talasnog oblika
softverski okidan strob-impuls
hardverski okidan strob-impuls

Pogledajte sledee napomene pre nego to preete na opis naina rada:


U svakom opisu, CLOCK ulaz je prikljuen na signal vremenske baze, kao to je izlaz
iz generatora frekvencije.
CLOCK impuls je definisan kao TTL nivo koji prelazi iz stanja 'nizak' u stanje 'visok', a
zatim iz stanja 'visok' u stanje 'nizak'. Impuls e biti odbrojan samo ako je kontrolna re
upisana, i brojau omogueno brojanje preko GATE ulaza (GATE = 1 omoguava
brojanje, GATE = 0 onemoguava brojanje).
Pojednostavljenja radi, dijagrami koji ilustruju nain rada podrazumevaju da su
kontrolna re i poetni broj upisani za vreme dok je GATE ulaz bio na nivou 'nizak'
(onemogueno brojanje). Brojanje je omogueno rastuom ivicom i/ili visokim nivoom
na GATE ulazu. Format kontrolne rei dat je u sledeim poglavljima.

Nain rada 0: Prekid na zavretku brojanja


Kada se kontrolnom reju broja konfigurie za mod 0, i kada se upie inicijalni broj N,
izlaz brojaa se postavlja na nizak nivo. Nakon omoguavanja brojaa, pri svakom takt-impulsu on
broji nadole, a izlaz ostaje na niskom nivou sve dok broja ne odbroji do nula. Tada izlaz prelazi na
visok nivo, na kojem ostaje sve dok se ne upie nova kontrolna re za mod 0. Omoguavanje
brojanja se vri postavljanjem gejt-ulaza brojaa na visok nivo. Kada je gejt-ulaz na niskom nivou
brojanje je onemogueno. Ako se u trenutku kada se u registar upisuje kontrolna re za mod rada i
moduo delenja N, gejt ve nalazi na visokom nivou, prvi takt-impuls upisae broj N u broja, a
sledeih N impulsa broja broji nanie. To znai da e se izlaz brojaa postaviti na visok nivo posle
(N+1)-og impulsa nakon omoguavanja brojaa. Ako je gejt na niskom nivou dok se upisuju
kontrolna re i podatak N, prvi takt-impuls e uitati vrednost N u broja, ali broja nee poeti sa
brojanjem sve dok gejt ne pree na visok nivo. To znai da e izlaz brojaa prei na visok nivo
posle N impulsa od prelaska gejta sa niskog na visok nivo.

V2.0 0507

A2-07-CT Uputstvo za upotrebu

11

Electronic Design

I Z LA Z

N JE U^ I TAN

N I M PU LSA

GEJT

KLOK

MOD 0: Prekid na zavretku brojanja

Nain rada 1: Hardverski okidano monostabilno kolo


Kada se upie kontrolna re za mod 1, kao i inicijalni broj N, izlaz brojaa se postavlja na
visok nivo. Rastua ivica signala na gejt-ulazu vri trigerovanje brojaa. Kada se izvri
trigerovanje, pri pojavi sledeeg takt-impulsa izlaz brojaa se postavlja na nizak nivo. Posle N taktimpulsa, izlaz brojaa se vraa na visok nivo, na kojem ostaje sve do pojave sledeeg trigera. Ako
se novo trigerovanje dogodi za vreme dok je izlaz brojaa jo uvek na niskom nivou, izlaz e ostati
na niskom nivou jo dodatnih N impulsa od tog trenutka. To znai, pri svakoj pojavi trigera na gejtulazu, broja se ponovo napuni inicijalnim brojem N, i novi ciklus startuje ponovo.

I ZLAZ

N I M PULSA

GEJT

RETRI GER

KLOK

MOD 1: Hardverski okidano monostabilno kolo

V2.0 0507

A2-07-CT Uputstvo za upotrebu

12

Electronic Design

Nain rada 2: Generator frekvencije


Kada se upie kontrolna re za mod 2, kao i inicijalni broj N, izlaz brojaa se postavlja na
visok nivo i ostaje u tom stanju, sve dok broja ne izbroji do 1. Tada, na zadnjem broju, izlaz
brojaa prelazi na nizak nivo u trajanju od jednog perioda ulaznog takta, nakon ega se ponovo
vraa na visok nivo. Ova sekvenca se ponavlja svakih N takt-ciklusa, to znai da broja u ovom
modu radi kao delitelj ulazne frekvencije sa modulom delenja N. Kada je gejt na visokom nivou,
brojanje je omogueno, a kada je gejt na niskom nivou, brojenje je onemogueno i izlaz brojaa se
postavlja na visok nivo. Kada gejt prelazi sa niskog na visok nivo, deljenje sa N se startuje ponovo.
U ovom modu rada, N mora da bude vee od 1.

I Z LAZ

JEDA N PERI OD
KLOKA

N I M PU LSA

GEJT

KLOK

MOD 2: Generator frekvencije

Nain rada 3: Generator kvadratnog talasnog oblika


Kada se upie kontrolna re za mod 3, kao i inicijalni broj N, izlaz brojaa se postavlja na
visok nivo i ostaje u tom stanju za vreme N/2 taktnih ciklusa. Nakon toga, za vreme sledeih N/2
takt-impulsa, izlaz brojaa se postavlja na nizak nivo. Ova sekvenca se kontinuirano ponavlja, pa se
kao rezultat na izlazu brojaa dobijaju periodine etvrtke. Ako je N neparan broj, izlaz brojaa je
na visokom nivou (N+1)/2 taktnih impulsa, a na niskom nivou (N-1)/2 impulsa. Gejt na visokom
nivou omoguava brojanje, a na niskom nivou onemoguava brojanje. Ako gejt-ulaz postane nizak
u periodu dok je izlaz na niskom nivou, izlaz brojaa prelazi na visok nivo trenutno. Kada se gejt
vrati na visok nivo, broja se ponovo pri nailasku sledeeg takt-impulsa napuni inicijalnim brojem
N, i sekvenca brojanja se startuje ispoetka. U ovom modu rada, N mora da bude vee od 1.

V2.0 0507

A2-07-CT Uputstvo za upotrebu

13

Electronic Design

I Z LAZ

GEJT

KLOK

MOD 3: Generator kvadratnog talasnog oblika

Nain rada 4: Softverski okidan strob-impuls


Kada se upie kontrolna re za mod 4, izlaz brojaa se postavlja na visok nivo. Brojaka
sekvenca se "trigeruje" upisivanjem inicijalnog broja N u broja. Posle (N+1)-og taktnog impulsa,
izlaz brojaa prelazi na nizak nivo i na njemu ostaje tokom trajanja jednog takt-ciklusa, nakon ega
se ponovo vraa na visok nivo. Ova sekvenca se ponavlja svaki put kada se u broja upisuje
inicijalni broj N. Ako se nova vrednost za podatak N upie pre (N+1)-og takt-impulsa, broja e
zapoeti novo brojanje, i tek nakon isteka novih (N+1) takt-impulsa, izlaz e prei na nizak nivo i
time generisati strob-impuls. Gejt na visokom nivou omoguava brojanje, a na niskom nivou
onemoguava brojanje, ali nema neposredni uticaj na izlaz brojaa.

I ZLA Z

JEDA N PERI OD
KLOKA

N+ 1 I M PU LS

GEJT

KLOK

MOD 4: Softverski okidan strob-impuls

V2.0 0507

A2-07-CT Uputstvo za upotrebu

14

Electronic Design

Nain rada 5: Hardverski okidan strob-impuls


Ovaj mod rada je slian modu 4, s tom razlikom to se trigerski signal obezbeuje sa gejtulaza brojaa. Kada se upie kontrolna re za mod 5, kao i inicijalna vrednost broja N, izlaz brojaa
se postavlja na visok nivo. Uzlazna ivica gejta trigeruje brojaku sekvencu koja startuje pri pojavi
prvog takt-impulsa. Posle (N+1)-og taktnog impulsa, izlaz brojaa prelazi na nizak nivo, i na njemu
ostaje tokom trajanja jednog takt-ciklusa, nakon ega se ponovo vraa na visok nivo. Ako se novo
trigerovanje desi pre nego to broja odbroji do 0, broja se ponovo napuni inicijalnim brojem N i
startuje nova brojaka sekvenca. Gejt ulaz u ovom modu se koristi za trigerovanje, ali nema uticaj
na brojanje i izlazni nivo brojaa.

I ZLAZ

JEDAN PERIOD
KLOKA

N+ 1 I M PULS

GEJT

KLOK

MOD 5: Hardverski okidan strob-impuls


Sledea tabela saima upravljanje, odbrojavanje i izlaz za svaki od navedenih naina rada:
NAIN
RADA
0
1

4
5

V2.0 0507

GATE

POETNI
BROJA
BROJ
'Nizak'
Rastua ivica
'Visok'
min max
Onemogueno
X
Omogueno
1
0
Nastavlja brojanje na
brojanje
brojanje
dole
Poinje brojanje
1
0
Nastavlja brojanje na
X
X
dole
OUT nizak
Onemogueno Poinje brojanje Omogueno
2
0
Uitava poetnu
brojanje
brojanje
vrednost
OUT visok
Onemogueno Poinje brojanje Omogueno
brojanje
brojanje po
OUT visok
dva
Onemogueno
Omogueno
brojanje
X
brojanje
X
Poinje brojanje
X

Uitava poetnu
vrednost
Menja OUT
Nastavlja brojanje na
dole
Nastavlja brojanje na
dole

A2-07-CT Uputstvo za upotrebu

IZLAZ

U poetku nizak
Visok posle broja 0
U poetku visok
Nizak
Visok posle broja 0
U poetku visok
Nizak na broju 1
Visok posle uitavanja
U poetku visok
Visok prvu polovinu
Nizak drugu polovinu
U poetku visok
Nizak na broju 0
U poetku visok
Nizak na broju 0

15

Electronic Design
2.3.3. 32-bitno proirenje opsega brojaa
Po dva 16-bitna brojaa opte namene mogu da se kasakadno poveu i da saine do est
brojaa sa proirenim opsegom (po dva 32-bitna brojaa na svakom brojakom modulu). Pogledati
tabelu kratkospojnika kanala opte namene u odeljku 2.4.2. za detaljno podeavanje.

2.3.4. Kvadraturni dekoder


Postavljanjem odgovarajuih kratkospojnika prema tabeli kratkospojnika kanala opte
namene u odeljku 2.4.2, po dva 16-bitna brojaa opte namene mogu da se poveu i da saine do
est kvadraturnih dekodera (po dva dekodera na svakom brojakom modulu). Inkrementalni
kvadraturni enkoderi generiu kvadratne talasne oblike, koji su pomereni u fazi, a nazivaju se
kvadraturni signali. Dekodovanjem i brojanjem ovih impulsa, mogu se odrediti brzina, smer i
relativan poloaj ureaja koga kodujemo (za koga je mehaniki spregnut inkrementalni enkoder).
Izlazi dekodera daju impulse koji pokazuju smer enkodera. Jedna izlazna linija generie impulse za
jedan smer, a druga za suprotan smer. Kada se prikljue na ulaze dva brojaa, informacije za brzinu
i smer mogu se lako odrediti periodinim itanjem i uporeivanjem sadraja brojaa. Ako se znaju
podaci o poetnom poloaju ili referentni signal, moe se odrediti i informacija o poloaju.

2.4. Instalacija kratkospojnika - opti pregled


Kratkospojnici koje konfigurie korisnik, tiu se izbora ulaznog GATE signala, kaskadnog
povezivanja brojaa i kvadraturnog dekodovanja. GATE ulazi na brojaima se omoguavaju
posredstvom spoljnih signala koji se prikljuuju na I/O konektor modula, ili pomou softverske
komande. Za 32-bitni rad, obezbeena su dva kratkospojnika za meusobno kaskadno povezivanje
brojaa. etiri kratkospojnika se upotrebljavaju za izbor kvadraturnog dekodera. Sledee sekcije
opisuju postavljanje kratkospojnika za generator frekvencije i za kanale opte namene.

2.4.1. Kanal generatora frekvencije


Kanal generatora frekvencije je sastavljen od dva namenska dela brojakog kola 8254, pa
je potrebno, da bi generator frekvencije funkcionisao, da budu omoguena dva GATE ulaza. Rad
generatora frekvencije moe se omoguiti preko SYNC IN linije ili pomou softverske komande,
instaliranjem kratkospojnika za njegov GATE kontrolni registar. GATE kratkospojnici generatora
frekvencije se mogu konfigurisati na sledei nain:
GATE KRATKOSPOJNICI ZA GENERATOR FREKVENCIJE
KRATKOSPOJNIK
1GATE 0
1GATE 1
2GATE 0
2GATE 1
3GATE 0
3GATE 1

J33
J34
J45
J46
J57
J58

Omoguen signalom
SYNC IN
2-3
2-3
2-3
2-3
2-3
2-3

SOFTVERSKI OMOGUEN*
1-2
1-2
1-2
1-2
1-2
1-2

* Postavljen fabriki

V2.0 0507

A2-07-CT Uputstvo za upotrebu

16

Electronic Design
2.4.2. Kanali za optu namenu
Kao to je prikazano u sledeim tabelama za postavljanje kratkospojnika, kanali za optu
namenu mogu se konfigurisati za 16-bitni, 32-bitni ili rad sa kvadraturnim dekoderom.
GATE KRATKOSPOJNICI KANALA OPTE NAMENE
KRATKOSPOJNIK
Kanal 10
Kanal 11
Kanal 12
Kanal 13
Kanal 20
Kanal 21
Kanal 22
Kanal 23
Kanal 30
Kanal 31
Kanal 32
Kanal 33

J35
J36
J37
J38
J47
J48
J49
J50
J59
J60
J61
J62

Hardverski omoguen
GATE*
1-2
1-2
1-2
1-2
1-2
1-2
1-2
1-2
1-2
1-2
1-2
1-2

Softverski omoguen
GATE
2-3
2-3
2-3
2-3
2-3
2-3
2-3
2-3
2-3
2-3
2-3
2-3

* Postavljeno fabriki
U sledeoj tabeli dat je pregled postavljanja kratkospojnika za CLOCK ulaz:
CLOCK KRATKOSPOJNICI KANALA OPTE NAMENE
KRATKOSPOJNIK
J27
Kanali 10 i 11 J28
J29
J30
Kanali 12 i 13 J31
J32
J39
Kanali 20 i 21 J40
J41
J42
Kanali 22 i 23 J43
J44
J51
Kanali 30 i 31 J52
J53
J54
Kanali 32 i 33 J55
J56

32-bitni broja
1-2
2-3
IN
1-2
2-3
IN
1-2
2-3
IN
1-2
2-3
IN
1-2
2-3
IN
1-2
2-3
IN

Kvadraturni dekoder
2-3
2-3
OUT
2-3
2-3
OUT
2-3
2-3
OUT
2-3
2-3
OUT
2-3
2-3
OUT
2-3
2-3
OUT

2 16-bitna brojaa*
1-2
1-2
OUT
1-2
1-2
OUT
1-2
1-2
OUT
1-2
1-2
OUT
1-2
1-2
OUT
1-2
1-2
OUT

* Postavljeno fabriki

V2.0 0507

A2-07-CT Uputstvo za upotrebu

17

Electronic Design
Dva brojaa opte namene kombinuju se za formiranje jednog 32-bitnog brojakog kanala,
ili za kvadraturni nain rada. Kada se parovi kanala 10-11, 12-13, 20-21, 22-23, 30-31 i 32-33
konfiguriu za rad sa 32 bita, 1CLK0, 1CLK2, 2CLK0, 2CLK2, 3CLK0 i 3CLK2 su ulazi brojaa, a
1OUT1, 1OUT3, 2OUT1, 2OUT3, 3OUT1 i 3OUT3 su izlazi, respektivno.
Jedan kanal za kvadraturnu detekciju zahteva dva clock ulaza. Parovi kvadraturnih ulaza
su: 1CLK0 i 1CLK1, 1CLK2 i 1CLK3, 2CLK0 i 2CLK1, 2CLK2 i 2CLK3, 3CLK0 i 3CLK1,
3CLK2 i 3CLK3. Kada se odluite za kofiguraciju, pogledajte ponovo u ove tablice da biste
osigurali odgovarajue postavljanje kratkospojnika na vaem modulu. Raspored kratkospojnika na
modulu je predstavljen na slici 2.3.
Obezbedite zatitu od statikog elektriciteta kada rukujete ureajem.

2.4.3. Biranje nivoa prekida


Modul A2-07-CT moe da generie prekid prema kontroleru, a nivo prekida moe da se
selektuje postavljanjem odgovarajuih kratkospojnika. Izvor prekida je izlaz generatora frekvencije.
Nivo prekida se odreuje kratkospojnicima J21-J26. Ne treba instalirati vie od jednog
kratkospojnika istovremeno. U sledeoj tabeli prikazano je koji kratkospojnik odgovara kojem
nivou prekida:
POSTAVLJANJE NIVOA PREKIDA
NIVO PREKIDA
KRATKOSPOJNIK

IRQ2
J21

IRQ3
J22

IRQ4
J23

IRQ5
J24

IRQ6
J25

IRQ7
J26

Prekid e da se detektuje kada izvor prekida bude na niskoj vrednosti.

2.4.4. Postavljanje modula A2-07-CT u kontroler


U sledeem tekstu opisana je procedura za postavljanje modula u kontroler.

UPOZORENJE !
Pre instalisanja MODULA proverite da li je napajanje iskljueno !
Ako ne iskljuite napajanje dok postavljate ili menjate MODULE u kontroleru,
moete otetiti MODULE, a vrlo verovatno i sam kontroler !

1. Odaberite prazan ekspanzioni slot u kontroleru u koje ete postaviti modul A2-07-CT
2. Uklonite prednju masku sa mesta predvienog za ugradnju modula

V2.0 0507

A2-07-CT Uputstvo za upotrebu

18

Electronic Design
3. Postavite modul u izabrani slot. Ovo morate uraditi veoma paljivo. Prvo, vodite rauna
da modul precizno utaknete u plastine voice predviene za usmeravanje modula ka
konektoru u kontroleru. Drugo, kada modul doe do samog konektora, morate poveati
silu pritiska, kako bi se modul ukljuio u konektor. Ako osetite bilo kakav vei otpor,
nemojte uporno pokuavati da utaknete modul poveavajui silu, ve pogledajte razlog
smetnje pravilnom voenju modula prema konektoru. Po pravilnom ukljuenju modula
u eljenu poziciju, modul treba fiksirati zavrtnjima na prednjem konektoru.

2.4.5. Konektori: povezivanje sa realnim procesom


Svi signali izlaze na I/O konektor, koji se nalazi na prednjoj strani modula.

Izlazni signali 1OUT0, 1OUT1, 1OUT2, 1OUT3, 2OUT0, 2OUT1, 2OUT2, 2OUT3,
3OUT0, 3OUT1, 3OUT2, 3OUT3, 1FOUT, 2FOUT, 3FOUT su TTL nivoa (0 i +5V).

V2.0 0507

A2-07-CT Uputstvo za upotrebu

19

Electronic Design

1FOUT
1GATE0
1CLK0
1OUT0
1GATE1
1CLK1
1OUT1
1GATE2
1CLK2
1OUT2
1GATE3
1CLK3
1OUT3
2FOUT
2GATE0
2CLK0
2OUT0
2GATE1
2CLK1
2OUT1

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20

K1

2GATE2
2CLK2
2OUT2
2GATE3
2CLK3
2OUT3
3FOUT
3GATE0
3CLK0
3OUT0
3GATE1
3CLK1
3OUT1
3GATE2
3CLK2
3OUT2
3GATE3
3CLK3
3OUT3
GND

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20

K2

Slika 2.6. Raspored signala na konektoru

V2.0 0507

A2-07-CT Uputstvo za upotrebu

20

Electronic Design

3. PROGRAMIRANJE
Ova sekcija je prvenstveno posveena objanjenju funkcija koje obavljaju razni kontrolni
registri i registri podataka, koji se nalaze na A2-07-CT. Ako planirate da piete svoj sopstveni
softver, bie potrebno da ovladate detaljima za programiranje opisanim u ovoj sekciji. Takoe,
preporuujemo da proitate Intelove podatke za 8254 Interval Timer IC. Ako koristite gotove
softverske drajvere za kontrolu modula, konsultujte uputstvo za softverske drajvere.

3.1. Napomene o adresiranju


Familija mikroprocesora 8086 adresira memoriju upotrebljavajui 16-bitni segment
registar zajedno sa 16-bitnim ofsetom za specifikaciju 20-bitne adrese, kao to sledi:
ADRESA = SEGMENT *16 + OFSET
Najvei broj programskih jezika uvaava ovu emu adresiranja. Konsultujte uputstvo za
programiranje o tome kako da itate i piete po apsolutnim memorijskim lokacijama. Nije bitno
kako delite adresnu specifikaciju na segment i ofset, ali jednostavnosti radi, pretpostaviemo da je
segment izabran tako da je bazna adresa modula sa ofsetom 0. Kada je ofset 0, adresa e odgovarati
postavljenim prekidaima na modulu.
U proceduri za programiranje koja sledi, oznake za jedan bajt se vode kao BAJT(adresa).
Na primer, bajt u lokalnom registru na adresi 40 (Hex) na modulu bie identifikovan kao
BAJT(40H). Poto je modul konfigurisan kao memorijski mapiran, programski jezik koji koristite
mora imati mogunost apsolutnog adresiranja.
Hardverski registri na modulima mogu se itati i u njih se moe pisati, kao da su RAM, sa
sledeim ogranienjima. Registri mogu biti namenjeni samo za itanje (R), samo za upisivanje (W),
ili istovremeno i za itanje i za upisivanje (R/W). U nekim sluajevima isti registar se moe koristiti
za dve razliite funkcije, u zavisnosti da li se iz njega podatak oitava, ili se podatak u njega
upisuje. U takvim sluajevima, ili u sluajevima kada je registar namenjen samo za upisivanje,
neete biti u mogunosti da oitate podatak predhodno upisan u registar. Zato, ako elite da ponovo
koristite takav podatak, morate ga sauvati u nekoj memorijskoj lokaciji kontrolera. U nekim
sluajevima, operacija oitavanja ili upisivanja moe prouzrokovati neku akciju, kao to je
resetovanje modula u njegovo poetno stanje, nezavisno od samog podatka koji je pri tome oitan
ili upisan. Budite paljivi da primenom takve komande ne izazovete neku neeljenu akciju modula.
Adresa svakog registra modula moe se izraziti po formuli (ADRESA=Bazna adresa modula A207-CT+ofset pozicije modula (tabela )+ofset regisra modula).
POZICIJA MODULA
1
2
3

V2.0 0507

A2-07-CT Uputstvo za upotrebu

OFSET POZICIJE MODULA

100h
200h
300h

21

Electronic Design

3.2. Ofseti registara


Sve adrese registara su prikazane heksadecimalno .
OFSET-ADRESE REGISTARA NA MODULU
REGISTAR ITANJE / PISANJE (R/W)
0C
W
0B
W
0A
R/W
09
R/W
08
R/W
07
W
06
05
04
03-01
00
040
000

R/W
R/W
R/W
R
R
R

FUNKCIJA
Kontrola GATE brojaa
Kontrolni registar brojaa X0-X2 (X=1,2,3)
Brojaki registar brojaa X2 (X=1,2,3)
Brojaki registar brojaa X1 (X=1,2,3)
Brojaki registar brojaa X0 (X=1,2,3)
Kontrolni registar generatora frekvencije i brojaa X3
(X=1,2,3)
Brojaki registar brojaa X3 (X=1,2,3)
Brojaki registar generatora frekvencije, viih 16 bita
Brojaki registar generatora frekvencije, niih 16 bita
Ne upotrebljava se
ID kod modula
Status prekida
ID kod kerijera

3.3. Upotreba registara


Ofset 000: itanje ID koda kerijera
itanje ovog registra vraa normalno kod 7B Hex, to znai da se modul identifikuje kao
kerijer bez digitalnog I/O. Ukoliko se u budunosti pojave softverski paketi koji ne podravaju
kerijer bez digitalnog I/O, ovaj kod je mogue promeniti. To se radi postavljanjem kratkospojnika
J13 umesto J12. Tada se modul identifikuje kodom 7D Hex, kao kerijer sa digitalnim I/O. Naravno,
digitalni I/O se ne nalazi stvarno na modulu.
Ofset 040: Status prekida
itanje ovog registra vraa status prekida. Treba samo testirati bit 6, koji reprezentuje izlaz
generatora frekvencije.
Ofset 00: itanje ID koda modula
itanje ove adrese vraa 8-bitni ID kod, koji je EA Hex.
Ofset 01 - Ofset 03:
Ne upotrebljava se.

V2.0 0507

A2-07-CT Uputstvo za upotrebu

22

Electronic Design
Ofset 04: Brojaki registar generatora frekvencije, niih 16 bita
Niih 16 bita (N1) delitelja u generatoru frekvencije mogu da se postave upisom u ovaj
registar, ili mogu da se proitaju itanjem ovog registra. Pre pokuaja da proitate stanje brojaa,
prvo morate da izdate Counter-Latch komandu ili Read-Back komandu, kao to je objanjeno pod
Ofset 07.
Ofset 05: Brojaki registar generatora frekvencije, viih 16 bita
Viih 16 bita (N2) delitelja u generatoru frekvencije mogu da se postave upisom u ovaj
registar, ili mogu da se proitaju itanjem ovog registra. Pre pokuaja da proitate stanje brojaa,
prvo morate da izdate Counter-Latch komandu ili Read-Back komandu, kao to je objanjeno pod
Ofset 07.
Ofset 06: Brojaki registar brojaa 3
Upis u ovaj registar postavlja 16-bitnu poetnu vrednost za broja 3. itanje registra vraa
16-bitnu vrednost koja se trenutno nalazi u brojakom registru. Pre pokuaja da proitate stanje
brojaa, prvo morate da izdate Counter-Latch komandu ili Read-Back komandu, kao to je
objanjeno pod Ofset 07.
Ofset 07: Kontrolni registar generatora frekvencije i brojaa 3
Upis u ovaj registar odreuje funkcije i rad kanala za generisanje frekvencije i brojaa 3.
Prvo su date uloge bitova u registru, a zatim i detalji raznih funkcija. Kada se programira kanal za
generator frekvencije, treba da se selektuju samo naini rada 2 i 3.
BIT
7
6
5
4
3
2
1
0

OZNAKA
SC 1
SC 0
RW 1
RW 0
M2
M1
M0
BCD

FUNKCIJA
Izbor generatora frekvencije ili brojakog kanala 3;
ili, read-back komanda
Counter-Latch komanda;
ili, kontrola formata ITANJE / PISANJE
Izbor naina rada
1 - brojanje u BCD kodu; 0 - binarno brojanje

Counter-Latch komanda e zapamtiti tekue stanje izabranog brojaa, tako da on moe da


se oita. Ovo ne utie na rad brojaa; oni e nastaviti da odbrojavaju kao i ranije. Kada se izda
Counter-Latch komanda, postavljanjem RW 1 i RW 0 na nulu, tada bitovi 6 i 7 odreuju broja ije
stanje treba da se zapamti, kao to sledi:
SC 1
0
0
1
1

V2.0 0507

SC 0
0
1
0
1

IZABRANI BROJA
Generator frekvencije (niih 16 bita)
Generator frekvencije (viih 16 bita)
Broja na kanalu 3
Read-Back komanda

A2-07-CT Uputstvo za upotrebu

23

Electronic Design

Read-Back komanda, odreena kada su SC 0 (bit 6) i SC 1 (bit 7) oba postavljena na 1,


redefinie znaenje ostalih 6 bita, kako sledi:
BIT
7
6
5
4
3
2
1
0

NAZIV
SC 1
SC 0
CNTR*
STAT*
CNTR3
GEN H
GEN L

FUNKCIJA
Read-Back komanda,
SC 0 i SC 1, oba = 1
Zapamti oitanje izabranih brojaa, ako je = 0
Zapamti status izabranih brojaa, ako je = 0
Izabran broja 3, ako je = 1
Izabran generator frekvencije, viih 16 bita, ako je = 1
Izabran generator frekvencije, niih 16 bita, ako je = 1
Uvek = 0

* Oznaava da je bit aktivan ako je 0


Ako je STAT (bit 4) jednak 0, STATUS BAJT se moe dobiti itanjem izabranog
brojakog registra, kao to je definisano bitovima 1, 2 i 3. Ako su za dati kanal izabrani i status i
stanje brojaa, tada e prvo oitanje tog kanala vratiti status, a sledee jedno ili dva (zavisno od
programiranog naina rada) e vratiti zapameno stanje brojaa. Format status bajta je sledei:
BIT
7
6
5
4
3
2
1
0

NAZIV
OUT
NULL
RW 1
RW 0
M2
M1
M0
BCD

FUNKCIJA
Izlazni pin je 'visok' ako je = 1
Null stanje ako je = 1
Nain rada za koji je ispitivani kanal programiran

Bitovi u formatu za kontrolu itanja / pisanja odreuju koji bajtovi e se itati ili pisati, i
interpretiraju se na sledei nain:
RW 1
0
0
1
1

V2.0 0507

RW 0
0
1
0
1

FORMAT ITANJA / PISANJA


Counter-latch komanda
Samo nii bajt
Samo vii bajt
Prvo nii bajt, zatim vii bajt

A2-07-CT Uputstvo za upotrebu

24

Electronic Design
Bitovi za izbor naina rada odreuju nain rada po kome e raditi izabrani broja. Ranije
su ve dati opisi raznih naina rada. Interpretacija bitova je sledea:
M2
0
0
0
0

M1
0
0
1
1

M0
0
1
0
1

1
1

0
0

0
1

NAIN RADA
Prekid na kraju odbrojavanja
Hardveski okidani monostabilni rad
Generator frekvencije
Generator kvadratnog talasnog
oblika
Softverski okidan strob-impuls
Hardverski okidan strob-impuls

Ofset 08: Brojaki registar brojaa 0


Upis u ovaj registar postavlja 16-bitnu poetnu vrednost za broja 0. itanje registra vraa
16-bitnu vrednost koja se trenutno nalazi u brojakom registru. Pre pokuaja da proitate stanje
brojaa, prvo morate da izdate Counter-Latch komandu ili Read-Back komandu, kao to je
objanjeno pod Ofset 0B.
Ofset 09: Brojaki registar brojaa 1
Upis u ovaj registar postavlja 16-bitnu poetnu vrednost za broja 1. itanje registra vraa
16-bitnu vrednost koja se trenutno nalazi u brojakom registru. Pre pokuaja da proitate stanje
brojaa, prvo morate da izdate Counter-Latch komandu ili Read-Back komandu, kao to je
objanjeno pod Ofset 0B.
Ofset 0A: Brojaki registar brojaa 2
Upis u ovaj registar postavlja 16-bitnu poetnu vrednost za broja 2. itanje registra vraa
16-bitnu vrednost koja se trenutno nalazi u brojakom registru. Pre pokuaja da proitate stanje
brojaa, prvo morate da izdate Counter-Latch komandu ili Read-Back komandu, kao to je
objanjeno pod Ofset 0B.
Ofset 0B: Kontrolni registar brojaa 0 -2
Upis u ovaj registar odreuje funkciju i rad brojaa na kanalu 0, kanalu 1 i kanalu 2. Prvo
je opisano znaenje bitova u registru, a zatim su dati i detalji raznih funkcija.
BIT
7
6
5
4
3
2
1
0

V2.0 0507

NAZIV
SC 1
SC 0
RW 1
RW 0
M2
M1
M0
BCD

FUNKCIJA
Izbor brojaa na kanalu 0, 1 ili 2;
ili, read-back komanda
Counter-Latch komanda;
Ili, kontrola formata ITANJE / PISANJE
Izbor naina rada
1 - brojanje u BCD kodu; 0 - binarno brojanje

A2-07-CT Uputstvo za upotrebu

25

Electronic Design

Counter-Latch komanda e zapamtiti tekue stanje izabranog brojaa, tako da on moe da


se oita. Ovo ne utie na rad brojaa; oni e nastaviti da odbrojavaju kao i ranije. Kada se izda
Counter-Latch komanda, postavljanjem RW 1 i RW 0 na nulu, tada bitovi 6 i 7 odreuju broja ije
stanje treba da se zapamti, kao to sledi:
SC 1
0
0
1
1

SC 0
0
1
0
1

IZABRANI BROJA
Kanal 0
Kanal 1
Kanal 2
Read-Back komanda

Read-Back komanda, odreena kada su SC 0 (bit 6) i SC 1 (bit 7) oba postavljena na 1,


redefinie znaenje ostalih 6 bita, kako sledi:
BIT
7
6
5
4
3
2
1
0

NAZIV
SC 1
SC 0
CNTR*
STAT*
CNTR2
CNTR1
CNTR0

FUNKCIJA
Read-Back komanda,
SC 0 i SC 1, oba = 1
Zapamti oitanje izabranih brojaa, ako je = 0
Zapamti status izabranih brojaa, ako je = 0
Izabran broja 2 ako je = 1
Izabran broja 1 ako je = 1
Izabran broja 0 ako je = 1
Uvek = 0

* Oznaava da je bit aktivan ako je 0


Ako je STAT (bit 4) jednak 0, STATUS BAJT se moe dobiti itanjem izabranog
brojakog registra, kao to je definisano bitovima 1, 2 i 3. Ako su za dati kanal izabrani i status i
stanje brojaa, tada e prvo oitanje tog kanala vratiti status, a sledee jedno ili dva (zavisno od
programiranog naina rada) e vratiti zapameno stanje brojaa. Format status bajta je sledei:
BIT
7
6
5
4
3
2
1
0

V2.0 0507

NAZIV
OUT
NULL
RW 1
RW 0
M2
M1
M0
BCD

FUNKCIJA
Izlazni pin je 'visok' ako je = 1
Null stanje ako je = 1
Nain rada za koji je ispitivani kanal programiran

A2-07-CT Uputstvo za upotrebu

26

Electronic Design

Bitovi u formatu za kontrolu itanja / pisanja odreuju koji bajtovi e se itati ili pisati, i
interpretiraju se na sledei nain:
RW 1
0
0
1
1

RW 0
0
1
0
1

FORMAT ITANJA / PISANJA


Counter-latch komanda
Samo nii bajt
Samo vii bajt
Prvo nii bajt, zatim vii bajt

Bitovi za izbor naina rada odreuju nain rada po kome e raditi izabrani broja. Ranije
su ve dati opisi raznih naina rada. Interpretacija bitova je sledea:
M2
0
0
0
0

M1
0
0
1
1

M0
0
1
0
1

1
1

0
0

0
1

NAIN RADA
Prekid na kraju odbrojavanja
Hardveski okidani monostabilni rad
Generator frekvencije
Generator kvadratnog talasnog
oblika
Softverski okidan strob-impuls
Hardverski okidan strob-impuls

Ofset 0C: Kontrola GATE-a brojaa


Ako su odgovarajui kratkospojnici postavljeni za softversku kontrolu GATE ulaza, upis u
ovaj registar e ukljuiti ili iskljuiti GATE ulaze na razliitim brojaima. Znaenje bitova je
sledee:
BIT
7
6
5
4
3
2
1
0

FUNKCIJA
Ne upotrebljava se
Ne upotrebljava se
GATE brojaa 3
GATE brojaa 2
GATE brojaa 1
GATE brojaa 0
GATE generatora frekvencije 'visok'
GATE generatora frekvencije 'nizak'

NAPOMENA: GATE je omoguen kada je odgovarajui bit = 1

V2.0 0507

A2-07-CT Uputstvo za upotrebu

27

Electronic Design

4. PROCEDURE ZA PROGRAMIRANJE
Sledee procedure su date kao primeri za programiranje ovog modula. Ovde nije namera
da one budu iscrpna lista programskih mogunosti.

4.1. Procedura za inicijalizaciju brojaa


BA=Bazna adresa modula A2-07-CT+ofset pozicije modula (tabela )
POZICIJA MODULA
1
2
3

OFSET POZICIJE MODULA

100Hex
200Hex
300Hex

Sledea procedura e inicijalizovati brojaki kanal na modulu 1, 2 ili 3:


Korak 1. Postavite inicijalni kontrolni bajt za programiranje brojakog koda, naina rada brojaa
(0 do 5) i format za itanje i pisanje (16-bitni ili 8-bitni).
Korak 2. Upiite kontrolni bajt u odgovarajui kontrolni registar, BAJT (BA+07Hex) ili BAJT
(BA+0BHex). Upis u kontrolni registar sa brojakim kodom u bitovima 6 i 7, resetuje
brojaki registar toga brojaa i onemoguava brojanje. Izlazni signal se postavlja u
zavisnosti od specificiranog naina rada.
Korak 3. Morate upisati inicijalni broj u odgovarajui brojaki registar, BAJT (BA+08Hex),
BAJT (BA+09Hex), BAJT (BA+0AHex), ili BAJT (BA+06Hex). Ako je broja
programiran za 16-bitni rad, upiite prvo nii bajt, a zatim vii bajt eljenog broja. Oba
bajta se piu u isti registar.
NAPOMENA: Inicijalni broj koji je programiran u modovima rada 2 i 3, ne moe biti 1.

4.2. Procedura za omoguavanje rada brojaa


Ako je brojaki kanal, pomou kratkospojnika, konfigurisan za softverski kontrolisan
GATE, sledea procedura e omoguiti ili onemoguiti eljeni brojaki kanal.
Korak 1. Postavite bajt za omoguavanje. Poto ovaj registar kontrolie sva etiri kanala i
generator frekvencije, morate dobro paziti da postavite korektno sve bitove za
omoguavanje. Bajt za kontrolu treba da ima sve bitove koji odgovaraju brojau ili

V2.0 0507

A2-07-CT Uputstvo za upotrebu

28

Electronic Design
generatoru frekvencije postavljene na 1, ako je sekcija predviena za softversku
kontrolu, odnosno na 0, ako sekcija nije predviena za softversku kontrolu.
Korak 2. Upiite bajt za omoguavanje u registar za kontrolu GATE-a, BAJT (BA+0CHex). Ovaj
registar ne moe da se ita, pa morate da zapiete ovaj bajt u programsku memoriju, ako
hoete da ga koristite.

4.3. Procedura za itanje brojaa


Sedea procedura e zapamtiti i proitati tekui satus i stanje brojaa. Postoje i druge
opcije za oitavanje, a broja se takoe moe proitati bez pamenja stanja.
Korak 1. Postavite kontrolni bajt za iitavanje. Za itanje statusa i stanja brojaa, ovaj bajt treba
da bude 0C2Hex za kanale 10, 20 i 30, 0C4Hex za kanale 11, 21 i 31, i 0C8Hex za
kanale 12 i 13. Stanje i status mogu, opciono, da budu proitani i odvojeno.
Korak 2. Upiite kontroni bajt u odgovarajui kontrolni registar, BAJT (BA+07Hex) ili BAJT
(BA+0BHex). Ovo e zapamtiti status i stanje, koji e biti sledei bajtovi iitani iz
brojakog registra.
Korak 3. Proitajte status bajt iz odgovarajueg brojakog registra, BAJT (BA+08Hex), BAJT
(BA+09Hex), BAJT (BA+0AHex) ili BAJT (BA+06Hex).
Korak 4. Proitajte bajt(ove) stanja iz brojakog registra. Ako je broja programiran da radi sa 16
bita, prvo proitajte nii bajt, a zatim vii bajt stanja. Oba bajta se itaju iz istog
registra. Vodite rauna: Ako su kanali 0 i 1 kratkspojnicima postavljeni za 32-bitni rad,
moraju se upisati dva kontrolna bajta za iitavanje, zato to su ovi brojai na razliitim
ipovima (vidi korak 1). Vrednost koja se proita moe biti nevaea ako se na ulazu
pojave impulsi izmeu operacija itanja. Proitajte prvo niih 16 bitova, potom viih 16
bitova, a zatim ponovo proitajte niih 16 bitova, da biste odredili da li se moda
dogodila promena, to je greka.
Ako nije bilo prijema impulsa na brojakom ulazu od kada je programiran inicijalni
broj, bit 6 u status bajtu bie 1, i stanje koje se proita bie neodreeno. Poto je
primljen prvi impuls, proitani broj e biti isti kao i programirani inicijalni broj. Posle
toga, inicijalni broj e biti smanjivan sa svakim primljenim impulsom. Broj e se
smanjivati za jedan ili dva, zavisno od programiranog naina rada.

4.4. Procedura za inicijalizaciju generatora frekvencije


Sledea procedura e inicijalizovati kanal za generisanje frekvencije.
Korak 1. Postavite kontrolni bajt za inicijalizaciju da biste programirali kod za prvu sekciju
generatora, za nain rada (0 do 5) i format za itanje / pisanje (16-bita ili 8-bita).
Korak 2. Upiite kontrolni bajt u kontrolni registar, BAJT (BA+07Hex). Upis u kontrolni registar
koda za generatorsku sekciju u bitovima 6 i 7, resetuje brojaki registar sekcije
V2.0 0507

A2-07-CT Uputstvo za upotrebu

29

Electronic Design
generatora frekvencije i onemoguava brojanje. Izlazni signal se postavlja zavisno od
specificiranog naina rada.
Korak 3. Postavite inicijalni kontrolni bajt da biste programirali kod za drugu sekciju generatora
frekvencije, nain rada (0 do 5) i format za itanje / pisanje (16 bita ili 8 bita).
Korak 4. Upiite kontrolni bajt u kontrolni registar, BAJT (BA+07Hex).
Korak 5. Upiite prvi broj u prvi brojaki registar, BAJT (BA+04Hex). Ako je sekcija generatora
frekvencije programirana za 16-bitni rad, prvo upiite nii bajt, a zatim vii bajt
eljenog broja. Oba bajta se upisuju u isti registar.
NAPOMENA: Inicijalni broj koji je programiran u modovima rada 2 i 3, ne moe biti 1.
Korak 6. Upiite drugi broj u drugi brojaki registar, BAJT (BA+05Hex). Ako je sekcija
generatora frekvencije programirana za 16-bitni rad, prvo upiite nii bajt, a zatim vii
bajt eljenog broja. Oba bajta se upisuju u isti registar.

4.5. Procedura za omoguavanje rada generatora frekvencije


Ako je generator frekvencije pomou kratkospojnika konfigurisan za softverski
kontrolisani GATE, sledea procedura e omoguiti ili onemoguiti rad generatora frekvencije.
Korak 1. Postavite bajt koji omoguava rad. Poto ovaj registar kontrolie sva etiri brojaka
kanala i generator frekvencije, morate biti sigurni da ste postaviti sve bitove ispravno.
Bajt za omoguavanje mora imati sve bitove koji se odnose na broja ili generator
frekvencije postavljene na 1, ako je sekcija softverski omoguena, odnosno na 0, ako
sekcija nije softverski omoguena.
Korak 2. Upiite bajt za omoguavanje u brojaki registar za kontrolu GATE-a, BAJT
(BA+0CHex). Ovaj registar se ne moe oitavati, tako da bajt morate sauvati u
programskoj memoriji.

V2.0 0507

A2-07-CT Uputstvo za upotrebu

30

Electronic Design

5. TESTIRANJE
Preporuujemo da testirate va modul pre prve upotrebe, a zatim vrite periodine provere,
da biste osigurali tanost merenja.
Potrebna oprema:
20 MHz osciloskop
20 MHz frekvencmetar
A2 kontroler
Softverske rutine za itanje i pisanje u registre A2-07-CT modula.
Procedura:
[1]

Iskljuite kontroler.

[2]

Ubacite modul u jedan od slotova za proirenje u kontroleru.

[3]

Ukljuite napajanje kontrolera.

[4]

Pomou vaeg softvera, omoguite sve brojae i generator frekvencije.

[5]

Prespojte izlaz generatora frekvencije na CLOCK ulaze sva etiri brojaa.

[6]

Programirajte sve brojae kao generatore frekvencije (nain rada 2).

[7]

Postavite oba registra na kanalu generatora frekvencije na N = 2.

[8]

Prikljuite frekvencmetar na izlaz generatora frekvencije. Treba da proitate


frekvenciju od 2 MHz 160 Hz.

[9]

Postavite brojaki registar brojaa 0 na 10, brojaa 1 na 20, brojaa 2 na 40 i brojaa 3


na 80.

[10] Koristei frekvencmetar, potvrdite sledee frekvencije


Kanal 0 200 KHz 16 Hz
Kanal 1 100 KHz 8 Hz
Kanal 2 50 KHz 4 Hz
Kanal 3 25 KHz 2 Hz.
[11] Kraj testa.

V2.0 0507

A2-07-CT Uputstvo za upotrebu

31

Electronic Design

6. PRIMENE, KVADRATURNI DEKODER


Sa izuzetkom kvadraturnog dekodovanja, funkcije obezbeene modulom A2-07-CT su
opte namene. Ova glava se bavi primenom kvadraturnog dekodera, poinjui od osnovne teorije
funkcionisanja i opisa signala. Kasnije sekcije u ovoj glavi bave se detaljima primene.

6.1. Osnove rada kvadraturnog enkodera /dekodera


Pojam kvadratura se odnosi na dve periodine funkcije, fazno pomerene za etvrtinu
perioda ili 90. Primeri ovih funkcija su reaktivne struje ili naponi koji se mogu nai u kapacitivnim
ili induktivnim kolima. U ovom poglavlju razmatrani su signali proizvedeni u inkrementalnom
optikom kvadraturnom enkoderu. Enkoder je nazvan inkrementalni, jer je njegov izlaz relativan
obzirom na poetnu poziciju, i poto meri samo inkremente pomaka.

6.1.1. Enkodovanje
Inkrementalni enkoderi su raspoloivi kao linearni ili, uobiajeno, kao rotacioni tip. Ovde
je objanjen rad rotacionog inkrementalnog enkodera.

Inkrementalni enkoderi
Glavni delovi rotacionog inkrementalnog enkodera su: enkoderski disk, svetlosni izvor i
fotodetektori, uz pojaavaka kola koja uobliavaju izlaze iz fotodetektora. Enkoderski disk ima
oznake ili zareze koji su ravnomerno rasporeeni po obodu. Kada disk rotira, svetlost pada na
fotodetektor sa svakim nailaskom oznake ili zareza. Pojaavai dalje uobliavaju signal
fotodetektora u kvadratnu formu. Kvadraturni signali se dobijaju upotrebom dva fotodetektorska
kompleta, gde je jedan postavljen tano pola irine oznake ili zareza u odnosu na drugi. U ovakvoj
konfiguraciji, moe se lako odrediti smer rotacije praenjem relativne faze izmeu signala. Na
primer, ako kanal A prethodi kanalu B, tada se moe rei da se disk kree u smeru suprotnom smeru
kretanja kazaljke na satu (CCW). Shodno tome, ako kanal B prethodi kanalu A, moe se rei da je
kretanje u smeru kazaljke na satu (CW).
Uobiajeno, rotacioni enkoderi takoe imaju dodatnu, posebnu oznaku ili zarez na disku,
koja se upotrebljava za generisanje referentnog impulsa. Odgovarajuim dekodovanjem i brojanjem
ovih signala, moe se odrediti smer kretanja, brzina i relativan poloaj enkodera.
Broj impulsa po jednom obrtaju (po kanalu), jednak je broju oznaka na obodu diska. Kada
se izvri dekodovanje pomou A2-07-CT, broj dekodovanih impulsa je ustvari etiri puta vei od
ovog broja. Rezolucija se mnoi zato to kolo generie impuls na bilo kojoj uzlaznoj ili silaznoj
ivici, i na jednom i na drugom faznom signala. Sve u svemu, rotacioni kvadraturni enkoderi
obezbeuju do tri izlazna signala, dva kvadratna signala pomerena u fazi za 90, i, esto i trei
signal, koji obezbeuje jedan referentni impuls po obrtaju.

6.1.2. Dekodovanje

V2.0 0507

A2-07-CT Uputstvo za upotrebu

32

Electronic Design
Dekodovanje signala koji su generisani kvadraturnim enkoderom, neto je tee razumeti
nago tehniku enkodovanja. Ipak, razumevanje obrade signala, u velikoj meri e pomoi kod
primene A2-07-CT za dekodovanje kvadraturnih signala u odreenoj konkretnoj situaciji.
Osnovni zadatak dekodera je da obezbedi dve ulazne brojake linije: jedne, koja proizvodi
taktne impulse samo pri CCW kretanju, i druge, koja prizvodi taktne impulse pri CW kretanju. Ovi
impulsi se zatim vode na brojake ulaze na A2-07-CT (jedan kanal za CCW, a drugi za CW
brojanje). Sadraji brojaa se mogu porediti pomou softvera, a relativni poloaj enkodera se moe
nai iz njihove razlike.
Velika prednost ovakvog pristupa je da je, u stvari, brojanje preputeno hardverskom
ureaju, ime se oslobaa raunar za druge poslove. Raunar samo periodino mora da proita
stanje brojaa i da na brzinu obavi oduzimanje.

Teorija rada dekodera


Prvo, blie upoznavanje sa kvadraturnim signalima (slika 6.1.), bie od koristi. U ovom
primeru, smer rotacije je CCW ako faza A prednjai ispred faze B, a CW ako faza A zaostaje za
fazom B.
Dekodersko kolo detektuje prelazna stanja i generie impuls na ulazu odgovarajueg
brojakog kanala, zavisno od toga da li je prelazno stanje u CW ili CCW smeru. Slika 6.1. prikazuje
ove signale. Imajte na umu da vremenska osa ne mora da bude linearna. Mehaniki ureaj moe da
menja brzinu, kao i smer.
PROMENA SMERA

FAZA A

FAZA B

CW IMPULSI

CCW IMPULSI

Slika 6.1. Kvadraturni signali

6.2. Primer primene: Merenje brzine i ubrzanja osovine


V2.0 0507

A2-07-CT Uputstvo za upotrebu

33

Electronic Design
Poseban zadatak, koji se razmatra u ovom primeru, je merenje ubrzanja i brzine osovine
koju pokree korani motor. Razliiti profili ubrzanja mogu se programirati u kontroleru motora, a
treba da se prikupe podaci o performansama motora i kontrolera pod razliitim optereenjima.
Podaci treba da se prikau grafiki u toku procesa, kao i da se sauvaju za kasniju analizu.

6.2.1. Opti deo


Izlazi optikog inkrementalnog enkodera mogu se interpretirati tako da daju informaciju o
poloaju i/ili o brzini obrtanja. Ubrzanje se moe odrediti nalaenjem prvog izvoda brzine.
Naravno, sistem za prikupljanje podataka moe imati vei broj ulaza i izlaza, tako da raunar moe
u isto vreme da sakuplja i druge informacije, ili da kontrolie nekoliko razliitih ureaja.
Naravno, apsolutni poloaj se dobija ako se zna gde je ureaj bio kada je proces poeo, ili
ako se on dovede do kalibracione ili poetne pozicije pre poetka procesa. Obino raunar zna
kada je ureaj u poetnom poloaju pomou prekidaa koji se tada zatvara, ili po prekidanju
svetlosnog snopa. U nekim sluajevima, kao i u ovom primeru, aktuelna pozicija nije od znaaja,
ve su od interesa samo podaci o brzini i ubrzanju.

6.2.2. Softver
Prvo je napisan jednostavan program za testiranje hardvera. Kasnije, kada je hardver
verifikovan, program opte namene e biti koriten za finalnu primenu.
Test program samo odreuje poziciju enkodera. Takoe, moe da se odredi i brzina
obrtanja, bilo merenjem frekvencije niza impulsa sa izlaza dekodera, bilo izraunavanjem na
osnovu vremena i rastojanja izmeu dva poloaja. Pseudolisting programa dat je u nastavku.

Listing pseudokoda, test program za kontinualno prikazivanje relativnog poloaja


[1]
[2]

Inicijalizacija. Osigurajte se da hardver i softver startuju od poznatog stanja.


Programirajte brojae za eljeni nain rada i poetni broj. Brojai broje na dole; za
dugo trajanje procesa, programirajte veliki poetni broj.
Postavite enkoder u poetni poloaj.
Omoguite brojae.
Sledee aktivnosti treba da se obavljaju u petlji, sve do zavretka:

[3]
[4]
[5]

Proitajte oba brojaa. Obustavite proces ako je bilo koji od njih u stanju underflow.
Oduzmite stanje jednog brojaa od drugog.
Konvertujte razliku u eljene jedinice i prikaite ih. Razlika je srazmerna pomaku.
Proverite da li je sve uraeno.
Kraj petlje.

U ovom test programu operator prvo postavlja enkoder u poetni poloaj, zatim raunar
neprekidno iitava i prikazuje trenutni poloaj u stepenima. Brojai broje na dole od poetnog
broja, pri emu je maksimalni poetni broj 65535 (16 bita). Program poredi vrednosti u gornjem i
donjem brojau, oduzima ih i prikazuje relativni poloaj osovine u odnosu na poetnu poziciju.
Enkoderi koji su upotrebljeni imaju 128 impulsa po obrtaju; broj im je konvertovan u stepene za
prikazivanje. Poto dekoder generie impuls na bilo kojoj, uzlaznoj ili silaznoj ivici, na bilo kojem
od dva fazna signala, po jednom obrtaju se generie 512 impulsa. Na taj nain, rezolucija se dobija
kada se 360 stepeni podeli sa 512, to iznosi neto bolje od 3/4 stepena.
V2.0 0507

A2-07-CT Uputstvo za upotrebu

34

Electronic Design
Nakon to je hardver verifikovan upotrebom gornjeg programa, trai se i grafiki prikaz
brzine i ubrzanja. Poto ovo zahteva grafike ekrane, precizni tajming i kompleksnu matematiku,
izabran je ve postojei program za tu namenu. Sa softverskim paketom koji je upotrebljen
(Labtech Notebook), svaka funkcija, kao to je ulaz i izraunavanje, ostvarena je pomou gotovih
funkcionalnih blokova i tretira se kao kanal.
U ovoj aplikaciji, dva kanala su postavljena kao brojai (jedan broji impulse pri kretanju
na gore, a drugi ih broji pri kretanju na dole), a etvrti kanal izraunava razliku meu brojakim
kanalima. Ukljueni su i kanali koji izraunavaju brzinu obrtanja osovine (prvi izvod) i njeno
ugaono ubrzanje (drugi izvod).
Labtech Notebook obezbeuje prikazivanje rezultata merenja i njihove obrade kroz
odgovarajui setup. Prikaz je tako konfigurisan da na ekranu prikae razliku brojaa u numerikom
obliku, a brzinu i ubrzanje u obliku vremenskog dijagrama. U ovom primeru, enkoder je prikljuen
na korani motor, koji je upravljan da pree na novu poziciju pomou programa koji kontrolie
njegovu brzinu obrtanja i ubrzanje. Ovi podaci se mogu lako logovati u fajl, zajedno sa svim
drugim podacima, ako se to eli, za potrebe kasnije analize.

V2.0 0507

A2-07-CT Uputstvo za upotrebu

35

Electronic Design

7. TEHNIKE KARAKTERISTIKE
SPECIFIKACIJA MODULA A2-07-CT
Sve vrednosti iz specifikacije odnose se na temperaturne uslove od 25oC
PARAMETAR
Generator frekvencije
Visoki izlazni nivo
Niski izlazni nivo
Kvarcni oscilator
Izlazna frekvencija
Tanost frekvencije
Brojaki i kvadraturni kanali
Broj kanala
Maksimalna frekvencija kloka
Napajanje i temperaturni opseg
Napajanje
Presek provodnika za oienje
Radna temperatura modula
Dimenzije modula
Temperaturni opseg

V2.0 0507

SPECIFIKACIJA
3.4 V min.
0.5 V max.
8 MHz 0.01 %
0.002 Hz 2 MHz
0.008 % @ 25 oC ; 0.015 % 0 70 C
12 (16-bitna) ili 6 (32-bitna) ili 6 kvadraturna dekodera
8 MHz max.
+5 V, manje od 700 mA
0.25 do 0.5 mm
0 - 70 oC
188.00 mm X 122.00 mm
0 70 C

A2-07-CT Uputstvo za upotrebu

36

Electronic Design

8. PREGLED OSNOVNIH POJMOVA


U nastavku su navedene rei i izrazi od posebnog znaaja za razumevanje i pravilno
shvatanje predhodno izloene materije.

Apsolutna adresa (Absolute Address)


Adresa koja je stalno pridruena pojedinim lokacijama u raunarskoj memoriji. Programski jezik
koji koristite mora imati sposobnost apsolutnog memorijskog adresiranja, poto se A2-07-CT
konfigurie kao memorijski mapiran I/O modul.

Apsolutni enkoder (Absolute Encoder)


Izlaz apsolutnog enkodera daje na paralelnim digitalnim linijama jednoznanu informaciju o
poziciji. Brojanje nije potrebno, niti postoji poetna pozicija. Svaka pozicija enkodera generie
razliitu izlaznu binarnu kombinaciju. Interfejs apsolutnog enkodera nije komplikovan i zbog toga
ga treba koristiti ako se eli informacija o statikoj poziciji. Ako je na raspolaganju mogunost
kalibracije poloaja i/ili ako se zahteva informacija o brzini obrtanja ili ubrzanju, tada je mnogo
bolje koristiti inkrementalni enkoder.

Bazna adresa (Base Address)


Bazna (osnovna) adresa je najnia adresa u bilo kojoj memorijskoj mapi. U A2-07-CT, bazna
adresa, selektovana DIP prekidaima na modulu, je adresa u odnosu na koju se raunaju svi ofseti
(ofset registri, blok adresa modula itd.). Apsolutna adresa se dobija kombinovanjem bazne adrese sa
jednim ili vie ofseta ili relativnih adresa, kao blok adresa modula plus ofset registra modula.

Generator grupe impulsa - barst generator (Burst generator)


Barst generator je hardversko kolo koje generie grupu impulsa. Ta grupa impulsa moe se
periodino ponavljati. Barst generatori se tipino koriste kao pejser izvori kada se prikupljaju
podaci sa grupe analognih ulaznih kanala. Barst generatori su esto vremenski upravljani sa
generatora frekvencije ili vremenske baze, proizvodei grupu impulsa za svaki primljeni ulazni
impuls.

Format kontrolne rei (Control Word Format)


Ofset adrese modula A2-07-CT, 07(Hex) i 0B(Hex), lociraju dva registra kontrolne rei (Control
Word). Ofset adresa 0B je pridruena kanalima 0, 1 i 2. Ofset adresa 07 kontrolie brojaki kanal 3 i
generator frekvencije. Svaki kanal se moe pojedinano programirati, na bilo koji nain rada. Opis
kontrolnih registara dat je u sekciji 3.3.
Napomena:
1. Pre nego to se koristi, svaki kanal mora biti predhodno programiran.

V2.0 0507

A2-07-CT Uputstvo za upotrebu

37

Electronic Design
2. Kontrolna re mora biti upisana pre upisivanja inicijalnog broja. Inicijalni brojevi (8-bitni
ili 16-bitni) su upisani u brojake registre pojedinih kanala, a ne u kontrolni registar.
3. Dve posebne komande, Counter-Latch komanda i Read-Back komanda, moraju biti
upisane u registar kontrolne rei u svrhu pamenja broja i/ili informacije o statusu pre
operacije itanja.

Counter-Latch komanda (Counter-Latch Command)


Ova komanda se koristi da "zamrzne" sadraj brojakog registra pojedinih kanala pre operacije
itanja. Kada su bitovi RW1 i RW0 kontrolne rei u stanju logike nule, Counter Latch komanda je
implementirana. Bitovi SC1 i SC0 specificiraju koji kanal(i) e biti zapameni. Kada su bitovi SC1
i SC0 u stanju logike jedinice, Read-Back komanda je definisana.
Napomena :
1. Broj prestaje biti zapamen posle operacije oitavanja.
2. Ako je oitavanje brojaa programirano za 2-bajtni rad, nii bajt se oitava prvi. Sledee
oitanje daje vii bajt.

Kontrolni registar gejta (Gate Control Register)


Ako se postave odgovarajui kratkospojnici, kontrolni registar gejta (ofset 0C(Hex)) se moe
koristiti za softversku kontrolu funkcije gejta. Kontrolni registar gejta je registar u koji je mogue
jedino upisivanje, a bajt koji omoguava kontrolu gejta nije mogue ponovo oitati. Zbog toga je
potrebno taj bajt zapamtiti u memoriji kontrolera.

Inkrementalni kvadraturni enkoder (Incremental Quadrature Encoder)


Inkrementalni enkoder se koristi za detekciju rotacionog ili linearnog pomeranja i relativnog
poloaja. Enkoder i brojako kolo ine detekcioni sistem. Ovakvi enkoderi nazivaju se
inkrementalnim, poto je izlaz relativan u odnosu na poetnu poziciju, a meri se samo promena
poloaja. Apsolutna pozicija se odreuje uz poznavanje poetne pozicije ili dovoenjem u poetnu,
kalibracinu poziciju pre poetka mernog procesa.
Inkrementalni kvadraturni enkoder generie dva razliita signala pravougaonih impulsa, koji nisu
meusobno u fazi. Oni se nazivaju kvadraturnim signalima. Uspenim dekodiranjem i brojanjem tih
impulsa, mogu se odrediti brzina, smer kretanja i relativna pozicija enkodera.
A2-07-CT sadri kolo za dekodovanje koje obrauje dva odvojena kanala (4 ulaza) kvadraturnih
signala.

IRQ0 Linija (IRQ0 Line)


Zahtev za prekid nultog nivoa. Ovo je signalna linija koja se koristi da indicira kontroleru da modul
zahteva opsluivanje.

Memorijski mapirani ulazi/izlazi (Memory Mapped I/O)


Memorijski mapirani ulazi/izlazi odnose se na grupu memorijskih lokacija rezervisanih za
specifinu funkciju koja tretira ulazno/izlazne registre kao memoriju. Memorijski referirane
instrukcije se koriste za prenos podataka u i iz takvih registara.

Blok adresa modula (Module Block Address)


V2.0 0507

A2-07-CT Uputstvo za upotrebu

38

Electronic Design
Blok adresa modula je relativna ili ofset adresa u odnosu na baznu adresu A2-07-CT. Adresa
registra modula ima, s druge strane, ofset u odnosu na ofset adresu modula. Blok adrese modula na
A2-07-CT su fiksirane i iznose 100Hex, 200Hex i 300Hex.

Identifikacija modula (Module ID)


Module ID je jednoznaan, 8-bitni kod, koji se koristi za identifikaciju modula. ID-kod je
zapamen u read-only ofset registru na svakom modulu. Softverske rutine mogu koristiti ID kod da
odrede koji je modul prisutan i kakve funkcije stoje na raspolaganju.

Master Link softverski drajveri (Master Link Software Drivers)


Master Link softverski drajveri (Master Link Software Libraries) omoguavaju lako programiranje i
koritenje svih ED modula.

Ofseti registara - ukupni pregled (Offsets Summary)


Ukupni pregled ofseta adresa registara modula, dat je u sekciji 3.2.

Prekoraenje navie (Overflow)


Prekoraenje navie nastaje kada broja pree svoj maksimalno dozvoljeni broj. A2-07-CT koristi
16-bitne programabilne brojae nanie. Kada je dostignuta nula, broja se automatski prebacuje na
najvii mogui broj, ija je vrednost FFFF (Hex), u istom nainu rada.

Pejser (Pacer)
Pejser se odnosi na bilo koji izvor povorke impulsa, kao to je generator frekvencije, barst
generator, vremenska baza, klok, ili, jednostavno, serija otvaranja i zatvaranja kontakta. Ti impulsi
se tipino koriste da hardverski iniciraju proces A/D konverzije na analognom ulaznom modulu.
Na primer, impulsi koji dolaze putem SYNC OUT linije PC-basa sa kanala generlatora frekvencije
na modulu A2-07-CT, mogu se koristiti kao pejsing-signal na analognom ulaznom modulu.

Pejserski ulaz (Pacer Input)


Pejserski ulaz nekog instrumentacionog modula se koristi da inicira odreene procese na modulu,
bazirane na hardverskom vremenskom upravljanju. Upravljaki signali mogu doi iz razliitih
izvora, ukljuujui druge module, generator frekvencije ili neki spoljni izvor. U analognom
ulaznom modulu, pejserski ulaz se koristi za startovanje A/D konverzije hardverskim signalom, za
razliku od softverske komande. Koritenjem precizno odmerenih vremenskih impulsa pejsera,
mogue je vriti tano odmeravanje vremenskih talasnih oblika. Pejserski ulazi na drugim
modulima, kao to su modul za proirenje broja analognih ulaznih kanala, koriste se za brzo
skaniranje grupe ulaznih kanala. Ta mogunost se koristi u aplikacijama gde vremenski ili fazni
odnosi meu razliitim signalima moraju biti tano utvreni.

PC bas (PC bus)

V2.0 0507

A2-07-CT Uputstvo za upotrebu

39

Electronic Design
Moduli komuniciraju meusobno i sa PC-kontrolerom posredstvom standardizovane sabirnice
podataka : PC-basa. Pojedini moduli obavljaju aktuelne zadatke prikupljanja podataka, pri emu
svaki ima specifinu funkciju. PC bas podrava standardni pristup memoriji i ulazno / izlaznim
jedinicama u kompjuteru, a isto tako omoguava razmenu analognih, sinhronizacionih i trigerskih
signala meu modulima.

Kvadratura (Quadrature)
Termin "kvadratura" odnosi se na dva periodina signala, koji se meusobno razlikuju u fazi za
etvrtinu ciklusa, odnosno 90 el. stepeni. Kada se generiu kvadraturnim enkoderom, moe se
odrediti smer kretanja mehanikog ureaja na osnovu toga koji od dva kvadraturna signala
prednjai u fazi u odnosu na drugi. Period talasnog oblika bilo kojeg od signala, inverzno je
proporcionalan sa brzinom ureaja (odnosno, frekvencija je direktno proporcionalna).

Kvadraturni dekoder (Quadrature Decoder)


Namena kvadraturnog dekodera je da dekodira signale dobijene iz kvadraturnog enkodera. Osnovni
zadatak mu je da proizvede dva niza impulsa na osnovu faznog odnosa izmeu kvadraturnih
signala. Jedan niz impulsa indicira kretanje u jednom smeru, a drugi niz indicira kretanje u
suprotnom smeru. Ovi impulsi se vode u brojaka kola, gde se registruje informacija o smeru
kretanja. Modul A2-07-CT ima dvokanalni kvadraturni dekoder.

Read-Back komanda (Read-Back Command)


Read-Back komanda se koristi da leuje podatak o broju i/ili statusu, pre operacije oitavanja. Ako
su bitovi 6 i 7 (SC0, SC1) kontrolne rei setovani na stanje logike jedinice, upravljaki bitovi 0-5
su definisani kao to je prikazano u sekciji 3.3. Ako je leovan status (bit 4=0), tada je prvi bajt koji
se oitava sa selektovanog kanala, bajt statusa. Ako je leovan broj (bit 5=0), tada e sledeih jedan
ili dva bajta (zavisno od Read/Write formata na koji je kanal bio programiran) koji se oitavaju, biti
leovan broj na brojau. Kada je jednom selektovani kanal oitan, broj i status postaju neleovani.
Ako je selektovano vie kanala, njihov broj i status ostaju leovani sve dok se ne oitaju.

Operacije oitavanja / upisivanja (Read/Write Operations)


Ako ne koristite ED-Link softverske drajvere da biste komunicirali sa modulom A2-07-CT, sledee
informacije e vam pomoi kao smernica pri pisanju vaih sopstvenih rutina.
Napomena : Neki registri na modulu su iskljuivo namenjeni za oitavanje (Read-Only), odnosno
upisivanje (Write-Only). Pogledajte sekciju 3.2.Ofseti registara, gde je dat pregled tipova svih ofset
registara. Sledea razmatranja odnose se na operacije oitavanja/upisivanja stanja i upravljanje
registrima.
Postoje tri naina za oitavanje podataka sa brojaa: prosta operacija oitavanja, Counter-Latch
komanda i Read-Back komanda. Za provoenje operacije prostog oitavanja, klok ulaz
selektovanog brojaa mora biti onemoguen blokiranjem gejt-ulaza, bilo softverskom kontrolom
gejta, bilo spoljnom hardverskom kontrolom, zavisno od stanja postavljenih kratkospojnika. Ako
gejt nije blokiran, broj koji se oitava moe biti u stanju promene, to e dati pogrean rezultat.
Counter-Latch i Read-Back komande daju mogunost da se leuje broj i status pre operacije
oitavanja. Pogledajte Counter-Latch komandu, Read-Back komandu i status bajt za blie
objanjenje ovih operacija.
Kada primenjujete operaciju upisivanja, morate imati dva pravila na umu. Prvo, kontrolna re mora
biti upisana pre upisivanja inicijalnog broja. Drugo, inicijalni broj mora slediti format specificiran u
V2.0 0507

A2-07-CT Uputstvo za upotrebu

40

Electronic Design
kontrolnoj rei (pogledajte format kontrolne rei). Novi inicijalni broj moe biti upisan u brojaki
registar bilo kada, bez obzira na programski mod brojaa. Brojanje e biti obavljeno kao to je
opisano u objanjenju naina rada brojaa. Novi broj mora slediti programirani format.

Rezolucija (Resolution)
Rezolucija obrtnog inkrementalnog optikog enkodera se odreuje preko broja izlaznih impulsa
proizvedenih pri jednom obrtaju. Najmanji raspoznatljiv prirataj, u stepenima, moe se odrediti
deljenjem 360 stepeni sa brojem impulsa po obrtaju. Obrtni kvadraturni enkoder, iako ima isti broj
indikacionih markica na enkoderskom disku, ima etiri puta veu rezoluciju nego ne-kvadraturni
enkoder. Kada se dekodira, izlaz sadri impulse za svaku rastuu i padajuu ivicu oba ulazna
signala. Kolo kvadraturnog dekodera zahteva stabilan izvor klok impulsa. Dekoder na modulu A207-CT koristi kristalni oscilator od 8 MHz instalisan na ploi kao izvor klok impulsa. Maksimalna
frekvencija ulaznih impulsa za dekoder je 1.33 MHz. Zato, broj obrtaja u sekundi enkodera,
pomnoen sa brojem impulsa enkodera po obrtaju, ne sme prei 1.33 miliona impulsa u sekundi.

Specifikacije (Specifications)
Detaljne specifikacije modula date su u sekciji 7. Tehnike karakteristike.

Statusni bajt (Status Byte)


Format statusnog bajta dat je u sekciji 3.3. Ako je u Read-Back komandi setovan bit "Latch Status",
statusni bajt je prvi bajt koji se oitava sa selektovanog brojakog registra.

Ulazna i izlazna sinhronizaciona linija (SYNC IN, SYNC OUT)


U optem sluaju, ove linije omoguavaju sinhronizaciju dogaaja i akcija pri komunikaciji izmeu
pojedinih modula i delova sistema. Konkretna priroda ovih linija zavisi od samog modula. U
modulu A2-07-CT znaenja ovih linija su sledea:
SYNC IN linija ima ulogu gejta za generator frekvencije i hardverski omoguava njegov rad (ako je
odgovarajui kratkospojnik postavljen).
SYNC OUT linija predstavlja, u stvari, izlaz iz generatora frekvencija i ima oznaku RG. Ovaj izlaz
je takoe prikljuen na IRQ0 liniju modula.

Vremenska baza (Time Base)


U sistemima za prikupljanje podataka, vremenska baza je izvor dobro poznatih i tanih vremenskih
impulsa. Vremensku bazu tipino ini visokostabilni kristalni oscilator, kolo delitelja koje se koristi
da obezbedi razliite frekvencije i izlazni bafer ili linijski drajver.
Vremenska baza se koristi za upravljanje prikupljanjem podataka na vie naina: generisanjem
ravnomerno odmerenih signala za startovanje A/D konverzije pri registrovanju talasnih formi; kao
referentni signal pri merenju frekvencije ili perioda; kao generator impulsa za sekvenciranje
digitalnih kola; ili kao izvor klok impulsa za kontrolu vremena i u primenama totalizacije.

Prekoraenje nanie (Underflow)


U brojakim kolima prekoraenje nanie nastaje pri oitanju manjem od prihvatljivog minimuma.
Na primer, ako je broj 0000(Hex) detektovan pri brojanju nanie od vrednosti 000F(Hex) koristei
V2.0 0507

A2-07-CT Uputstvo za upotrebu

41

Electronic Design
A2-07-CT broja, svaka vrednost od FFFF(Hex) do 0010(Hex) e indicirati prekoraenje nanie.
(Broja automatski prebacuje stanje oko najvie vrednosti nakon dekrementiranja posle nule).

Z kanal (Z Channel)
Z kanal je uobiajeni naziv koji se odnosi na referentni ili kalibracioni kanal na inkrementalnom
obrtnom enkoderu. Odvojeni marker za Z kanal na enkoderskom disku proizvodi jedan impuls za
svaki obrtaj. Taj signal moe se koristiti za detekciju greke brojanja na drugim kanalima, ili se
moe koristiti za odreivanje apsolutne pozicije enkodera.

V2.0 0507

A2-07-CT Uputstvo za upotrebu

42

Electronic Design

BELEKE

V2.0 0507

A2-07-CT Uputstvo za upotrebu

43

Anda mungkin juga menyukai