Anda di halaman 1dari 17

Mata Kuliah

: Sistem Digital

Dosen Pembimbing : Alona Situmeang Kelas Di susun oleh : 2KB05 : Lusiana Febrian Summahadi Andre Raditya P Bayu Pirmansyah 24110099 22110685 20110728 21110355

1. Pengertian Seven Segmen Tugas umum dari suatu rangkaian digital ialah menkodekan dari bahasa mesin ke bilangan desimal. Peralatan keluaran yang biasa di gunakan untuk memperagakan bilangan desimal adalah peraga tujuh segmen seven segmen display), yang di tunjukan pada gambar 1.1. Seven segmen tersebut di labelkan dengan huruf standar dari a sampai g. 10 display pertama,yang menyatakan digit desimal 0 sampai 9, di tunjukan pada Gambar 1.3. Sebagai contoh , bila segmen b,c,f,g menyala pada seven segmen display, maka muncul desimal 4, seperti gambar 1.2.

Gambar 1.1 Seven Segmen Display

Gambar 1.2 Seven Segmen Display 4 desimal

Gambar 1.3 Seven Segment 2

Gambar 1.4 Desimal-desimal dengan peraga khusus

Seven segmen display di buat dengan beberapa cara . Tiap tujuh segmen tersebut dapat berupa filamen tipis yang berpijar . Jenis peraga ini di sebut peraga pijar (incandescent display), dan sama dengan lampu bolam biasa. Display jenis lain adalah tabung pelepasan gas (gasdischarge) yang beroperasi pada tegangan tinggi. Unit ini menghasilkan sinar jingga. Tabung yang berpender (fluorescent) akan menghasilkan sinar hijau bila menyala dan beroperasi pada tegangan rendah. Peraga kristal cairan (LCD,liquid-crystal display) yang lebih baru, menghasilkan angka-angka yang berwarna kelabu atu putih perak. Dioda pemancar cahaya( LED, Light Emiting Diode) menghasilkan cahaya yang kemerahmerahan . Gambar 1.5 menunjukan catu daya 5 volt yang dihubungkan pada suatu LED tunggal. Bila saklar (sw1) ditutup, maka arus akan mengalir didalam rangkaian tersebut dan akan menyalakan LED. Arus yang mengalir didalam rangkaian ini besarnya adalah sekitar 20 mA, yang merupakan arus khusus yang diperlukan untuk suatu LED. Resistor 150 ohm ditempatkan pada rangkaian tersebut ialah untuk membatasi arus sampai 20mA. Tanpa resistor tersebut, LED akan terbakar. LED hanya dapat menerima sekitar 1,7 V pada terminal-terminalnya. Oleh karena berupa dioda, LED sensitif terhadap poaritas. Katoda (K) harus dihubungkan ke negatif (GND) dari catu daya. Anoda (A) harus dihubungkan ke positif dari catu daya (VCC)

Gambar 1.5 Operasi Suatu Dioda Pemancar-Cahaya (LED)

Seven segment display adalah sebuah rangkaian yang dapat menampilkan angka-angka desimal maupun heksadesimal. Seven

segment display biasa tersusun atas 7 bagian yang setiap bagiannya merupakan LED (Light Emitting Diode) yang dapat menyala. Namun dalam
sebuah seven segment biasanya ditambahkan 1 LED lagi yang berfungsi sebagai tanda (.) /titik sehingga dalam sebuah seven segment terdapat 8 LED

Jika 7 bagian dioda ini dinyalakan dengan aturan yang sedemikian rupa, maka ketujuh bagian tersebut dapat menampilkan sebuah angka heksadesimal.

Seven segment sering dijumpai pada kalkulator, jam digital, penunjuk antrian, display angka digital, termometer digital dan penunjuk angka pada lampu merah. Penggunaan secara umum adalah untuk menampilkan informasi secara visual mengenai data-data yang sedang diolah oleh suatu rangkaian digital.

Prinsip kerja seven segmen ialah input biner pada switch dikonversikan masuk ke dalam decoder, baru kemudian decoder mengkonversi bilangan biner tersebut menjadi decimal, yang nantinya akan ditampilkan pada seven segment.

Seven segment dapat menampilkan angka-angka desimal dan beberapa karakter tertentu melalui kombinasi aktif atau tidaknya LED penyusunan dalam seven segment. Untuk memudahkan penggunaan seven segment, umumnya digunakan sebuah decoder( mengubah/ mengkoversi input bilangan biner menjadi decimal) atau seven segment driver yang akan mengatur aktif tidaknya led-led dalam seven segment sesuai dengan nilai biner yang diberikan.

Seven-segment display membutuhkan 7 sinyal input untuk mengendalikan setiap diode di dalamnya. Setiap diode dapat

membutuhkan input HIGH atau LOW untuk mengaktifkannya, tergantung dari jenis seven-segmen display tersebut. Jika Seven-segment bertipe common-cathode, maka dibutuhkan sinyal HIGH untuk mengaktifkan setiap diodenya. Sebaliknya, untuk yang bertipe common-annide, dibutuhkan input LOW untuk mengaktifkan setiap diodenya.

Salah satu cara untuk menghasilkan sinyal-sinyal pengendali dari suatu seven segment display yaitu dengan menggunakan sebuah seventsegment decoder. Seven-segment decoder membutuhkan 4 input sebagai angka berbasis heksadesimal yang dinyatakan dalam bahasa mesin (bilangan berbasis biner) kemudian sinyal-sinyal masukan tersebut akan diterjemahkan decoder ke dalam sinyal-sinyal pengendali sevensegment display. Sinyal-sinyal pengendali berisi 7 sinyal yang setiap sinyalnya mengatur aktif-tidaknya setiap LED.

Pada dekoder BCD ke seven segment digunakan untuk menerima masukan BCD 4-bit dan memberikan keluaran yang melewatkan arus melalui segmen untuk menampilkan angka desimal. Jenis dekoder BCD ke seven segment ada dua macam yaitu dekoder yang berfungsi untuk menyalakan seven segment mode common anoda dan dekoder yang berfungsi untuk menyalakan seven segment mode common katoda.

Contoh IC converter BCD to Seven Segment untuk 7-segment Common Anoda pake decoder IC TTL 7447 yang akan dijelaskan pada makalah ini.

2. Jenis-jenis Konfigurasi Seven Segmen Konfigurasi Seven Segmen terdiri dari 2 jenis, common-cathode dan common anoda.
a. Common Anoda

Semua anoda dari LED dalam seven segmen disatukan secara paralel dan semua itu dihubungkan ke VCC, dan kemudian LED dihubungkan melalui tahanan pembatas arus keluar dari penggerak LED. Karena dihubungkan ke VCC, maka COMMON ANODA ini berada pada kondisi AKTIF LOW (led akan menyala/aktif bila diberi logika 0).

Gambar 2.1 Common Anoda Pin Gambar 2.2 Rangkaian LED Common Anode

Prinsip Kerja Common Anoda dengan IC 74LS47

Prinsip kerja seven segmen ialah input biner pada switch dikonversikan masuk ke dalam decoder, baru kemudian decoder

mengkonversi bilangan biner tersebut menjadi decimal, yang nantinya akan ditampilkan pada seven segment. Seven segment dapat

menampilkan angka-angka desimal dan beberapa karakter tertentu melalui kombinasi aktif atau tidaknya LED penyusunan dalam seven segment. Untuk memudahkan penggunaan seven segment, umumnya digunakan sebuah decoder( mengubah/ mengkoversi input bilangan biner menjadi decimal) atau seven segment driver yang akan mengatur aktif tidaknya led-led dalam seven segment sesuai dengan nilai biner yang diberikan. Dekoder BCD ke seven segment digunakan untuk menerima masukan BCD 4-bit dan memberikan keluaran yang melewatkan arus melalui segmen untuk menampilkan angka desimal. Jenis dekoder BCD ke seven segment ada dua macam yaitu dekoder yang berfungsi untuk menyalakan seven segment mode common anoda dan dekoder yang berfungsi untuk menyalakan seven segment mode common katoda. Contoh IC converter BCD to Seven Segment untuk 7-segment Common Anoda pake decoder IC TTL 7447 untuk Common Katoda pake IC TTL 7448. Salah satu contoh saja, IC 74LS47 merupakan dekoder BCD ke seven segment yang berfungsi untuk menyalakan seven segmen mode common anode. Gambar dan konfigurasi pin IC 74LS47 ditunjukkan pada gambar berikut :

Logic Symbol Connection Diagram

Gambar 2.3 Gambar pin dan konfigurasi pin IC 74LS47

Dekoder BCD ke seven segment mempunyai masukan berupa bilangan BCD 4bit (masukan A, B, C dan D). Bilangan BCD ini dikodekan sehingga membentuk kode tujuh segmen yang akan menyalakan ruas-ruas yang sesuai pada seven segment. Masukan BCD diaktifkan oleh logika 1, dan keluaran dari dekoder 7447 adalah aktif low. Tiga masukan ekstra juga ditunjukkan pada konfigurasi pin IC 7447 yaitu masukan (lamp test), masukan (blanking input / ripple blanking output), dan (ripple blanking input). Berikut adalah Tabel kebenaran dari IC 74LS47:

Tabel 2.1 kebenaran dari IC 74LS47

Pada konfigurasi pin IC 7447 yaitu masukan (lamp test), masukan (blanking input/ripple blanking output), dan (ripple blanking input). LT , Lamp Test, berfungsi untuk mengeset display, bila diberi logika 0 maka semua keluaran dari IC ini akan berlogika 0. Sehingga seven segment akan menunjukkan angka delapan (8). BI/RBO , BlankingInput/Row Blanking Output, berfungsi untuk mematikan keluaran dari IC. Bila diberi logika 0 maka semua keluaran IC akan berlogika 1 dan

seven segment akan mati. RBI , Row Blanking Input, berfungsi untuk mematikan keluaran dari IC jika semua input berlogika 0. Bila diberi logika 0, diberi logika 1 dan diberi logika 0 maka semua keluaran IC akan berlogika 1 dan seven segment akan mati. Aplikasi utama dari ic 7447 adalah untuk mengkonversi kode BCD ke bilangan desimal yang sesuai Ic 7447 digunakan sebagai ic decoder di banyak aplikasi. Kita dapat menggunakan IC ini untuk counter. Ic ini juga dapat digunakan untuk menggerakkan lcd.

b. Commond Cathode Common Katoda merupakan kebalikan dari Common Anoda. Disini semua katoda disatukan secara parallel dan dihubungkan ke GROUND. Karena seluruh katoda dihubungkan ke GROUND, maka COMMON KATODA ini berada pada kondisi AKTIF HIGH (led akan menyala/aktif bila diberi logika 1).

Gambar 2.4 Common Cathode Pin

Gambar 2.5 Rangkaian LED Common Cathode

Tabel 2.2 Tabel kebenaran pada 7 segment decoder

10

Dekoder tersebut memiliki 7 keluaran yang masing-masing keluarannya memiliki fungsi tertentu. Kita dapat mendapatkan 7 buah fungsi Boolean a, b, c, d, e, f, dan g dengan membuat peta Karnaugh nya sebagai berikut :

a = ABD + ABC + BD + AC + BC + AD

b = ACD + ACD + ACD + BC + BD

c = BC + BD + CD + AB + AB

11

d = BCD + BD + BC + CD + AD + AB

e = BD + CD + AB + AC

f = ABC + ACD + ABD + CD + BD

g = ABD + BC D + BC + AC + AB Dengan demikian, kita dapat memperoleh fungsi-fungsi Booleannya :

12

Jika pengimplementasian semua fungsi tersebut dalam dekoder dengan penggunaan gerbang yang terpisah (setiap gerbang hanya dipakai untuk 1 fungsi) maka akan membutuhkan 34 gerbang AND dan 7 gerbang OR.

Seven Segmen to BCD Pada penggunaan kalkulator bilangan bilangan pada tombol harus dialihkan ke BCD. Jika bilangan-bilangan hendak diperagalkan, perlu dilakukan pengalihan dari BCD ke tujuh segment. Sejumlah serpih CMOS telah disediakan untuk melakukan fungsi dari encoder dan decoder data. Dekoder tujuh segmen sangat berguna bagi peragaan decimal. Piranti ini mengubah sebuah nibble BCD menjadi keluaran yang dapat menggerakkan peraga tujuh segmen. Pada rangkaian 7-Segment To BCD digunakan CMOS dengan beberapa type yaitu type 4001, 4011, 4002 dan 4009. Dalam rangkaian terdapat 10 gerbang NOR, 8 gerbang NAND dan 4 inverter. Gambar berikut ini menjelaskan latar belakang gagasan peraga LED tujuh segmen.

13

Gambar 2.6 Rangkaian 7-Segment To BCD

14

SEGMENT IDENTIFICATION

f g

c e c

TABEL KEBENARAN

DIGIT a g 0 1 1 1 2 0 0 1 0 0 0 b

INPUT (MASUKAN) c d e f

OUTPUT(KELUARAN) D C B A

15

0 3 0 4 0 5 0 6 0 7 1 8 0 9 0 0 0 0 0 1 0 1 0 0 1 0 0 0 0 0 0 1 0 0 0 0 0 0 1 1 1 0 1 1 1 0 1 0 0 0 0 0 1 1 0 0 1 0 0 1 0 0 1 0 0 1 0 0 1 1 0 0 1 0 0 0 0 0 0 1 1 0 0 1 1

0 = SEGMENT ACTIVE 1 = SEGMENT OFF Dari tabel kebenaran diatas dapat dilihat bahwa jika kita ingin memperagakan angka 7, decoder menyalakan LED a, b, c dan dihasilkan output dalam bentuk biner 0111. Peragaan tujuh segmen tidak terbatas pada penyajian bilangan decimal saja. Misalnya, dalm beberapa penguji

mikroprosesor, peraga tujuh segmen dipakai untuk menunjukkan angka-angka heksadesimal. Digit A, C, E dan F diperagakan

dalam bentuk huruf besar; sedangkan digit B disajikan sebagai huruf kecil b (LED c, d, e, f, g); dan digit D sebagai huruf kecil d (LED b, c, d, e, g).

16

Daftar Pustaka

[1]

Soedarto, Gatot. Dasar-Dasar Sistem Digital . Surabaya: Usaha Nasional, 1989.

[2]

Tokheim,L.R. dan Sutisna : Prinsip-Prinsip Digital. Erlangga,1996.

Jakarta :

[3]

http://www.alldatasheet.com/datasheetpdf/pdf/51080/FAIRCHILD/74L

17

Anda mungkin juga menyukai