Anda di halaman 1dari 60

1. A processzor felptse, utastskszlete. Utastsok szerkezete, cmzsi mdok. Utastsszmll s utasts-regiszter. Az utasts-feldolgozs elemi lpsei.

Mi a processzor s hol helyezkedik el? A mikroprocesszor az alaplapon elhelyezked olyan nagy bonyolultsg flvezet eszkz, amely tbbek kztt dekdolja s vgrehajtja az utastsokat, vezrli a mveletek elvgzshez szksges bels adatforgalmat s a csatlakoz perifrilis berendezsek tevkenysgeit. A processzor felptse

Az egyes processzorok felptsben jelents eltrseket tapasztalhatunk, de mindegyikre jellemzek a kvetkez ptelemek: Vezrlegysg, azaz CU (Control unit) Aritmetikai s logikai egysg, azaz ALU (Arithmetical-Logical-Unit) Regiszterkszlet Buszillesztegysg, azaz BIU Cmszmt s vdelmi egysg, AU(Address Unit) Bels gyrsttr, azaz L1 cache Az elz rszegysgek kommunikcijt biztost eszkzk (mikroszmtgpeknl a bels snrendszer). A vezrlegysg (CU) Feladata a programban lev utastsok alapjn a teljes szmtgp rszegysgeinek irnytsa s sszehangolsa. - Irnytja az ramkrk mkdst, illetve biztostja, hogy a megfelel adatok a megfelel helyen s idben rendelkezsre lljanak. Ehhez kt legfontosabb regisztere:

PC (Program Counter), mely a soronkvetkez utasts trolbeli cmt tartalmazza. IR (Instructio Register), mely a memribl kiolvasott utastst trolja.

Aritmetikai logikai egysg (ALU) Az utastskdokban elrt aritmetikai s logikai mveleteket hajtja vgre. Alkalmas binris s tbbnyire decimlis sszeadsra, Boole algebrai mveletek elvgzsre, komplemens kpzsre, valamint adatok lptetsre bitenknt jobbra vagy balra. Minden egyb adatkezelsi mvelet, amelynek elvgzse a CPU feladata, felbonthat az elbb felsorolt alapmveletekre. Tbbnyire a kvetkez kt regisztert mindig megtallhat bennk: AC (Accumlator Register), mely a mveletvgrehajtsnl az adatok tmeneti trolsra szolgl. FLAG regiszter, azaz llapotjelz regiszter, melyben a vgrehajtott utasts kvetkeztben megvltozott llapotok kerlnek bitenknt kdolsra.
A CPU teht az utastsszmll regiszter segtsgvel kiolvastatja a memribl annak a memriarekesznek a tartalmt, amely a soron kvetkez utastst trolja. Az utasts mveleti kdrsze alapjn meghatrozza, hogy sorrendben milyen mveletet kell vgrehajtani. Az utasts alapjn rtelmezi, hogy milyen cmen tallhatk a mveletben rsztvev adatok, vezrli ezek kiolvasst, s a megfelel regiszterbe trtn tovbbtsukat. Az aritmetikai - logikai egysggel vgrehajtatja a megfelel mveletet s belltja az utastsszmll j tartalmt.

Busz illesztegysg (BIU) Biztostja a processzor kapcsoldst a kls snrendszerhez. Cmszmt s vdelmi egysg (AU) Feladata a programutastsokban tallhat cmek lekpzse a ftr fizikai cmeire s a trolvdelmi hibk felismersre. Bels gyorsttr (L1 Cache) A ftrbl kiolvasott utastsok s adatok tmeneti trolsra szolgl. Regiszterek Olyan gyors rhat - olvashat munkatrak, amelyek klnbz feladatokhoz vannak hozzrendelve s ezen feladatok elvgzshez szksges adatokat troljk. Bels snrendszeren keresztl tartanak kapcsolatot a processzor ms rszeivel. Vannak n. ltalnos regiszterek s vannak rendszerregiszterek, amelyek felhasznli programok ltal nem hasznlhatak. Trolkapacitsuk tbbnyire egy sz. ltalban statikus memriaelemekbl (pl.: flip - flop ramkrk) plnek fel. Utasts szmll regiszter (IP, PC) Utasts regiszter Bziscm regiszter Index regiszter llapot regiszter Veremmutat regiszter Puffer regiszter Utastskszlet, az utastsok szerkezete

A processzorok egyik fontos jellemzje, hogy hny s milyen tpus utasts vgrehajtsra kpesek. A processzor szmra rtelmezhet utastsok sszessgt nevezzkutastskszletnek. Az utastskszletben klnbz tpus gpi utastsok lehetnek, ezek felptskben is eltrhetnek. Mveleti rsz: a processzor szmra az elvgzend feladatot hatrozza meg. Mdost rsz: ltalban a mveleti rsz s a cm rsz rtelmezshez ad kiegszt informcit. Cmrsz: a mvelet vgrehajtshoz szksges adatok helyt hatrozza meg a szmtgp troljban. ?!? MMX stb. utasts kszletekrl rni. Tovbb RISC - CISC rviden.

Utasts tpusok Az utaststpusok a kvetkezkppen csoportosthatk: tviteli utastsok: trol, veremkezel (PUSH/POP), perifria Mveleti utastsok: aritmetikai (ADD) s logikai mveletek (AND), lptets Vezrl utastsok: a program vgrehajtst, a gp mkdst befolysoljk; felttel nlkli, s feltteles ugrs (JMP, JNZ), szubrutinhvs (CALL), megszakts engedlyezs/tilts Cmzsi mdok A gpi utastsok abban is klnbznek egymstl, hogy az adatokra val hivatkozst milyen formban tartalmazzk. Ezeket cmzsi eljrsoknak szoktk nevezni. Abszolt cmzs Az utasts cmrszben az operandus valdi, pontos cme tallhat a regiszter vagy memria pontos cme. Az abszolt cmzst fknt regiszterek cmzsre hasznljk, memrik cmzsre kevsb. Ennek az az oka, hogy a programokat nem mindig azonos memriacmre tltjk be, hanem oda ahol ppen van szabad hely a memriban.
Pldul: MOV AX, [0 0 4 H] jelentse, hogy a trol 004 hexadecimlisan rtelmezhet cmrl tltsd be az adatot az akumltor regiszterbe.

Relatv cmzs Relatv cmzs esetn a gpi utasts-cmrsze az adatok valamilyen alapcmhez viszonytott relatv cmt tartalmazza. A tnyleges fizikai cmet gy kapjuk meg, hogy az alapcmhez hozzadjuk a relatv cmet. Relatv cmzst alkalmazunk akkor, ha biztostani szeretnnk, hogy programjaink a memria tetszleges helyre betltve is futkpesek legyenek. Ezt elrhetjk azzal, hogy a program utastsaiban csak a program elejhez viszonytott relatv cmeket alkalmazunk. A program betltsekor ilyenkor be kell tlteni a bzisregiszterbe a program elejnek trbeli cmt. Az alapcm helye alapjn lehet: Bzisrelatv, ebben az esetben a bzisregiszterben van az alapcm. Programrelatv, ebben az esetben a program memriabeli kezdetnek (tltsi helynek cme) az alap. Utastsrelatv, azaz az ppen vgrehajtott utasts. Ekkor az utastsszmll regiszter tartalmazza.
Pldul: MOV AX, [ BP +4] jelentse, hogy a BP bzisregiszterben tallhat cmhez adj hozz 4-et s az gy keletkezett tartalmat tltsd be az akkumultor regiszterbe.

Kzvetlen adat cmzs Ezt a cmzsi eljrst szoktk mg rtkad cmzsnek, lcmzsnek vagy literlcmzsnek is nevezni. Ebben a fajta cmzsben az utastsban maga az az adat tallhat meg, amellyel a mveletet vgre kell hajtani. Ezt a cmzsi eljrst a programokban ltalban a regiszterek konkrt szmrtkekkel (konstansokkal) val feltltsre hasznljuk. A szmrtk nagysga ersen korltozott, mivel az utasts cmrszbe kerl. ltalban kisebb rtk konstansokkal val munkhoz lehet alkalmazni, gyorsasga s kis helyignye miatt.
Pldul: MOV AX, 0 jelentse, hogy tlts be az akkumultorba nullt.

Verem cmzs Van egy olyan memriacmzsi md, amelyet majdnem minden szmtgp alkalmaz valamely formban, ez pedig a veremcmzs. A verem (stack) egy regisztertr a CPU-ban, vagy egy kijellt memriaterlet a ftrban, amelybl az utoljra bert adatot lehet elszr kiolvasni (LIFO). Az adatsorrend a bemeneti sorrenddel ellenkez kimeneti sorrend, gy pldul az elszr bert adatot lehet majd utoljra kiolvasni a verembl. A verem egyik legfontosabb alkalmazsa a CPU llapotnak elmentse abban az esetben, ha egy program vgrehajtst tmenetileg fel kell fggeszteni. Kzvetett cmzs A kzvetett cm egy olyan memriaterletet cmez meg, amelyben az adat tnyleges cme van. A kzvetett cmet sokszor pointernek vagy mutatnak is szoks nevezni.

Index cmzs Szles krben van szksg arra, hogy adatsorozatokon kelljen elvgezni valamilyen mveletet. Ezekben az esetekben azt kell megoldani, hogy valamilyen egyszer formban, utastssal ciklikusan sorra el tudjuk venni az egyes trolhelyeken lv adatokat. Erre j az index cmzs. Az adatsorozat els elemnek trolsi cmt tartalmazza az utasts cmrsze s az indexregiszterben (IX) tallhat az ettl val eltrs, azaz hogy hnyadik elemet kell a sorozatbl feldolgozni. Az indexregiszter tartalmnak folyamatos nvelsvel (vagy cskkentsvel) vgig tudunk haladni az sszes adaton, trolhelyen. Mivel egy-egy adat elkeresse utn mindig nvelni (cskkenteni) kell az indexregiszter tartalmt, ezrt alkalmaznak olyan megoldsokat is, ahol ez a nvels automatikusan megtrtnik. Ezt a lehetsget nevezik autindexelsnek. A trolhely pontos cme az utasts cmrsze tartalmnak (mint alapcmnek) s az indexregiszter tartalmnak sszeadsval jn ltre. Az indexregiszter tartalmt folyamatosan nvelve, vgig lehet jrni a teljes adatsort.
Az indexelt utasts s a relatv cmzsi md igen hasonlt egymshoz, mert mindegyiknl egy alapcmtl val eltrs adja meg a pontos trolhely cmet. Azonban lnyeges klnbsg van a ktfle cmzsi lehetsg kztt. A relatv cmzsnl az alapcm egy regiszterben van s ennek rtkt nem vltoztatjuk folyamatosan, az indexelt utastsok esetben az alapcm az utastsban van s a folyamatosan vltoz rsz az indexregiszterben tallhat.

Utasts szmll regiszter (IP - Instruction Pointer, vagy PC) Ez a regiszter mindig a soron kvetkez utasts memriabeli cmt tartalmazza, a kezd rtkt, azaz a program els utastsnak trbeli helyt, kvlrl (pl.: az opercis rendszertl) kapja, a program indtsa eltt. Utasts regiszter A vezrl egysg fontos rsze, amely a trbl kikeresett (lehvott) utastst fogadja be arra az idre, amg a vezrl egysg az utasts hatsra a mveletet elvgzi, s elindtja a vgrehajtst vezrl mikroprogramot. Korszer processzoroknl az utasts feldolgoz mdszer miatt ez a regiszter ilyen formban mr nem tallhat meg. Az utasts-feldolgozs elemi lpsei

Az sszeads pldjn keresztl lssuk az elemi lpseket: 1. Az utastsszmllban (PC) tallhat cm bekerl a memria cmregisztereibe. Ez alapjn kiolvassuk a memribl az sszead utasts gp kdjt, ez pufferelsre kerl a memria adatregiszterben (MDR). Innen tkerl az utasts regiszterbe (IR). 2. Az utastsszmll regiszter (PC) tartalmt megnveljk a kiolvasott utasts hosszval (gy ez most a kvetkez vgrehajtand utastsra mutat). 3. A CPU rtelmezi az utastsregiszterben (IR) tallhat utasts kdjt, megllaptja, hogy az sszeads mveletet kell vgrehajtani. 4. A CPU az utastsregiszterben (IR) tallhat cmek alapjn meghatrozza az els sszeadand cmet a memriban, s ezt berja a memria cmregiszterbe (MAR). 5. A CPU kiolvassa az els sszeadand rtket a memribl, ez bekerl a memriaadatregiszterbe (MDR), majd onnan az akkumltorba (A).

6. A CPU az utastsregiszterben (IR) tallhat cmek alapjn meghatrozza a msodik sszeadand cmt a memriban, s ezt berja a memria cmregisztereibe (MAR). 7. A CPU kiolvassa a msodik sszeadandt a memribl, s ez bekerl a memria adatregiszterbe (MDR). 8. Az ALU-ban megtrtnik az sszeads s ennek eredmnye az akkumltorban (A) kpzdik. 9. A mvelet eredmnye visszarsra kerl a memriba, az els sszeadand cmnek a helyre (az els sszeadand cme tkerl a memria cmregiszterbe, az eredmny a memria adatregiszterbe s innen kerl bersra a memriba).

2. A verem fogalma s mkdse, a veremmutat regiszter. A vermet kezel utastsok. A verem alkalmazsa szubrutinok kezelsben. A szubrutinra vonatkoz utastsok.

A verem fogalma s mkdse Van egy olyan memriacmzsi md, amelyet majdnem minden szmtgp alkalmaz valamely formban, ez pedig a veremcmzs. A verem (stack) egy regisztertr a CPU-ban, vagy egy kijellt memriaterlet a ftrban, amelybl az utoljra bert adatot lehet elszr kiolvasni. Az adatsorrend a bemeneti sorrenddel ellenkez kimenet, gy az elszr bert adatot lehet majd utoljra kiolvasni a verembl LIFO (Last in first out) szervezsnek szoktk nevezni. A verem hasznlata Egyik megvalstsa mikor a ftr egy rszt tartalkoljuk veremmveletekre - ltalban az alprogramok, eljrsok, fggvnyek kezelst segti el. Hasznljk az aritmetikai mveleteknl az operandusok s rszeredmnyek trolsra is mivel a regiszterek szma korltozott, elfordul hogy az sszes regiszter olyan informcit tartalmaz, amely mg nem fellrhat, de az adott rszfeladat elvgzshez szksg van tovbbi regiszterek hasznlathoz. Ekkor valamely regiszter(ek) tartalmt ideiglenesen a verembe tudjuk helyezni. Ez a mvelet ltalban gyorsabb s knyelmesebb, mint a memriba rni a regisztertartalmat, hiszen ilyenkor meg kellene vlasztani a cmzst, meg kellene jegyezni a trolsi cmet s a trolt adat hosszt. A verem mutat regiszter A verem megcmzse egy regiszterrel trtnik, ezt nevezik veremmutatnak (Stack pointernek). A vermet kezel utastsok PUSH op (a 2 byte-os operandust a verembe rja, (az SP rtke 2-vel cskken). Tele verembe nem lehet. PUSHF (a STATUS verembe rdik. Ez az utasts a flag-ek mentsre szolgl.) POP op (a 2 byte-os operandus fellrdik a verem tetejn lv (WORD) szval, az SP ltal cmzett sz tmsoldik, majd az SP 2-vel megn.). resbl kivenni nem lehet. Kiolvass s trls. POPF (a verem tetejn lv sz fellrja a STATUS-t. Ezzel az utastssal tudjuk a flag-ek tartalmt helyrelltani.) Ezek az utastsok f haszna az, hogy a szubrutinok az ltaluk hasznlt regisztereket elmenthetik, majd futsuk befejezsekor visszallthatjk anlkl, hogy erre statikus memriaterletet kellene ignybe vennnk. Figyelni kell a visszallts sorrendjre (fordtott) is. Minden PUSH-nak kell lennie egy POP prjnak is mert klnben hibsan dolgozhat a program. Az adatbevitel mvelete azt eredmnyezi, hogy akkumltorban lv adatokat berjk abba a memriarekeszbe, amelyet a veremmutat cmez meg. Ezt kveten a veremmutat tartalma automatikusan megn, hogy megcmezze a kvetkez res trolterletet a verem fels rszn. Az adatkihozatalkor a veremmutat tartalmt eggyel cskkenteni kell, hogy az a verembe utolsnak bert adatot cmezze meg, majd ez tkerl az akkumltorba vagy ms CPU regiszterbe. Adatkihozatal utn a

veremmutat regiszter (SP) jra megcmzi a verem fels rszn lev els szabad trolhelyet. A veremmutat tartalmnak nvelst inkrementlsnak, cskkenst dekrementlsnak nevezzk. Szubrutinok A legtbb programban vannak olyan gyakran alkalmazott utastssorozatok, amelyeket tbbszr felhasznlunk a program vgrehajtsa sorn, de csak egyszer trolunk a programmemriban. Ezeket a programrszeket szubrutinnak nevezzk. Lteznek egymsba gyazott szubrutinok is, st olyanok is amelyek sajt magukat hvjk meg (rekurzv szubrutinok). Szubrutin hvsakor (CALL) a visszatrsi cmet helyezi el a stack-ben s a szubrutinbl val visszatrskor (RET) innen olvassa ki a visszatrsi cmet. Szubrutinhvsi s visszatr utastsok CALL op - Az utastsszmll pillanatnyi rtke a verembe kerl, majd az utastsszmll fellrdik az operandussal, mely lehet direkt cm vagy tetszleges cmzsi mddal elrt regisztervagy memria operandus. Lehet rvid (szegmensen belli) vagy hossz (szegmenskzi) szubrutinhvs.) RET [konstans] Konstans megadsa nlkl: A verem tetejn lv rtkkel fellrja az utastsszmllt s gy a program visszatr a szubrutin hvsa utni sorra s onnan fut tovbb a program. Konstans megadsval: ugyangy mkdik mint a RET de a visszatrsi cm kiolvassa utn az veremmutatt a konstans rtkvel megnveli. Ezzel a mdszerrel lehet a szubrutinnak paramtereket tadni s a szubrutin vgrehajtsa utn a paramtereket a parancs automatikusan kiveszi a verembl. Egyes megszaktsok is ide sorolhatak mivel a megszakts vgrehajtsa utn a rendszer onnan folytatja a program vgrehajtst ahol a megszakts trtnt. A klnbsg az, hogy a szubrutinhvst a programoz elre betervezte, a megszakts hvsa nem elre tervezett, hanem valamilyen esemny bekvetkeztnek a hatsra jn ltre.

3. A Neumann-elvek. Utasts- s adatfolyam (SISD, SIMD, MISD s MIMD architektrk). Adatok szmtgpes brzolsa (fixpontos, lebegpontos, BCD, vektoros adatok, karakterek).
Trolt program elve: Az elvgzend mveleteket elszr be kell vinni a szmtgp troljba, azutn a gp vezrlst t kell adni a programnak. Ezt kveten mr a trolban lev program irnytja a szmtgpet. Cmezhetsg elve: Az utastsok s az adatok is ugyanolyan megcmzett rekeszekben helyezkednek el. nll adat be/kiviteli egysg, vezrl s mveletvgrehajt egysg Teljesen elektronikus szmtgp, amely a kettes szmrendszer alkalmazsval mkdik Soros utasts, vgrehajts elve, a gp az egyes utastsokat egyms utn, egyenknt hajtja vgre, a program s adattr kzs

Szmtgp architektrk, utasts s adatfolyamok SISD (Single Instruction Stream Single Data Stream) Azaz egyetlen utastsfolyammal egyetlen adatfolyamot dolgozz fel. Ebbe a kategriba tartoznak a hagyomnyos, Neumann-elv szmtgpek.

SIMD(Single Instruction Stream Multiple Data Stream) Azaz egyetlen utastsfolyammal, tbbszrs adatfolyam dolgoznak fel. Ezek a gpek egy vezrl egysggel s tbb aritmetikai egysggel rendelkeznek s egyid ugyanazt az utastst hajtja vgre tbb adaton. Ebbe a krbe sorolhatk a vektor- s tmbprocesszoros gpek.

MISD (Multiple Instruction Stream Single Data Stream) Azaz tbb utastsfolyammal egyetlen adatfolyamot dolgoz fel. Ilyen tpus gpek tulajdonkppen nincsenek, bizonyos esetekben ide soroljk az n. pipeline feldolgozs alkalmaz szmtgpeket.

MIMD (Multiple Instruction Stream Multiple Data Stream) Azaz tbb utastsfolyammal tbb adatfolyamot dolgoznak fel. Ebbe a csoportba tartoznak a multiprocesszoros szmtgpek.

A teljestmnynvelsre alapveten kt mdszer van: a nem strukturlis (rajel nvels, programok optimalizlt fordtsa) illetve a strikturlis gyorsts (prhuzamosts a CPU-n bell pldul vektorszmtgpek, pipeline feldolgozs, szuperskalr processzorok, trsprocesszorok, multiprocesszoros architektrk s a nem hagyomnyos elven mkd neuronlis hlk).

Adatok szmtgpes brzolsa Alkalmazott szmrendszerek kettes (jelkszlet:0,1) tzes (jelkszlet:0,1,2,3,4,5,6,7,8,9) tizenhatos (jelkszlet:0,1,2,3,4,5,6,7,8,9,A,B,C,D,E,F) A szmrendszerek egyms kztt konvertlhatak Fixpontos szmbrzols Ebben a brzolsi mdban a szm kettek szmrendszerbeli egytthatit troljuk hely rtkeiknek megfelelen egy rgztett nagysg memriaterleten. Leginkbb a fixpontos szmok egsz szmknt val rtelmezse terjedt el, ebben az esetben a fix- vagy kettedes pont a legalacsonyabb helyirtk utn helyezkedik el. A szmokat tbbnyire kettes komplemens kdban brzoljk. A fixpontos brzols elnye a lebegpontos trolssal szemben, hogy a rgztett tizedeshelynek ksznheten jval gyorsabb mveletvgzst tesz lehetv, viszont brzolsi intervallumba s pontossga meglehetsen korltos.

Ha a binris pontot balra toljuk el, akkor a szmok brzolsi tartomnya cskken, az brzols pontossga n. Ha binris pont a regiszter bal szln van, akkor a szm fixpontos trt. Ha pedig a binris pont jobbra mozdul, akkor a szmok brzolsi tartomnya n, az brzols pontossga cskken. Ha a binris pont a regiszter jobb szln van, akkor a szm fixpontos egsz. Lebegpontos szmbrzols A hagyomnyos decimlis lebegpontos brzolshoz hasonl a binris lebegpontos brzols.

Az ltalnos formtum:

N2 az brzoland binris szm M normalizlt mantissza vagy binris trt E a hatvny kitevje, exponense (de mondhatnnk karakterisztikt is)

A lebegpontos brzols elnye a fixpontos trolssal szemben, hogy jval nagyobb intervallum brzolst teszi lehetv, mikzben kis szmoknl is nagy pontossgot biztost. Vektoros adatok A szmtgpek alkalmazsi terleteinek egy rsznl (tudomnyos-mszaki, szmtsok, modellezs, 3D grafika stb.) gyakran kell vektorokkal s mtrixokkal mveleteket vgrehajts. Ezt hatkonyan elvgezni a SMID (egyszeres utastsfolyam, tbbszrs adatfolyam) architektrj gpekkel lehet, melyeket vektorszmtgpeknek hvunk. BCD (Binary Coded Decimal) A BCD kd a legknnyebben elllthat, ezrt taln a legltalnosabban hasznlt kd. Ennl a kdnl a szmok szmjegyeinek a kettes szmrendszerbeli rtkeik felelnek meg, ngy helyi rtkre kiegsztve. Pldul a 385710 decimlis szm megfelelje BCD kdban: 385710 = 0011 1000 0101 0111BCD Eljeles BCD szmokat gy kpeznek, hogy n. vezrlsz-t tesznek a szmjegyek el. A vezrlsz tartalmaz egy eljelbitet, az adatszavak s a tizedespont utni szavak szmt.

Karakteres szmkdok, karaktertblk Karakternek nevezzk a betket, rsjeleket, szmjegyeket stb. brzolsuk problmjt is meg kellett oldani, termszetesen binris alakban. Azaz minden karakterhez rendelni kell egy binris szmot. Ma a legelterjedtebb kdolsi rendszerekben 1 bjton kdoljk a karaktereket, ami 256 fle jel megklnbztetst teszi lehetv. Azt a tblzatot, amely lerja ezt a kapcsolatot, kdtblzatnak nevezzk. Az IBM kompatibilis szmtgpek vilgban a legfontosabb az ASCII kdrendszer, de msfajtk is lteznek. Pldul az EBCDIC vagy a UNICODE stb. A Unicode 16 biten (2 bjton) trolja a karaktereket, gy 65536 karakter lersra alkalmas. A Unicode als 128 karaktere egybeesik az ASCII kdolssal, az e fltti rszekben pedig szegmensekben helyezkednek el a klnbz nyelvcsoportokat ler karakterek.

4. A processzor felptse, utastskszlete. Utastsok szerkezete, cmzsi mdok. Utastsszmll s utasts-regiszter. Az utasts-feldolgozs elemi lpsei.
Az utasts feldolgozs gyorstsa prhuzamostssal A szmtgp fejldstrtnetnek meghatroz jellegzetessge volt az utastsvgrehajts gyorstsra vonatkoz, llandan nvekv igny. A gyorsts megoldhat a gp mkdsnek temezst meghatroz rajelfrekvencia nvelsvel, aminek viszont technolgiai korltai vannak. Ezrt kerltek eltrbe azok a mdszerek, amelyek a szmtgp gyorsabb mveletvgrehajtst rendszertechnikai eszkzkkel biztostjk ennek az egyik legfontosabb mdszere az utastsvgrehajts szintjn tlapolt feldolgozs, melyet pipelingnek neveznek. A pipelining lnyege A pipeling tlapolt utastsvgrehajts magyarra fordtva csvonal, adatcsatorns vagy futszalag feldolgozsnak nevezhetjk. Alapgondolata a prhuzamosts, annak kihasznlsa hogy az utasts feldolgozs klnbz fzisait autonm s prhuzamosan mkdtet hardver alrendszerek hajthatjk vgre. ILP Instruction Level Paralell: Az egyik fzis eredmnye a kvetkez fzis indul adatt kpezi. Aszinkron zemeltets: Az egymst kvet fokozatok jelzik egymsnak amint kszek fogadni a kvetkez utastst. Szinkron zemeltets: Azonos idben kezdett feldolgozs, az temezst mindig a legtbb idt ignybe vev egysg szabja meg. Tegyk fel, hogy a gpi utasts elemi lpsek a kvetkezk: utastskiolvass (F), dekdols (D), operandus kiolvass (R), Vgrehajts (E), Visszars (W). Ekkor a feldolgozs a kvetkezkppen alakul:

A pipeling mkdse sorn fellp problmk A pipeline szervezsben megoldand problmk a gyakorlatban a kvetkezk: Az utastsok elemi fzisainak vgrehajtshoz szksges id igen eltr lehet Az utasts soros vgrehajtst a vezrlstad utastsok megzavarhatjk, mivel ekkor nem a soron kvetkez utastsokat kell betlteni a futszalagra A megszaktsok, kivtelek kezelse is megszakthatja a folyamatos feltltst Elfordulhat, hogy egy utasts a megelz utasts eredmnyre hivatkozik ezt az esetet adattkzsnekvagy n. hazard-nak nevezzk Hardver erforrsok ignybevtele sorn is elfordulhatnak tkzsek, pldul buszkonfliktusok Fellp problmk kezelse A fellp problmkat vagy statikusan (a program fordtsa sorn) vagy dinamikusan (futs kzben hardverrel) tudjuk kezelni. NOP utastsok (fordtprogram) beiktatsa a programba: A memriautastsok vgrehajtshoz szksges tbblet-idigny s a hazardok miatti utastsvrakoztats res

utastsok beiktatsval oldhat meg. Utasts trendezs (fordtprogram): A fordtprogram a program tartalmi megvltoztatsa nlkl trendezi az utastssorrendet s a memriautastsok s hazardok kezelse miatti vrakozsi idket hasznos utastssal tlti ki. Scoreboarding (hardver): Minden regiszter knyvelsre kerl, hogy azok az utastsok, melyek egy adott regiszterre hivatkoznak benne vannak-e a pipeline-ban. Ha egy tovbbi utasts egy ilyen regiszterhez akar hozzfrni, akkor az ksleltetsre kerl. Data forwarding (hardver): Az adat elreengeds esetben, ha pldul kt egymst kvet utasts szmra azonos adat szksges, akkor az ezek kztti adattadst a processzoron bell megfelel ramkrk biztostjk. Harvard architektra: Az utastsolvass s az adatkiolvass, visszars tkzseire jelent megoldst, ha az utastsokat s adatokat fizikailag klnll memriban troljuk, amihez kln adat- s utastssn is van. Processzorok fejldse Az elmlt vtizedekben a processzorok fejldsben hrom jl elklnl szakasz klnbztethet meg: Hagyomnyos, Neumann elv, soros utasts feldolgozs processzorok az 1980-as vekig uraltk a processzorok piact. RISC processzorokkal jelentek meg az utasts szinten prhuzamos mkds ILP processzorok, melyek mr pipelining szervezsek. Szintn szekvencilis utastskibocstssal mkdnek, azaz ezek mg mindig skalr processzorok. Pipeline szervezs, prhuzamos mkdsre kpes vgrehajt egysget tartalmaz processzorok az 1990-es vekben jelentek meg. A prhuzamostsnak kt mdszere van: 1. VLIW architektrk, tbb mveletet tartalmaz utastsokat bocstanak ki, 2. Dinamikusan temezett szuperskalr processzorok, melyek ciklusonknt tbb utasts vgrehajtsra kpesek, gy ennek megfelelen tbb utastst bocstanak ki ciklusonknt. VLIW architektra: Very Long Instruction Word (Nagyon hossz utastssz) vagy VLIW egy olyan processzor architektrra utal, amely utastsszinten hasznlja a prhuzamostst. Egy olyan processzor, amely egyms utn hajtja vgre a feladatokat (nem a csvezetk-szably szerint), energit pazarolva s lassan fog mkdni. A teljestmnyt nvelni lehet klnbz al-lpsek vgrehajtsval egyidejleg (ez a csvezetk-szerinti vgrehajts), vagy tbb utasts egyidej vgrehajtsvla, mint ahogy ez a szuperskalris gpeknl trtnik. Tovbbi gyorsulst lehet mg elrni gy, hogy az utastsokat nem a programkdban szerepl sorrendben futtatjuk le, hanem eltr sorrendben. Ezt nevezik soron kvli vgrehajtsnak. Szuperskalr processzorok A szuperskalr processzorok tervezse sorn tbb specilis feladatot is meg kellett oldani, melyekkel a skalr processzoroknl mg nem tallkozhattunk: Prhuzamos dekdols, eldekdols A prhuzamosan mkd vgrehajt egysgekhez, melyek egy ciklus alatt tbb utastst kpesek vgrehajtani, ilyen temben kell tovbbtani a dekdolt utastsokat, ezrt prhuzamos utastsdekdolsra is szksg volt. Ellenrizni kell azonban, hogy a kibocstsra vr utastsok kztt nincs e fggsg, illetve nem fggnek e a vgrehajts alatt ll utastsoktl. Ehhez idre van szksg, ezrt a dekdolsi feladatokat eldekdolssal prbljk meg cskkenteni. Szuperskalr utastskibocsts Az utastskibocstsnak kt sszetevje van: a kibocstsi rta, amely a tovbbthat utastsok szmt jelenti,

a kibocstsi politika, amely meghatrozza a fggsgek kezelst. Ezek lehetnek: 1. adatfggsgek a hivatkozott regiszterek kztt, amelyet a processzor regisztertnevezssel tud megszntetni. 2. vezrlfggsgek, amelyet a processzor az utastsok blokkolsval vagy spekulatv elgazskezelssel tud megoldani.

Prhuzamos utastsvgrehajts Prhuzamos utastsvgrehajts, melynek megszervezsekor azt a feladatot kellett megoldani, hogy az utastsok befejezsi sorrendje eltrhet a soros utastsvgrehajts befejezsi sorrendjtl teht meg kellett oldani, hogy az eredmnyadatok az utstssorrendnek megfelelen kerljenek bersra. Ehhez egy trendez puffertrat hasznlnak, a ROB-ot. Segtsgvel eldnthet, hogy a processzor egy utasts eredmnyadatait mikor rhatja be az tmenetileg trolt regiszterbl az utastsban elrt vgleges trolhelyre. Mkdsi elvnek lnyege, hogy az utastsok letciklusainak 5 lehetsges llapott (dekdolt, kibocstott, vgrehajts alatti, vgetrt, befejezett) nylvntartja, gy nyomon lehet kvetni az egyes utastsok llapott s megrzhet a soros konzisztencia.
A regiszter tnevezs clja az l-adatfggsgek megszntetse. Ez addhat pldul abbl, hogy a programoznak jval kevesebb regiszter ll a rendelkezsre, mint ami tnylegesen fizikailag is tallhat a processzorban teht nem hasznlhatja ki a teljes regiszterkszletet. A regiszter tnevezsvel a fggsget okoz regisztert egy tartalkregiszterrel helyettesti. Szintn lehet sztatikus (fordtprogram ltal) vagy dinamikus (futs kzben hardver ltal).

5. Az aritmetikailogikai egysg s regiszterei (akkumultor, flag); Fixpontos s lebegpontos mveletek, ezek vgrehajtsnak egysgei; Logikai mveletek
Aritmetikailogikai egysg (ALU) A processzor msik fontos egysge (a vezrlegysgen kvl) az aritmetikai s logikai egysg, amely az utastsokba meghatrozott aritmetikai, vagy logikai mveleteket hajtja vgre. Ha az elvgezend aritmetikai mveletek szma nagy, vagy lebegpontos formtum szmokkal kell mveleteket vgezni, akkor a fprocesszor mell elhelyeznek erre a clra szolgl matematikai trsprocesszort is (nagyobb teljestmny gpek processzoraiba mr eleve beptik). Alkalmas tovbb Boole algebrai mveletek elvgzsre (AND, NOT, OR), komplemens kpzsre, valamint adatok lptetsre bitenknt jobbra vagy balra. Minden egyb adatkezelsi mvelet, amelynek elvgzse a CPU feladata, felbonthat az elbb felsorolt alapmveletekre. ALU f rszegysgei sszead egysg, amely kt operandus sszeadsra szolgl lptet ramkrk, amelyek a regiszterek tartalmt mveletvgzs kzben jobbra, vagy balra lptetik, azaz tulajdonkppen 2-vel osztjk, vagy szorozzk azt logikai ramkrk a logikai mveletek megoldshoz, regiszterek, az adatok ideiglenes trolsra. Aritmetikailogikai egysg regiszterei Lehetnek az ALU rszt kpez, kizrlagos hasznlat regiszterek (AC) vagy a processzor ltalnos cl regiszterei. Tbbnyire a kvetkez kt regisztert mindig megtallhat bennk: AC (Accumlator Register), mely a mveletvgrehajtsnl az adatok tmeneti trolsra szolgl. FLAG regiszter, azaz llapotjelz regiszter, melyben a vgrehajtott utasts kvetkeztben megvltozott llapotok kerlnek bitenknt kdolsra. Legfontosabb jelzbitek a kvetkezk: 1. tvitel (carry): ha az eredmny legmagasabb helyrtkn tvitel keletkezik, 1-es rtket vesz fel 2. nulla (zero): ha az eredmny nulla rtk, 1-es rtket vesz fel 3. eljel (sign): ha az eredmny negatv, akkor az rtke 1-es lesz 4. tlcsorduls (overflow): ha az eredmny nagyobb, mint a trolhat legnagyobb rtk, rtke 1-es lesz. Fix pontos mveletek (a.b) Fixpontos szmokon vgrehajtott mveleteknl az operandusok ltalban sz szervezsben kerlnek trolsra, azaz a szban tallhat bitsorozat (termszetesen kettes szmrendszerben) megadja a szm tnyleges rtkt, a legnagyobb helyirtk bit pedig a szm eljelt hatrozza meg (0 a pozitv).

Kt fix pontos szm sszeadsa: kettes szmrendszer szablyai szerint trtnik, kt 1-es bit esetn tvitel (carry) kpzdik a kvetkez helyirtket jelent bitre. Kt fix pontos szm kivonsa: visszavezethet az sszeadsra, ha a kivonand trolsra a kettes komplemenskdot hasznljuk az sszeads s a kivons azonos mveletvgz ramkrrel elvgezhet. Kt fix pontos szm szorzsa s kivonsa: visszavezethet sszeadsok s kivonsok sorozatra, illetve

balra s jobbra trtn 1-bites lptetsekre ami 2-vel val szorzst illetve osztst jelent.
Levonhat teht a kvetkeztets, hogy a fixpontos szmok aritmetikai mveleteit vissza tudjuk vezetni tbb lpsben vgrehajtott bitenknti sszeadsra s shiftelsre.

Lebegpontos mveletek s az IEEE 754es szabvny


arp a (mantissza), p (karakterisztika) pl: -654.187*107

Hatvnykitevs trolsmd, kisebb s nagyobb szmjegyek trolshoz. A lebegpontos brzolst az IEEE 754 szabvny rgzti. A szabvny a lebegpontos mveletvgrehajtshoz tbbfajta pontossgot definil:

Lebegpontos mveletek vgrehajtst szintn vissza lehet vezetni fixpontos sszeadsra, hiszen kt fixpontos szmmal (mantissza s karakterisztika) hajtunk vgre aritmetikai mveletet. Szorzsnl s osztsnl a mantisszkat fixpontos szmknt szorozni s osztani kell, a karakterisztikk pedig sszeadsra vagy kivonsra kerlnek.

A lebegpontos szmokkal vgzett mveletek problmja a tlcsorduls s az alulcsorduls. A szabvny ezek kezelsre tovbbi adatformtumokat hatroz meg: Denormalizlt szm: kis szmok kezelshez, alulcsorduls esetn sem kell a mveletvgrehajtst megszaktani. Nulla szmrtk: eljel: ; karakterisztika: 0; mantissza: 0), Vgtelen szmrtk: tlcsordulsokat kezelhetjk vele. Nem szmrtk : clja, hogy programunk akkor se lljon le, ha a mvelet matematikailag rtelmezhetetlen. Logikai mveletek A szmtgpes mveletvgrehajtsban nagyon fontos szerepe van a logikai mveleteknek (Boole algebrnak). Ezek visszavezethetk kt alapmveletre. S: a ktoperandusos mvelet eredmnye akkor igaz, ha mindkt llts egyidejleg igaz. E mvelet segtsgvel lehet kijellni, maszkolni egy trolhely tartalmnak tetszleges rszt. VAGY: a ktoperandusos mvelet eredmnye akkor igaz, ha valamelyik, vagy mindkt llts igaz. Kt trolhely sszeadsra hasznljk. NEM: egyoperandusos mveletet, eredmnye az llts ellenkezje. A trolhelyek tartalmnak ellenkez rtkre lltsra lehet hasznlni.

6. A vezrlegysg feladata s jelei, vezrlsi pontok; Huzalozott s mikroprogramozott mveleti vezrls; CISC s RISC processzorok
A vezrlegysg feladatai (CU) feladata a programban lev utastsok alapjn a teljes szmtgp rszegysgeinek irnytsa s sszehangolsa. Irnytja az ramkrk mkdst, illetve biztostja, hogy a megfelel adatok a megfelel helyen s idben rendelkezsre lljanak. Ehhez kt legfontosabb regisztere: PC (Program Counter), mely a soronkvetkez utasts trolbeli cmt tartalmazza. IR (Instructio Register), mely a memribl kiolvasott utastst trolja.
Vezrlegysg utastsvgrehajtsnak elemi lpsei: Utastselkszts vagy lehvs: utastsszmll (PC) tartalma alapjn kikeresi a ftrbl az utastst s tviszi a vezrlegysg utastsregiszterbe (IR). Utastsszmll regiszter nvelse, hogy az a kvetkez vgrehajtand utastsra mutasson. Az utasts dekdolsa, mveleti kd rtelmezse, operandusok cmnek a szmtsa. Adatok kiolvassa a ftrbl. Mvelet vgrehajtsa.

Mvelet eredmnynek bersa az utastsban elrt trolhelyre. Ezek termszetesen tovbb bonthatak, pldul az utastslehvs: PC tartalaom msolsa a memria cmregiszterbe (MAR). Ezen cm alapjn az utasts kiolvassa a memria adatregiszterbe (MDR). Az utasts kiolvassa MDR-bl az IR-be.

A fenti lpsek vgrehajtshoz az adattvonalak megnyitsra, lezrsra s llapotjelzk belltsra van szksg. Mindezek sszesgt tekinthetjk a szmtgp mveleti vezrlsnek gpi utastsok elemi lpseinek a vgrehajtshoz szksges hardver rszegysgnek a gpi utasts alapjn trtn sszehangolt irnytsa. A vezrlegysg vezrl jelei s a vezrlsi pontok A vezrlegysg mkdse sorn vezrljeleket ad ki a teljes szmtgp irnytsra. Ezek lehetnek: A processzor bels vezrljelei, melyek a processzoron belli rszegysgek mkdst irnytjk pldul az aritmetikai egysg s a processzor regiszterei kztti adattvitelt. A processzoron kvli egysgek irnytst szolgl kls vezrljelek, melyek a processzor s a memria, a processzor s az input/output eszkzk kztti adattvitelt, illetve a megszaktskezelst s a snvezrlsit irnytjk. A huzalozott s mikroprogramozott mveletei vezrls A mvelet vezrlst a szmtgpben ktfle mdon lehet megoldani. Huzalozott mdon (hardver): olyan kombincis hardver ramkrkkel, melyek a processzorba beptve irnytjk a mveletvgrehajts elemi lpseit. RISC-re jellemz. Mikroprogramozott mdon (szoftver): az elemi tevkenysgek sorrendjt egy trolt szoftver, a mikroprogram utastsai vezrlik. A vezrlegysg azon rszt, mely a mveleti vezrlst oldja meg, mikrovezrlnek nevezzk. A mikroutastsok feladata az adatutak engedlyezse/tiltsa. Az utastsok kt rszbl llnak: a kvetkez mikroutasts trbeli cmbl s a vezrlsi mezbl, amely az engedlyezett vezrlsi pontokat hatrozza meg.
ltalban az egyszer utastsok huzalozottak, az sszetettek mikro programozottak.

A horizontlis s vertiklis mikroprogramozs Horizontlis mikroutasts egy vezrlsi mezt s a kvetkez mikroutasts cmt tartalmazza (ha van ilyen). A vezrlsi mez minden egyes bitje az ramkrk egy-egy vezrlsi pontjt lltja be. Ezt gy lehet megvalstani, hogy a mveleti vezrlst vgz ramkrk n. programozhat logikval rendelkeznek, azaz olyan S illetve VAGY kapukbl ll hlzatok, melyek mkdst a vezrl

bitekkel meghatrozhatjuk. Rvidebb mikroutastsok, de dekdolni kell s gy lassabb.


Vezrlsi mez minden egyes bitje egyegy vezrlsi pontot engedlyez/tilt. RISC processzorokra jellemz.

Vertiklis mikroutasts lnyegben gy pl fel, mint egy gpi kd utasts. Ennek megfelelen a vertiklis mikroprogramozsnl ltalban mikroutastsok sorozata kerl sorosan vgrehajtsra. Ehhez a mikroprogramvezrl s a mikroprogramtr mellett szksg van pldul mikroutastsszmll regiszterre is s a mikroutastsok mveleti vezrlrszt a gp kd utastsokhoz hasonlan dekdolni kell. Hosszabb mikroutastsok.
A mikroutastsok csak egyegy elemi mvelet vgrehajtst eredmnyezik. CISC processzorokra jellemz.

CISC s RISC processzorok Kezdetben a szmtgpeket gpi kdban programoztk, majd az Assemly nyelv vlt elterjedt. A szoftverfejlesztk egyre komfortosabb utastsokat ignyeltek, ezrt llandan bvtettk a szmtgpek utastskszlett. gy alakultak ki a komplex utastskszlet szmtgpek (CISC). Ezek bonyolult mikroporgramok s gp a gpben jelleg mkds jellemezte a mikroprogramvezrl felfoghat egy miniatr Neuman elv proceszzornak. A mikroprogramvezrelt utastsvgrehajts komoly korltv vlt a szmtgpteljestmny nvelsnek. Ezrt olyan architechtrt terveztek, melynl csak gyakori, egyszer utastsok szerepeltek az utastskszletben s lehetv tettk a mikroprogramozs kikszblst. gy jttek ltre a RISC processzorok. A CISC processzorok teht nagy szm utastst tartalmaz utastskszlettel rendelkeznek, az utastsok szerkezete bonyolult, tbbfajta memriacmzsi mdot tesznek lehetv, az utastsvgrehajts mikroprogramvezrelt. (Pentium s vele kompatibilis processzorcsald) A RISC processzorok utastskszlete cskkentett, egyszerstett, a memriahozzfrs csak kt utastssal: memribl val adatbetlts s memriba val adatrs trtnhet, a mveleti vezrls huzalozott (beptett ramkrkkel vgrehajtott) vagy horizontlis (egyszerstett) mikroprogramvezrelt. (SPARC, MIPS, POWER PC klnbz vltozatai).

7. A kzponti tr szerepe, ramkri megvalstsa; ROM s RAM ramkrk tpusai; Dinamikus RAM bels felptse; tlapolt memriakezels
A kzponti tr szerepe Az aktulisan fut programfolyamatokhoz tartoz adatok s programok tmeneti trolsra szolgl.A trolegysg trolja a program utastsait, s az adatokat. A trakba val rshoz ill. olvasshoz meg kell adni a keresett trol hely cmt, amit a trolhoz tartoz cmregiszter (MAR: Memory Address Register) fogad be s ennek tartalma vezrli a memria kivlaszt ramkreit. Az adatok szmra a trol bemenete ill. kimenete az adatregiszter (MDR: Memory Data Regiszter), amely a berand, vagy kiolvasott adatot ideiglenesen befogadja. A legkisebb trolsi egysge az egy binris jel, a bit. Fizikailag legkisebb egysgknt kezelt trolterlet a rekesz (1 byte). Minden rekesz cmmel rendelkezik, amely alapjn a trolhelyet a processzor ki tudja vlasztani, s abban adatot tud elhelyezni vagy kiolvasni. A rekeszek cmet 0-val kezdd, szigoran nvekv sorszmok alkotjk. ROM s RAM ramkrk tpusai ROM, csak olvashat memria amelynek tartalma a szmtgp kikapcsolsakor is megrzi annak tartalmt. Itt troljuk pldul az opercis rendszer fixen programozott rszt. A ROM trolk ma mr lnyegesen lassbbak mint a RAM trolk, ezrt a gyakran hasznlatos rszeket tmsoljk egy RAM terletre. Hagyomnyos ROM-ok tartalmt gyrtskor hatrozzk meg, ezek tartalma mr ksbb nem mdosthat. Programozhat ROM-ok tartalmt egyszer vagy bizonyos esetekben tbbszr is megvltoztathatjuk. PROM (Progranmable): programozhat ROM, amelybe a felhasznl egyetlen alkalommal rhatja be a szmra llandan megrzend adatokat vagy programokat. Ezt kveten a PROM tartalma mr nem vltoztathat. EPROM (Erasable Programmable): az adatokat elektromos tlts formjban rzi meg. Ez a ROM trol UV fnnyel trlhet s jrarhat. EEPROM: szintn jraprogramozhat ROM, amelynek trlshez egy elektronikus impulzusra van szksg. Az EPROM-hoz kpest drgbb. Flash memrik: nem felejts flvezet memrik, amelyek az EPROM technolgira plnek, de ezek elektronikusan trlhetk. RAM, rhat olvashat memrik. Sebessgk s nagysguk meghatroz jelentsggel brnak a szmtgpes rendszer teljestmnye szempontjbl. Statikus RAM, az adatokat flvezet memriban troljk. Ennek llapota mindaddig fennmarad, amg ezt jabb rssal meg nem vltoztatjuk vagy a tpfeszltsg meg nem sznik. Ez azt jelenti, hogy az SRAM-okat nem kell bizonyos idkznknt frissteni, ciklusidejk lnyegben megegyezik az elrsi idejkkel. Elny: gyors. Htrny: drga. Felhasznls: cache trolknt hasznljk ket. Attl fggen, hogy sszhangban vannak e a processzorral megklnbztetnk aszinkron (a CPU esetenknt vrakozsra knyszerl, mivel nincs vele teljesen sszhangban) s szinkron SRAM-okat. Dinamikus RAM, kiolvass utn szksgk van egy felledsi idre, ezrt ciklusideje kb. a hozzfrsi id ktszerese. Elemi celljuk egy igen kismret kondenztor, nhny pF kapacitssal. Elny: olcsk. Htrny: idnknt a memria tartalmt fel kell frissteni. Dinamikus RAM bels felptse A DRAM mtrixszervezs, azaz oszlopokbl s sorokbl pl fel, melyek metszspontjban tallhat a memriacella. A memriacellkat kt lpcsben lehet megcmezni: adott sor, majd ezen bell a megfelel oszlop kivlasztsa. A processzor ltal adott cmet egy hardver egysg bontja kt rszre. A processzorok teljestmnye rohamosan fejldtt az elmlt vekben, ezzel a memrik egyre nehezebben tudtak lpst tartani. A processzorhoz kpest lass memria okozta problmt elszr az

L1 s L2 cache trolkkal prbltk megoldani, majd egyre jabb s jabb DRAM-ok kifejlesztsvel.

Gyorsts tlapolt memriakezelssel (MEMORY INTERLEAVING) Ennek alapgondolata a kvetkez: a memrit egymstl fggetlenl cmezhet s olvashat rszekre, gynevezett memriabankokra osztjuk fel. Felttelezve, hogy a processzor ltalban a memrit cmfolytonosan olvassa, a 0.-ik memriabankbl kiolvasott adat hozzfrse alatt az 1 memriabankban lv kvetkez cmen lv adat mr megcmezhet az adatok kiolvassa gy kb. ktszeres sebessggel trtnik.

8. Gyorst (cache) trak feladata s mkdsi elve. Cache trak felptse s tpusai. Helyettestsi s adataktualizlsi stratgik.

Cache trak ltrejttnek okai A httrtrrl beolvasand adatnak t kell haladnia klnbz szinteken mire a processzorhoz r. Mivel azonban ezeket a szinteket jelent eszkzk mkdsi sebessgben igen nagy eltrsek lehetnek, nem egyenletes az adatramls a processzor vrakozni knyszerl. Ennek kikszblsre a kzponti tr s a processzor, valamint a kzponti tr s a httrtr kz pufferelsi clbl cache trak lettek beiktatva. Cache trak feladata s mkdsi elve A processzor folyamatos s vrakozs nlkli mkdst elsegt, viszonylag kismret s gyors (pr 100kb, 4 ns) tmeneti trolkat nevezzk gyorst vagy msnven cache traknak ha a CPU a kzponti tr egy rekeszt olvasni akarja, akkor a gyrsttr intelligens ramkrkbl felptett nll hardveres vezrlje bemsolja ezt s mg nhny rekeszt a cache trolba (a lokalits elve alapjn felttelezhet, hogy a soronkvetkez rekeszre is szksg lesz). L1, L2 Cache A cache trolt kzvetlenl bepthetik a processzorba, vagy elhelyezhetik azon kvl is. A beptett trolt L1, azaz els szintnek nevezik, mg a processzoron kvlit L2 cache-nek hvjk. Kapacitsuk: L1 8-32 Kb, L2 128-2048 Kb. Cache HIT: Ha a processzor olyan adatot ignyel, mely megtallhat a cache-ben akkor tallatrl (hit) beszlnk. Cache MISS: Ha az ignyelt adat nincs benne a cache-ben, akkor tvesztsrl (miss) beszlnk. A fentiek megllaptsa gy trtnik, hogy a cache vezrl minden bemsolt blokkhoz egy tag rszt rendel, amely eltrolja blokk ftrbeli cmt.

A cache trak felptse Toldalk vagy tag: tartalmazza a ftrbl bemsolt blokkra vonatkoz cminformcikat, msrszt itt kerlnek letrolsra bitenknt kdolva a cache blokk adataira vonatkoz rvnyessgi vagy msnven vezrl informcik. Adatrsz: a ftrbl bemsolt blokkot vltozatlan vagy a processzor ltal mr mdostott formban tartalmazza.

Cache trak tpusai Teljesen asszociatv: a teljesen asszociatv cache trolknl egy ftrbeli blokk a cache brmelyik sorba bemsolhat, a blokk cme (sorszma) pedig bekerl a cache toldalk rszbe. Ha a processzor egy adatot keres a cache-ben, akkor a keresend adat memriacmbl kpzett blokksorszm sszehasonltsra kerl a cache-ben lv blokkok sorszmaival. Ezt egy hasonlt ramkr vgzi, melybl annyi kell, ahny sor van a cache-ben. Nagyon gyors, de drga. Kzvetlen lekpzs: a kzvetlen lekpzs cache trolban egy blokk csak a cache egy konkrt sorba kerlhet. Ez a sor gy kerl meghatrozsra, hogy blokk sorszma als n db bitjt hasznljuk fel sorindexnek. Olcsk s gyors visszakeresst biztostanak. Nutas csoport asszociatv cache: ez a troltpus tulajdonkppen tmenetet kpez a teljesen asszociatv s a kzvetlen lekpzs cache trolk kztt. A trol n sorbl ll rszre, csoportokra van osztva. A troln bell minden egyes csoport viszont teljesen asszociatv trolknt mkdik, ugyanis a csoport brmely sorba bekerlhet a blokk, ezt a helyettestsi algoritmus hatrozza meg. Rugalmasabb s viszonylag gyorsak, a gyakorlatban ez a tpus terjedt el. Helyettestsi stratgia Ha egy ftrblokkot be kell msolni a cachbe, az els krds annak eldntse, hogy bemsoland blokk adatai a cache melyik sorba kerlhetnek be, azaz az j blok adataival, melyik ms rgebben bemsolt blokk adatait lehet fellrni. Erre klnbz stratgik szlettek, a legelterjedtebb az LRU stratgia (legrgebben hasznlt blokk adatai kerlnek fellrsra). Aktualizls Ha a processzor egy mveletvgrehajts megvltoztat egy adatot, akkor rvid idn bell a ftr tartalmt is meg kell vltoztatni, hogy a kt memria tartalma azonos legyen. Kzvetlen trs (Write Through): a gyorsttr rsval megtrtnik a ftr rsa is. Ez akkor lehet hatkony, ha a processzor a megvltoztatand ftrbeli adatot egy rspufferbe rja be s nem vrja meg a ftr rsnak a befejezdst.

Visszars (Write Back): a gyorsttrban mdostott adat csak akkor kerl visszamsolsra a ftrba, ha a cache-nek a mdostott adatatot tartalmaz sort fell kell rni egy ftrbl bemsoland jabb blokkal. Ez gyorsabb mint az elz mdszer, viszont meg kell jegyezni, hogy az adott sor mdostsra kerlt-e. E clra soronkt egy kiegszt bitet alkalmaznak (Dirty Bit).

9. A virtulis trkezels fogalma s legfontosabb eljrsai (lapozs s szegmentls a virtulis cm lekpezse TLB, lapcsere stratgik)
Bevezet
Vals trkezels esetn az ppen vgrehajtott folyamatokhoz tartoz programrszeknek s adatoknak teljes egszben az operatv trban kell lennik. Ilyenkor az opercis rendszer lland terleten, pldul a memria legels, legkisebb rekeszein helyezkedett el. A felhasznli programok gy az opercis rendszertl egszen a legnagyobb cmig hasznlhattk a memrit. Az els korlt, amibe a rgztett cmzs rendszerek beletkztek, az volt hogy opercis rendszer mrete nem bizonyult llandnak. A megolds viszonylat egyszer volt, a fordtprogram nem fizikai cmet generlt, hanem a program elejhez kpest relatv cmeket. Egy regiszter a bzisregiszter tartalmazta a kezd- vagy msnven bziscmet. A kvetkez problma akkor lpet fel, mikor a memria mretnl nagyobb programokat kezdtek rni. Ezekhez mr szksg volt egy j mdszerre, az tlapol mdszerre. Ez lnyegben abbl llt, hogy a feladatokat tbb kisebb blokkba szerveztk, gy hogy magukban mr elfrjenek a memriban gy a teljes program a httrtron maradt s hol az egyik, hol a msik programrsz kerlt a memriba. A blokkok vagy partcik ltrehozsra kt megolds szletett, az lland s a rugalmas feloszts. Az els verzinl becslsek s statisztikk alapjn tbb klnbz mret terletekre lett felosztva a memria, mg a msiknl alkalmazkodtak az aktulis felttelekhez. Az lland s a rugalmas partcik hasznlatakor is elaprzds lpett fel, azaz sok kihasznlatlan res hely maradt: lland partci esetn a blokkokon bell, mg kls partci esetn a blokkokon kvl.

A valsgos memriakezels problmja Egy egyszerbb mikroprocesszor cmzsi kapacitsa is olyan nagy, amekkort nem akarunk kipteni. Egy 32 bites cmzs mikroprocesszor cmtartomnya 4GB, mg a tnylegesen kiptett tr ennek a trtrsze is lehet. Egy multiprogramozott rendszer hatkonysga egy bizonyos szintig n, ha minl tbb folyamat fut prhuzamosan. Ebben az esetben is nagy memrira van szksg. Egy folyamat betltse a memriba, majd valamilyen okbl a felfggesztse, a httrtrra mentse s jbl betltse hossz idt vesz ignybe Fontos teht a nagy memria kiptse, viszont ennek vannak korltai. Virtulis trkezels Lnyege abban ll, hogy az opercis rendszer felbontja a megindtott programot lapokra (page) s megszmozza a lemezen elfoglalt helyket egy laptblzat-ban. Majd a lapoknak (a lokalits s gyakorisg elvt figyelembe vve) egyszerre csak egy rszhalmazt msolja be az operatv memriba, nem pedig az sszes lapot. A fordtprogram gy ltja majd, mintha rendelkezsre llna a teljes cmzsi kapacitsnak megfelel, folytonosan cmezhet memria. Ez a memria a virtulis memria (azrt virtulis, mert ez ilyen formban nem ltezik - ez a terlet a valsgban egy httrtron tallhat). A virtulis tr blokkjai akkor kerlnek bemsolsra a ftrba, ha valamilyen

programutastsban hivatkozs trtnik az adott blokkban tallhat cmre s az nem tallhat meg mg a kzponti memriban. Fontos hangslyozni, hogy a gyakorlatban hasznlhat virtulis cmek mennyisge attl fgg, hogy a httrtroln mekkora terletet jellnk ki virtulis trnak. Gyakorisg elve, lokalits elve Az elbbieket tgondolva, kitntetett szerepe van annak, hogy milyen lapokat msolunk a ftrba. Ehhez segtsget nyjt a lokalits s a gyakorisg elve! A lokalitsi elv azt mondja ki, hogy ha egy program adott pontjn vagyunk, akkor nagy valsznsggel, viszonylag hossz ideig ennek a pontnak egy nem tl tg krnyezetbe fogunk maradni rviden teht a programok vgrehajtsuk sorn nem ugrlnak ssze-vissza -, gy viszont nincs szksg arra, hogy a teljes programkdot a memriba tartsuk. A gyakorisg elv azt mondja ki, hogy egyes programrszek kztt igen nagy eltrsek lehetnek abbl a szempontbl, hogy a programvgrehajts sorn milyen gyakran van rjuk szksg. Pldul egy hibakezel rutinok ritkn hasznlatosak. Szegmentls Ha a virtulis tr olyan logikai blokkokbl ll, melyeknek mrete nem rgztett, akkor ezeket a blokkokat szegmenseknek, a virtulis trkezelsnek ezt a formjt pedig szegmentlsnak nevezzk. gy a program egsz moduljait be lehet tlteni a memriba, ami cskkenti a httrtrhoz fordulst. Elnye, htrnya Ez a megolds rugalmas - hiszen vltoztathat blokkmreteket hasznl - illetve lehetsget ad az osztott felhasznlsra, azaz tbb programfolyamat kzsen hasznlhat szegmenseket. Htrnya, hogy a nagymret szegmensek cserje ronthatja a hatkonysgot valamint a szegmensek kzponti trbl val kivitele, illetve a kzponti trba trtn msolsa sorn a kzponti trban igen sok res hely keletkezhet (ezt fregmentcinak hvjuk. Emiatt idkznknt szksg lehet a memria oly mdon trtn trendezsre, hogy sszefgg lefoglalt, illetve szabad terletek jjjenek ltre). Szegmensek betltse virtulis trbl a ftrba Els szabad helyre, ahol a mrete lehetv teszi a betltst, Kvetkez szabad helyre - utolsnak betlttt szegmenstl keressk az els szabad helyet. Legjobb helyre - az a trterlet, amelyre betltve a legkevesebb szabad hely marad. Legrosszabb helyre - az a trterlet, amelyre betltve a legtbb szabad terlet marad. Virtulis cm kpzse A szegmens sorszmbl lehet kikeresni a ftrbeli kezdcmt s ekkor a vgleges fizikai cm az a szegmens fizikai cme + a relatv cm (megcmzett bjt szegmenstl val relatv cme). Lapozs Ha a virtulis tr rgztett mret nem tlapolhat blokkokbl ll, akkor ezeket lapoknak nevezzk, a virtulis trkezels ezen formjt pedig lapozsnak. A ftr a lapmrettel megegyez nagysg rszekre van felosztva, ezeket lapkereteknek (frame) nevezzk. Minden programfolyamatnak sajt laptblzata van, amelyek tartalmazzk a kzponti memriba beolvasott lapok fizikai kezdcmt (ltalban laptblzat trolja a ftrban nem szerepl lapok lemezcmt is). Ha egy utasts olyan virtulis cmre hivatkozik, melynek megfelel lap nincs a ftrba, akkor ez a laphiba kivtelt okoz, megszaktst eredmnyez s az opercis rendszer betlti a kvnt lapot a ftrba. Lapozs esetn res, kihasznlatlan terletek nem kpzdhetnek, mivel a lapok csak azonos mret lapkeretek helyre kerlhetnek a ftrba. Legfeljebb a nem hasznl lapkeretek maradnak resek.

Virtulis cm kpzse Lapozsnl a virtulis cm hasonlan pl fel, mint a szegmentlsnl, azaz a lap sorszmt s a megcmzett bjtnak a lap kezdettl szmtott relatv cmt tartalmazza. TLB A leggyakrabban hasznlt lapok lapcmfordtshoz szksges adatait tartalmazza, legtbbszr 32-256 bejegyzssel. A TLB ltalban a processzor s a cache trol kztt helyezkedik el. A msik fontos elem az, amely a virtulis cmet fizikai cmm alaktja, mivel a processzor ilyen formban hasznlja azt. Ezt egy hardver vagy szoftver vgzi el, de tbbnyire az a jellemz ezt egy cmlekpezsi ramkrket tartalmaz hardver egysg (MMU, azaz Memory Management Unit).

Lapcsere stratgik Ha a present bit azt mutatja, hogy a hivatkozott lap mg nincs betltve a memriba - teht be kell hozni a httrtrrl - akkor laphibrl beszlnk. Az opercis rendszer be tud avatkozni abba, hogy minl kevesebb laphiba alakuljon ki: el kell dnteni, hogy a lap a memrin bell hova kerljn bersra. Ennek megvalstsra tbb lapcsere algoritmus ltezik: Optimlis Azt a lapot kell lecserlni, amelyre a legksbb lesz szksg. Elmleti stratgia csak nagy hibja, hogy elre kell tudni milyen sorrendben hivatkozunk majd a lapokra. FIFO Azt a lapot kell lecserlni, amelyik a legrgebben van a memriban. Problma az, hogy lehetsges a legrgebben hasznlt lapokat mg mindig hasznljuk. Legrgebben hasznlt (LRU) Azt a lapot kell lecserlni, amelyet legrgebben hasznltunk. Az eljrs megvalstshoz nyilvn kell tartani, hogy egy lapot mikor hasznltunk. Ezt a mdszert csak hardver tmogats segtsgvel oldhat meg hatkonyan, megfelel gyorsasggal, mivel a hivatkozs idpontjt is szksges eltrolni. A virtulis trkezelsnl ezt alkalmazzk. Kevs hibt eredmnyez, de az adminisztrcis terhek nnek. Msodik esly (SC) A lecserlend lapok kzl azt vlasztjuk, amely az elz lapcsere ta nem mdosult vagy nem hivatkoztak r.
Szegmentlt virtulis trkezels lapozssal: ebben az esetben a szegmentlson bell alkalmazzk a lapozsos virtulis trkezelst, azaz a virtulis tr szegmensei lapokbl plnek fel. Ez a fajta virtulis trkezelst hasznljk a Pentium CPU-k vdett zemmdban.

10. Az adatrgzts elve a mgneses httrtrolkon; A merevlemez fizikai felptse (szektor, sv, cilinder); Logikai felptse (klaszter, FAT, bootszektor); A merevlemezes egysg teljestmnyjellemzi (elrsi id, adattviteli sebessg)
Adatrgzts mgneses httrtrolkon A szmtgpek megjelense ta tbbfle httrtr tpust fejlesztettek ki. Mindegyik trol clja olyan mennyisg adat trolsa, mely meghaladja az operatv tr mrett, s nem veszti el tartalmt a tpfeszltsg megsznsekor. Msik fontos szempont a virtulis tr kezelsre s az aktulisan nem aktv programok, adatok stb. trolsra is alkalmas. A jelenleg alkalmazott trolk kzl a legjelentsebb a mgneslemezes trol, a winchester. Hozz hasonlan mkdnek a hajlkony lemezes floppy lemezek, illetve a cserlhet merevlemezes trolk a lnyegi klnbsg az adatbiztonsg, adattviteli sebessg valamint a hordozhatsg tern jnnek el. Az elv tulajdonkppen egyszer: egy mgnesezhet felleten a mgnesezett illetve a nem mgnesezett legkisebb elemi rszek felelnek meg a binris szmrendszer egyesnek s nulljnak. Fizikai felptse A 6-15 mgnesezhet rteggel elltott knnyfm lemezt egy hermetikusan zrt vdburkolatban zrnak le. A meghajt 7200 -15 000 fordulat/perc sebessggel forgatja ezeket a lemezeket s az lland forgs miatt az r-olvas fejek nem rnek hozz a korongokhoz, hanem a keletkez lgprna miatt pr mikron tvolsgra vannak attl. A lemez fellete koncentrikus krkre, svokra van osztva. Az egyms alatt elhelyezked svokat cilindernek nevezzk. A lemez fellete krcikk szeren szektorokra van osztva. A szektor s a sv metszete adja a legkisebb tvihet adatmennyisget, a blokkot. A blokk mrete 0,5-64 kB. A blokkok cmzshez teht hrom adatra van szksg. Meg kell adni a lemezoldal, a sv s a szektor sorszmt.
Rszegysgei: Adathordoz r/olvas fej Vezrl egysg.

Teljestmnyjelz adatok Nagy tviteli sebessg (kb. 970 Mbit/s) Nagy kapacits (200Gb 1TB) Lass elrsi id (8-9 ms) jellemzi ket Gyorsttr (16-32MB) Csatolfellet: SCSI, ATA, SATA Fordulatszmok
1200 rpm (ma mr nem hasznljk), 3600 rpm (ma mr nem hasznljk) 4500 rpm (esetleg laptopokban), 5400 rpm (kihalflben vannak), 7200 rpm (napjaink legelterjedtebb vltozata), 10 000 rpm, 15 000rpm (prof. terleteken alkalmazzk pl. szerverek)

Elrsi idrl bvebben


Mgneslemez hozzfrsi ideje: egy szektor kiolvassnak ideje (termszetesen csak tlagrtkknt rtelmezhet)

fejmozgsi id + elfordulsi id + adattviteli id + vezrlsi id. Fejmozgsi id, az az id amg a fej elri a kvnt blokkot tartalmaz svot. Elfordulsi id, az az id mg a fej a kivlasztott blokkot tartalmaz szektor al kerl. Ilyenkor mr nem a fej mozog, hanem a lemez s gy legrosszabb esetben egy krlfordulsi id.

Az opercis rendszer elhelyezkedse, betltse LOGIKAI felpts A merevlemezeken a trolhat legkisebb kezelhet egysgek teht a blokkok, azonban ezek gyakran rtelmetlenl kicsinek bizonyulnak, gy az opercis rendszer szmra a legkisebb egysg a tbb blokkbl ll frt, az n. cluster. A lemezeket gy kezelhetjk, mintha egy adott szm cluster-bl ll trolsorozat lenne a fejek mozgst a lemezkorongok kztt az opercis rendszerre bzzuk. A merevlemezek rszekre n. partcikra oszthatak. A felosztsra vonatkoz adatok, azaz az egyes partcik kezd, illetve utols clusternek sorszma a lemez legelejn - azaz az els lemez legkls svjn - lv tblzatban, a partcis tblban tallhatak. Ugyanitt van az a kis program is, a Master Boot Record (MBR), amely a tblzat adatait rtelmezni tudja, s a megfelel partcirl elindtja az opercis rendszer betltst. Fontos megjegyezni, hogy egy lemezen tbb opercis rendszer is lehet, azonban ezek kzl csak egy lehet aktv. Az aktivitst, szintn az partcis tbla egy mezje trolja. Minden partci elejn megtallhat a Boot Record-ot, amely az MBR-el ellenttben mr opercis rendszer specifikusak s a konkrt op. rendszert tltik be. A betlts teht gy zajlik, hogy az MBR-ben lev program betlti az aktv partci Boot Record-jba lev programot s tadja neki a vezrlst. Ez a program indtja el az opercis rendszert. A lemezek llomnykezelsi tblzata szolgl arra, hogy az opercis rendszer nyilvntartsa s nyomonkvesse azt, hogy a lemez mely szektorai szabadok, vagy foglaltak. A foglaltsg nyilvntartsa cluster-enknt trtnik.
A fjlok elhelyezsre tbb md is van: folytonos (legels szabad hely, legjobban illeszkedik, legrosszabbul illeszkedik),lncolt elhelyezs, indextbla alkalmazsa. Partcis tblk: FAT32, Ntfs, stb.

11. A megszaktsi rendszer s alkalmazsai (megszaktsok tpusai, a megszakts kiszolglsa, vektortblzat); A megszaktsvezrl feladatai
Megszaktsok A szmtgpnek rugalmasan reaglnia kell a klvilg esemnyeire, ezrt a klnbz rszegysgei mkdsnek sszehangolsban az egyik legfontosabb szerepe a megszaktsi rendszernek van. Kiptst az teszi szksgess, hogy a szmtgpes programok vgrehajtsa sorn fellphetnek olyan esemnyek, melyek kezelse csak az utastsvgrehajts normlis menetnek tmeneti felfggesztsvel lehetsges. Megszaktsokat kivlt esemnyek A megszaktsok bekvetkezhetnek: Meghatrozott programhibk esetn (pldul a program 0-val akar osztani); Meghatrozott mveletek befejezsekor, melyek bekvetkezsre szmtani lehet, de ezek idpontja pontosan nem tervezhet; Szndkosan, azaz programvezrelt mdon; Teljesen vletlenszeren s vratlanul (hardverhiba, ramkimarads, stb.) Megszakt esemnyek tpusai Az utastsok szablyszer feldolgozst megszakt esemnyeknek kt alapvet tpusa van: Kls eredet megszaktsok (pldul I/O eszkz adattviteli ignynek jelzse) (Interrupt); Utastsok szablyszer vgrahajtst megakadlyoz kivtelek (Exception); Kls esemnyek ltal okozott megszaktsok esetn a processzor az ppen aktulis programutasts vgrehajtst szablyszeren befejezi, s ezt kveten kezd csak foglalkozni a megszaktskrelem kiszolglsval. Maszkolhat s nem maszkolhat megszaktsok (esemnyek) Mivel a megszaktsok s kivtelek fellpse vletlenszer, azaz nem tervezhet, ezrt elfordulhat, hogy megszakts kiszolglsnak ideje alatt, bekvetkezik mg egy megszaktst ignyl esemny. Ebben az esetben el kell dnteni, hogy a megszaktst engedlyezi vagy tiltja-e a processzor. Ebbl a szempontbl az esemnyek kt csoportra bonthatak: Olyan esemnyek, melyek megszaktsi ignye tmenetileg letilthat. Ezeket maszkolhat megszaktsi krelemnek nevezik. Engedlyezsk vagy tiltsuk egy regiszter megfelel bitjnek belltsval trtnik. Olyan esemnyek, melyek megszaktsi ignye nem tilthat le s minden esetben ki kell szolglni. Ezeket nem maszkolhat (NMI) megszaktsoknak nevezik. Kivtelek Kivtelek esetben a kivlt esemnyt kezel klnleges programrsz lefutsa utn a processzor ltalban ismtelten megksrli a megszaktott utasts vgrehajtst. Ezek a kivtelek tbb altpusra bonthatak, pldul a Pentium processzorcsaldban a processzor ltal detektlt kivtelek lehetnek: hibk, csabdk, aborts-ok, programozott kivtelek. Megszaktsok, kivtelek kiszolglsa Az IBM-PC kompatbilis gpek a megszaktsokat n. vektoros mdon dolgozzk fel, ami azt jelenti, hogy a megszaktskrelem a megszaktskiszolgl rutin kezdcmt egy vektor elemeknt azonostja a processzor szmra azaz a vezrl egy sorszmot ad t a processzornak, amely a memriban tallhat vektortblban kijelli a kiszolgl rutin cmt. Lpsei:

Hardver ltal Az eszkzvezrl belltja a megszaktskr vonal jelszintjt, ezzel jelzi a processzornak a megszaktskrelmet (INT jel); A processzor visszaigazolja a megszaktskrelem elfogadst (IACK1 jel); Az eszkzvezrl a snre kldi a megszaktsi vektor elemnek a sorszmt; A processzor trolja ezt a sorszmot; A processzor elmenti a verembe az utastsszmll s az llapotregiszter tartalmt; A processzor a megszaktsi vektor elemsorszma alapjn betlti az utastsregiszterbe a megszaktskiszolgl rutin kezdcmt s megkezddik a vgrehajts. Opercis rendszer ltal Megszaktott program adatainak elmentse a verembe; Megszakts oknak behatrolsa; Kiszolglshoz szksges adatok sszegyjtse; Megszaktst okoz esemny kezelse; Megszaktott program adatainak visszatltse; Megszaktskiszolgl rutin befejezsnek jelzse. Hardver ltal Az elmentett llapot s utastsszmll regiszter tartalmnak visszatltse s a megszaktott program folytatsa. Megszaktsvezrl felptse s mkdse A szmtgpek megszaktsvezrl egysge vgzi a megszakts kiszolglshoz szksges legfontosabb hardver feladatokat. Fogadja megszaktskr vonalakon (IRQ) a megszaktskrelmeket; Vizsglja, hogy az ignyelt megszakts nincs e maszkolssal letiltva; Vizsglja a megszakts prioritst; Az INT vezetken keresztl kzli a processzorral a megszakts krst; Az IACK vezetken keresztl a processzor jelzi, hogy ksz a krs fogadsra. A megszaktsvezrl tadja a processzornak a megszaktshoz tartoz megszaktsvektor cmt. Amennyiben a szmtgpben tbb megszaktsvezrl is van, akkor legtbbszr ezek master slave kapcsolatban llnak egymssal.

1 IACK - Interrupt Acknowledgement

12. Az I/O adattvitel tpusai; A kzvetlen memriahozzfrs (DMA) lnyege s vgrehajtsa; A DMAvezrl regiszterei s mkdse
Az I/O adattvitel tpusai Az tvitel fizikailag trtnhet bitenknt, ilyenkor soros adattvitelrl (szimplex,flduplex, full-duplex) beszlnk, ill. egyszerre tbb vezetken tbb bit tovbbtsval, ezt nevezzk prhuzamos adattvitelnek. Tovbb az tvitel trtnhet: szinkron mdon, rajellel temezve, aszinkron mdon pl. handshake-eljrssal. Prhuzamos adattvitel (PIO Paralel Input Output) A prhuzamos adattvitelnek elnye, hogy gyorsabb a sorosnl, de mivel drgbb, jobbra csak a szmtgp kzelben elhelyezhet perifrik csatlakoztatsra hasznlatos (printer) illetve kszlkek belsejben elhelyezked rszegysgek, lemezmeghajtk sszekapcsolsra hasznljk. Termszetesen kln vezetk(ek) (ahny bit annyi vezetk)szksgesek a AD-VEV szinkronizmus megvalstsra is. Ilyen megoldssal mkdnek a szmtgpek adat-, vezrl- s cmbuszai, vagy perifrik esetn a nyomtat, szkenner.4-6 m A mikroszmtgpes rendszerekben a legnagyobb szmban a prhuzamos adattvitel lehet megszaktsos, kzvetlen trol hozzfrses (DMA) valamint a programozott I/O tvitel (nagygpes rendszerek esetben nll I/O processzor vezrli) Soros adattvitel (SIO Serial Input Output) Soros tvitel esetn az informcis biteket egyenknt, sorban egyms utn visszk t. Ezrt egy kdolt bitcsoport tviteli ideje a prhuzamos tvitelhez kpest megn, de szmos elnyt rejt ez a kialakts: szls esetben elegend egy vezetkpr az sszekttets fizikai megvalstshoz, ami jelents kltsgcskkent tnyez. Az informci tvitel sebessge lassabb, de ha nveljk az adattvitel sebessgt (napjainkban folyamatosan ez trtnik) akkor ez a lasssg nem igazn korltoz tnyez. Manapsg egyre nagyobb sebessg soros adattvitel megvalstsra nylt lehetsg (USB 11Mb/s, USB 2.0 450Mb/s , IEEE 1394 port)). A soros adattvitelt alkalmazzk tvadat-feldolgozs sorn a szg. hlzatokban. 50-100 m. Mivel a szmtgpen bell az adattovbbts prhuzamos formban trtnik, ezrt a soros adattvitelhez elbb szksg van egy prhuzamos-soros, illetve ilyen jelek fogadsakor, egy visszaalakt soros-prhuzamos talaktsra. A nagyobb tvolsgra trtn adattovbbtshoz, a telefonvonalakat lehet ignybe venni, amelyek hasznlathoz a jeleket r kell ltetni egy hangfrekvencis hordozjelre. Az erre a clra szolgl eszkz a modem. A kt, modemen keresztl sszekttt, berendezs egymssal flduplex, illetve duplex zemmdban tud kapcsolatot tartani. A flduplex zemmdnl az adattovbbts mindkt irnyban lehetsges, de felvltva (advev pl.). A teljes duplex lehetsges, de egyidben mindkt irnyban lehet adatokat tovbbtani. A soros adattvitel lehet aszinkron s szinkron temezs. Szinkron tvitel: Szinkron adattvitelnl, az egymst kvet jelek temezetten, rajellel vezrelve, szinkronizltan kvetik egymst. Az adatok tvitele blokkos formban trtnik, amelyet kiegsztenek mg szinkronizl bitekkel is. Az egyes adatokhoz tartoz jelek csak egy meghatrozott alapidtartam egsz szm tbbszrsei lehetnek (rajel miatt). Aszinkron tvitel: Az aszinkron temezs adattvitelnl, a karakterek temezs nlkl kvetik egymst, az tvitt bitek mennyisge vltoz is lehet. A start/stop bitek miatt a jelsorozat elgg redundns, teht informcitartalom szempontjbl felesleges jeleket is tartalmaz, ugyanakkor a vev oldalon nincs szinkronizlva a vtel s emiatt nagyobb sebessg tvitel nem biztonsgos. Az aszinkron tvitelnl nem szksgkppen van folyamatos kapcsolat az ad s a

vev kztt, ezek szinkronban csak az adattvitel ideje alatt vannak. 110, 300, 1200, 2400, 9600, 19200 (bit/s) sszehasonltva: A szinkron tvitelnl a redundancia alacsonyabb, de a kapcsold hardver bonyolultabb. Az alkalmazhat sebessg magasabb, mint az aszinkron tviteleknl. DMA A kzvetlen memriahozzfrs lnyege, hogy a processzor egy I/O mvelet vgrehajtshoz szksges informcikat tadja egy, a processzortl fggetlen DMA vezrlnek, mely ezt kveten az adattvitelt a memria s az I/O eszkz kztt nllan irnytja. Ezltal a processzor felszabadul ms feladatok vgrehajtsra. A szmtgpben ltalban tbb DMA vezrl is megtallhat, ezek master, illetve slave kapcsolatban ll eszkzk. Elnye egyrszt, hogy mivel az adattvitelt egy specilisan erre a clra kialaktott hardver vgzi, gy az tbbnyire gyorsabb, msrszt tehermentesti a processzort. A DMA-s kezelst leginkbb nagy sebessg, blokkos adattvitelt ignyl perifriknl alkalmazzk (floppy disk, winchester).

DMA adattviteli eljrsok A processzor s a DMA vezrl kztt meg kell osztani a sn hasznlatt. Ennek megoldsi formja szerint klnbz eljrsokat klnbztetnk meg. CPU lelltsi eljrs: a DMA krsre a CPU lell s lekapcsoldik a buszrl a DMA tvitel ideje alatt. Memriaidszelet eljrs: a memriaciklust kt rszre osztjk fel, az egyik a DMA-, a msik a processzor. Cikluslops: az elzek tvzse, a processzor s a DMA tlapolva hasznljk a buszt. tkzs esetn a DMA-nak prioritsa van a processzorral szemben. DMA regiszterek s mkdsk Az adattvitel llapotnak nyilvntartsra a DMA egy cmregisztert s egy szmllregisztert alkalmaz, melynek tartalma minden egyes tvitt adat utn aktualizlsra kerl: Cmregiszter: mindig az tvitelben szerepl memriarekesz cmt tartalmazza. Szmll regiszter: az tvitel elejn az tviend szavak szmt tartalmazza, rtke az vitel sorn cskken. llapotregiszter: vezrl llapotval kapcsolatos informcik trolsra szolgl, meghatrozza az tvitel mdjt s irnyt (pl.: melyik DREQ vonalon rkezett a krs, befejezdtt-e az tvitel),

A DMA vezrl ezen kvl tartalmaz mg tovbbi kt regisztert: DMA mdregiszter, mely az adattvitel irnyra (rs vagy olvass) vonatkoz informcikat tartalmazza, DMA maszkregiszter, mely az egyes DMA tvitelt kr vezrlvonalak letiltst tartalmazza. Be kell tlteni az indul cmet a DMA cmregiszterbe, az tvivend rekeszek szmt a szmllregiszterbe s a vezrlkdot az llapotregiszterbe, amely mr meghatrozza adattvitel irnyt. A szmllregiszter tartalma minden tvitel alkalmval eggyel cskken ezrt az tvitel akkor fejezdik be, ha tartalma zrus lesz! Kzvetlen memriahozzfrssel vgrehajtott I/O mvelet lpsei: a processzor ellenrzi a perifrit, hogy tudja-e fogadni az tvitelt, ezt kveten a DMA vezrl rszre tadja az tvitel paramtereit, a DMA buszfoglalsi krelmet jelez, a processzor visszajelez, a DMA masterknt lefoglalja a buszt, vgrehajtja az tvitelt, a DMA jelzst kld megszaktssal a processzornak az tvitel befejeztrl, a processzor ellenrzi a vgrehajts hibtlan megtrtntt s a buszengedlyt megsznteti. DMA vezrlvonalak A DMA az tvitelt I/O eszkzk kztt DREQ0DREQn vezrl vonalakon kezdemnyezhetik. A DMA a processzornak az adattviteli ignyt a HRQ vezetken jelzi, melyet a HLDA vezetken engedlyez. I/O adattvitel tpusai A processzor az eszkzvezrlket alapveten kt mdon irnythatja: Kzvetlen I/O utastssal, amelyek a processzor utastskszletben szerepelnek (INTEL processzorok). Kzvetett mdon: a cmzs gy trtnik mintha az I/O eszkz trolja a ftr rsze lenne (RISC processzorok). Az adattvitel a mikroszmtgpek s az I/O eszkzk kztt a kvetkez mdon trtnhet meg: Programozott I/O tvitellel: a processzor vezrli teljeskren az tvitelt ftr s I/O eszkz kztt. A perifria llapot regiszter ciklikus lekrdezse leterheli a processzort, gy ennek a mdszernek csak akkor van rtelme, ha nagyon gyors az I/O eszkz.

Megszaktsos I/O tvitellel: a processzor jelzi az I/O eszkz szmra az adattviteli ignyt, mely ha felkszlt az adattvitelre, akkor ezt egy megszaktskrelemmel jelzi a processzornak. Az adattvitel befejezst az I/O eszkz szintn megszaktskrelemmel jelzi. A felhasznli program a tranzakci idejre meglltsra kerl.

Kzvetlen memriahozzfrssel: adattvitelt a processzortl fggetlen DMA irnytja. A processzornak viszont feladata az I/O elksztse s a mvelet vgrehajtsnak ellenrzse. (lsd ttel elejn)

I/O processzor alkalmazsval, melynek a processzor tadja az I/O mvelet vgrehajtshoz szksges sszes adatot s ezt kveten az I/O processzor teljesen nllan vezrli az I/O mvelet vgrehajtst.

13. A sn (busz) feladata, logikai felptse, tpusai; Snvezrls (szinkron, aszinkron); Master s slave eszkzk; Buszarbitrci (soros s prhuzamos snfoglals)
Sn (busz) feladata, logikai felpts s tpusai A szmtgp rszegysgei kztti kommunikcis kapcsolatokat (adatok, cmek, valamint a gp vezrlshez szksges informcik tvitelt) a sn vagy buszrendszer biztostja. A snrendszer fogalmba belertjk a kommunikcis kapcsolatok szabvnyostott szablyait is, amit snprotokollnak nevezznk. Elnye: j eszkzk knnyen csatlakoztathatk, kltsgtakarkos (ua. az tviteli utat hasznljk). Htrnya: svszlessg korltozott, klnbz sebessg eszkzket kell kezelni. A snrendszer logikailag hrom rszre bonthat: Cmsn, mely a cmek tvitelt biztostja. A processzor cmkezelsnek (pl. 32 vagy 64 bites processzorok) megfelelen ltalban 32 vagy 64 cmvezetket tartalmaz. Adatsn, mely az adatok tvitels biztostja, szlessge ltalban 32 vagy 64 bit. Vezrlsn, mely a szmtgp rszegysgei kztt a vezrlinformcik adattvitelt biztostja. Pldul: DMA vezrljel, I/O eszkzket vezrl jeleket, snvezrl jelek, szinkronizcis jelek, stb. Snrendszer tpusai: Bels snrendszer, mely a processzoron bell a processzor klnbz rszeit kapcsolja ssze. Sebessge (rajele) megegyezik a processzorval. Kls snrendszer, mely a processzor kti ssze a kzponti egysg klnbz rszegysgeivel. Sebessgt a processzor rajelnek osztsval hatrozzuk meg. (pl.:400/4=100Mhz). Sebessg s sszekapcsolt eszkzk alapjn lehet: Helyi sn vagy local bus, mely a processzorhoz kzvetlenl kapcsold rendszerelemeket (memria, grafikus krtya, stb.) kti ssze. Az adattvitel ebben az esetben a processzor rajelvel szinkronban trtnik s az adattviteli biz szlessge is megfelel a processzor mkdsnek. Rendszersn vagy system bus, melyet egy snvezrl egysg hajt meg s alapveten az I/O eszkzk csatlakoztatst szolglja. I/O eszkzk sajt snrendszere (pl.: SCSI lemezcsatol busza). Szmtgprendszerek kztti buszok. Buszciklus: egy adategysg tvitelhez szksges id. Busz tranzakci: busz ignyek sorozata, mely az adattvitel ignylstl, annak befejezsig tart. PCI Bridge Ezt az architektrt tovbbfejlesztette a kb. 180 gyrt ltal elfogadott PCI kvzi szabvny. A helyi sn s a PCI busz kz egy processzor-PCI Bridge-t iktattak be, mely lehetv tette, hogy a buszrendszer a konkrt processzortl s annak sebessgtl fggetlenl is mkdkpes legyen. A PCI busz teht nem ktdik szorosan egy processzorhoz, hanem tbbfajta processzorral is kpes egyttmkdni.

Master s slave A snt egyidben csak egy eszkzpr hasznlhatja. Ezek kzl a kezdemnyez eszkz a master, a kapcsolatban rsztvev passzv eszkz a slave. A master elindtja s befejezi a busztranzakcit, cmet kld a slave elemnek. A slave vlaszol az ignyekre s cmekre illetve snre teszi illetve fogadja az adatokat.

Busz arbitci Ha egyidejleg tbb aktv (master) eszkz is ignyelheti a sn hasznlatt, akkor valamilyen eljrssal el kell dnteni, hogy melyik eszkz lesz jogosult a sn hasznlatra. Ezt nevezzk sn arbitcinak. Buszhasznlat jognak megosztsa Statikus mdszer szerint a buszhasznlat megosztst idosztssal (time-sharing) vgezhetjk el, azaz minden master eszkz meghatrozott idszeletre kapja meg a buszhasznlat jogt. Ez akkor hatkony, ha kzel azonos a masterek adattviteli ignye. Dinamikus mdszer szerint a buszhasznlat megosztsa csak krs esetn lehetsges, azaz akkor ha a master eszkzk ignylik azt ha az ignyt elfogadtk s visszaigazoltk, akkor hasznlhat a sn tranzakci befejeztt jelezni kell. Ebben az esetben kezelni kell az egyidben fellp ignyeket: Prioritsok meghatrozsval, Egyenletes buszhasznlati jog eloszts, azaz egy vrakoz sorba kerlnek az ignyek (veszlye, hogy fontosabb eszkzk sokig vrakozsra knyszerlnek).
A gyakorlatban az elz kt vltozatot kombinltan hasznljk.

Buszhasznlat felszabadtsa Release on request: a master annyi idre lefoglalja a buszt, amg a buszt msik master nem ignyli. Ezt alkalmazzk leggyakrabban a mikroszmtgpeknl. Release when done: a mater egy tranzakcira kapja meg a buszhasznlat jogt, annak befejezse utn felszabadtja azt. Preemption: ha egy magasabb priorits master jelentkezik, ez a tranzakcit megszaktja. Busz arbiter Lteznie kell egy olyan hardver egysgnek, mely a snfoglalsi krelmeket fogadja, elbrlja s visszaigazolja ez az eszkz a busz arbiter! A busz arbitcit busz arbiterek szma alapjn alapjn is csoportosthatjuk: Kzpontostott, a szmtgpben csak egy arbiter van. A buszhasznlatot ignyl masterek egy request jellel jelzi az ignyeiket az arbiternek, mely egy algoritmus alapjn kivlaszt egy matert s ezt egy grant jellel igazolja vissza. Hrom mdon trtnhet: soros, prhuzamos, s a kett kombinlt vltozata. Sztosztott, a szmtgpben tbb arbiter van (multiprocesszoros rendszerekre jellemz). Soros s prhuzamos snfoglals Soros kiszolgls esetn az eszkzk sorba vannak ktve s sorrendjk hatrozza meg prioritsukat, azaz azt, hogy mikor kaphatnak jogosultsgokat a sn hasznlatra. Elnye egyszersgben van.

Htrnya, hogy a kis priorits eszkzk sokig vrhatnak. Buszprotokoll lefutsa gy: 1. Request; 2. Grant; 3. Bustransaktion; 4. Relase. Prhuzamos snfoglals esetn minden snhasznlatrt folyamod eszkz nll kr s engedlyez vezrlvonallal rendelkezik s a snvezrls priorits szerint engedlyezi a sn ignybevtelt. A priorits meghatrozsa klnbz eljrsok szerint trtnik: Egyszer krbejr eljrs, azaz minden snhasznlatot kveten a korbban legalacsonyabb priorits eszkz kapja meg a legmagasabb prioritst az sszes tbbi eggyel alacsonyabb prioritsi szintre kerlt. LRU eljrs, azaz az eszkz kapja meg a snhasznlat jogt, mely a snt legrgebben vette ignybe. Snrendszerek Az adattvitelben rsztvev eszkzknek sszehangoltan kell mkdnik. Ehhez megfelel konvencik s algoritmusok kellenek, melyek ramkrkben, elektromos jellemzkben ltenek testet. Az adattviteli vezrlsnek kt formja lehet: Szinkron vezrls esetn az esemnyeknek rgztett idpontjaik vannak, a snen kommunikl eszkzk azonos rajellel temezettek. Az ads-vtel mindig azonos sebessggel trtnik, nem kell kapcsolatfelvtel s visszaigazols. Gyors, viszont ehhez kzs rajelet kell biztostani az sszes sn szmra. Aszinkron vezrls esetn az esemyek tetszleges idpontban kvetkezhetnek be, ezrt a snre csatlakoz eszkzk zavartalan egyttmkdshez egy kapcsolatfelvtel s vtel visszaigazolsi eljrs szksges. Nincs szksg kzs rajelre s eltr sebessg eszkzk kiszolglst is lehetv teszi, viszont szksg van a relatve bonyolult aszinkron handshake eljrsra. Handshaking Az AD s VEV egysg kztt kzfogs eljrs. Lpsei a kvetkezk: Az AD egy vezrljellel informlja a VEV-t, hogy az rvnyes adatokat az adatsnre helyezte (DAV=1). A vev ezt rzkelve olvassa az adatbusz adatait, s ennek hibtlan megtrtntt egy DAC vezrljel 1-es szintre lltsval jelzi az AD-nak.

Hinyossga, hogy az AD nem rendelkezik informcival, hogy snre teheti e a kvetkez adatot. Gyakorlatban ezrt ennek a tovbb fejlesztett verzijt hasznljk a ktszeres kzfogs eljrst: AD az els adatbjtott a snre teszi, AD DAV jelet 1-re lltja, Megtrtnik az tvitel, VEV visszaigazolja az adat tvitelt (DAC=1), AD visszalltja DAV jelet 0-s szintre, VEV DAC jelet 0-ra lltja s kezddik elrl

14. Az I/O eszkzvezrlk, interfszek feladata, regiszterei, cmzse; Soros s prhuzamos port s adattvitel / Az ad s a vev szinkronizlsa
Az I/O eszkzvezrlk, interfszek feladata, regiszterei, cmzse Az I/O eszkzk s a processzor kapcsolatt az eszkzvezrlkben tallhat regiszterek biztostjk. Minden egyes eszkzvezrl funkcionlisan legalbb a kvetkez tpus tmeneti trolkat tartalmazza: parancs (command) regiszter, mely az eszkzvezrl ltal vgrehajtand mveletekhez szksges informcikat trolja. llapot (status) regiszter, melyben az eszkzvezrl az I/O eszkz aktulis llapotra vonatkoz informcikat trolja (pl. egy merevlemezre egy blokk kirsa megkezddtt, vagy a nyomtatbl kifogyott a papr). az adatkirs illetve beolvass pufferregiszterei, melyek a folyamatban lv I/O mveletek adatait troljk. A processzor az eszkzvezrlket alapveten kt mdon irnytja (cmezheti): kzvetlen I/O utastsokkal (miutn az llapotregiszter lekrdezsvel megllaptotta, hogy az eszkz az utasts vgrehajtsra kpes llapotban van), a parancsregiszter belltsval s a pufferregiszterek rsval vagy olvassval. kzvetett mdon, amikor a cmzs gy trtnik, mintha az I/O eszkz trolja a ftr rsze lenne (memory mapped addressing, ilyen pl. a grafikus memria). Interfsz Az interfsz kt funkcionlis egysg sszekapcsolhatsgt s egyttmkdst biztost elrsok sszessge. A szmtgp s a perifrik kzti informcitvitel cljbl biztostani kell az egyes egysgek illesztst s a rendszer sszehangolt mkdst. Ezrt a szmtgp a hardver eszkzkhz vezrl s illeszt (interfsz) ramkrkn keresztl csatlakozik. A port (illeszt) A port olyan interface, mely a perifrilis eszkzkkel tartja a kapcsolatot, biztostja a szabvnyos csatlakozst a CPU s a perifrilis egysgek kztt a rendszersn kzbeiktatsval. Az adattvitel ktfle lehet: soros s prhuzamos. A soros port mkdse A soros (serial) port az egyik legrgebbi, ltalnos cl kommunikcis port. Az interfszt az RS-232C szabvny jelli, tipikusan az egr s a modem csatlakozik r. Az adatbitek a vezetken egyms utn kerlnek tkldsre. Egy vezetken egyirny tvitel valsthat meg, kb. 30-100 m-ig hasznlhat. A PC 4 db soros illesztt tmogat (COM 1-4). Az adattvitel szinkron vagy aszinkron mdon trtnhet. Szinkron tvitel: Szinkron adattvitelnl, az egymst kvet jelek temezetten, rajellel vezrelve, szinkronizltan kvetik egymst. Az adatok tvitele blokkos formban trtnik, amelyet kiegsztenek mg szinkronizl bitekkel is. Aszinkron tvitel: Az aszinkron temezs adattvitelnl, a karakterek temezs nlkl kvetik egymst, az tvitt bitek mennyisge vltoz is lehet. A start/stop bitekkel eltott jelsorozatot adatkeretnek nevezzk. Elgg redundns, teht informcitartalom szempontjbl felesleges jeleket is tartalmaz Az USB (Universal Serial Bus) egy jonnan kifejlesztett nagy sebessg csatlakozsi port, melyet a soros s prhuzamos portok kivltsra szntak. Egy USB soros csatlakozsi rendszer porton keresztl maximum 127 kls perifria csatlakoztathat. Napjainkban a nyomtatk s szkennerek tbbsge rendelkezik ilyen csatlakoztatsi lehetsggel is. Az USB szabvny tovbbfejlesztseknt megjelent a nagyobb tviteli sebessget biztost USB 2.0. csatlakoztatsa Mivel az USB tpramot is szolgltat a

snkbelen keresztl, a kis teljestmny (100 mA alatti) berendezsekhez nem kell tpkbel s hlzati adapter. Napjainkban az IEEE 1394 szabvny soros kommunikcis port - melynek legismertebb vltozata az Apple FireWire mrkanev termke - az egyik legnagyobb adattviteli sebessget biztost eszkz. Egy IEEE 1394 portra maximum 63 kls eszkz csatlakozhat. Egyik jellemz alkalmazsi terlete a multimdis eszkzk, pldul digitlis videokamerk szmtgphez trtn A prhuzamos port mkdse A prhuzamos interfsz prhuzamos mkds. ltalban a nyomtat csatlakoztatst oldja meg, br lehet akr scanner is a csatlakoz eszkz, esetleg kt PC kzvetlen kapcsolatt valsthatjuk meg. (a vezetk nem haladhatja meg az 5 mtert) Az adattvitel hromfle lehet: Csak elre irny Csak vissza irny ktirny A PC 4 prhuzamos port ltt tmogatja (LPT 1-4). PRN - Az LPT 1 port neve PRN. SPP (SErial Parallel Port) szabvnyos prhuzamos port, ltalban egy irny EPP (Enhanced Parallel Port) bvtett prhuzamos port ECP (Extended Capability Port) kiterjesztett kpessg port (DMA hasznlatra van szksg) Az ad s vev szinkronizlsa Az tvitt bitsorozatot hasznljuk fel az ad s vev rajelnek sszehangolshoz, szinkronba hozshoz. Ez azt jelenti, hogy elrunk egy specilis bitsorozatot , amit szinkronizl jelnek neveznk, aminek feladata az ad s vev mkdsnek szinkronizlsa, az rajelkpzs idbeli sszehangolsa. Szablyrendszer: a szinkronizl bitmintnak mindig meg kell elznie az rdemi adatbiteket.

15. Monitorok tpusai, paramterei, mkdsi elve. A monitorvezrl krtya feladata, felptse, jellemzi (felbonts, sznmlysg, kpmemria mrete) s mkdse.
CRT (Cathod Ray Tube - katdsugrcs) Hagyomnyos monitor, mkdsben a TV-re hasonlt. A kperny tartalmt egy elektronsugr rajzolja fel a fnykibocst rteggel bevont felletre, a kperny bal fels sarktl kezdden, jobbra s lefel haladva, prhuzamos svokra bontott rszekben. Egy teljes kperny tartalom kirajzolsa 1/50 sec-ig tart. A legfontosabb egysgk a katdsugrcs, melynek elektrongyi rajzolja a kpet. A kperny egy elemi monitorpontjt vrs-zld-kk foszforpontok alkotjk (RGB). LCD (Liquid Crystal Display), TFT (Thin Film Transistor) Mkdsi elvk lnyege, hogy az gynevezett folyadkkristlyok feszltsg hatsra megvltoztatjk kristlyszerkezetket. Mivel a folyadkkristlyokat kls elektromos trrel lehet gy vezrelni, hogy kvnsgra tetszleges mrtkben elforduljanak, gy eljk polariztort, mgjk fnyforrst helyezve az tbocstott fnymennyisget szablyozni tudjuk. Mindezek utn mr csak az kell, hogy elhelyezznk nhny neoncsvet a kperny mgtt, amelyeket lehetsg szerint nagy frekvencival (relatve nagy, 1000 Hz krli) tpllunk, hogy a villogs ne zavarja a szemet. Kell mg egy piros, zld illetve kk sznszr, erre egy vezrelhet folyadkkristlyos rteg, majd egy polariztor, s ksz is a TFT kijelznk. Mivel az LCD technolginl nincs katdsugr, gy a kp nem is tud vibrlni, mg kisebb frisstsi idnl sem. A legkevsb ismert tpus a gzplazms monitor, amelyben a gzok a bennk lv mozg elektronok hatsra fnyt bocstanak ki. Az ilyen kijelzkben ionizlt neon- vagy argongzt zrnak kt olyan veglap kz, melyekbe vzszintesen s fgglegesen vezetkek vannak begyazva. Ezen vezetkek metszspontjai hatrozzk meg a fnyt kibocst kppontokat.
A CRT-k pixelein vltoz intenzitssal lehet kpet megjelenteni. Teht ha nem egyezik a kperny s a megjelentend kp felbontsa, az nem olyan szembetn. A TFT-k esetn egy pixel vagy ki van jelezve, vagy nem, gy ha a felbonts nem egyezik, rosszabb minsg kpet kapunk..

Kperny psztzs A kperny vgigpsztzsban ktfle eljrst alkalmaznak Folytonos egymst kvet soronknti psztzs (non-interlacing), amely finomabb felbontst, de gyakoribb kpfelfrisstst eredmnyez. A korszerbb monitorok ezt a megoldst alkalmazzk, mivel a msik esetn villodz eredmnyt kaphatunk. Vltott soros elszr a kp pratlan soronknt kerl kirajzolsra, majd a sugr visszafut a kp elejre, s a pros sorok kvetkeznek. Finomabb felbontst, de alacsonyabb frisstsi frekvencit eredmnyez. A vltott soros psztzsnl flkpenknt 1/50 sec szksges, gy a teljes kp kirajzolsa 1/25 sec alatt trtnik. Pixel: (kppont) a kperny legkisebb olyan egysge, amelyet a szmtgp kezelni tud. Felbontkpessg A kpernyt egyfajta mtrixnak foghatjuk fel, melynek minden egyes eleme egy pixel, amelyek rendszerezetten sorokban s oszlopokban helyezkednek el. A monitor felbontkpessge a kpernyn fggleges, s vzszintes irnyban megjelenthet kppontok, raszterpontok szmt hatrozza meg. Kpfrissts Jelentse, hogy az elektronsugarak msodpercenknt hnyszor psztzzk vgig a teljes kpernyt. 85 Hz-nl kevesebb kpfrisstsi frekvencia lthatan vibrl kpet eredmnyez. Az egy kpsor megrajzolsnak sebessgt sorfrekvencinak (horizontal frequency) nevezzk;

Mrtkegysge a kHz. Sznmlysg Az egyes kppontok mindegyike hordoz a kppont sznvel kapcsolatos informcit, amely mennyisge a szmtgp grafikus krtyjtl fggen vltozik. A kppont sznvel kapcsolatos informci mennyisge 1,2,4,8,16,24, vagy 32 bit mennyisg lehet. Az egy kpponton megjelenthet sznek szmt nevezzk sznmlysgnek. A sznmlysg fgg a PC videokrtytl, hiszen a grafikus krtya az ltala hasznlt memria terletn trolja a kppontok sznvel kapcsolatos informcikat. Minl nagyobb a sznmlysg, annl nagyobb memria szksges a kppontok szninformciinak trolsra. Jelenleg elterjedt sznmlysgek: 8 bit 256 szn 16 bit 65536 szn (High Color) 24 bit 16 777 216 szn (True Color, 3 szn 3 bjt) A monitorok mrete A kppontok kztti tvolsg a megjelentett kp pontjai kztti tvolsgot jelenti. Ezt az rtket a gyrtk a monitor adatlapjn mm-ben meg szoktk adni. Minl kisebb az rtk, annl jobb a felbonts, tisztbb kpe van a monitornak. A mai monitoroknl 0,2 0,28 mm.Egy msik fontos adat a beszerelt kpcs tljnak mrete. Itt kt rtkrl is sz van, a valdi fizikai mretrl, illetve a valsgban lthat mretrl. A gyrtk ltal megadott mrtk mindig a kpcs fizikai tljt adja meg, nem pedig a megjelenthet kpt. Ennek oka, hogy a kpcsvet a manyag tartba kell valamilyen mdon rgzteni, ez pedig a kpmret cskkenst vonja maga utn. Kpvlts Ahhoz, hogy az emberi szem folyamatos mozgsnak rzkelje a kpet, msodpercenknt legalbb 25 kpvltsnak kell lennie. Mrtkegysge a frame/sec, Ha ennl kevesebbszer rajzoldik fel a kp, akkor szaggatottnak rezzk. Ez nem ugyanaz, mint a kpfrisstsi frekvencia. Monitorvezrl krtyk A monitorok a lehet legkevesebb ramkrt tartalmazzk a knny bvthetsg rdekben. A monitorok meghajtshoz szksges tovbbi ramkrk egy krtyn tallhatk meg, a monitorvezrl krtyn. A videokrtya hrom f rszbl ll: a videochip alkotja azokat a jeleket, melyek a monitoron kpek formjban megjelennek valamilyen fajta RAM, amely azrt szksges, hogy a krtya a teljes kpre emlkezzen minden pillanatban, ne csak annak egy rszre. A videokrtykban ltalban 1, 2 ,4, 8 vagy 44256 vagy tbb Mbyte RAM van. Alapveten RAM mennyisgtl fgg, hogy milyen felbonts s sznmlysg kpet lthatunk. egy digitl/analg konverter, mely a digitlis jelekbl llt el analg jeleket. A digitl/analg konverterre azrt van szksg mert a katdsugrcsves monitorok hagyomnyos analg jelekkel dolgoznak. A videokrtya mkdse gy leginkbb a processzorra emlkeztet azzal a klnbsggel, hogy a videokrtya csak a kpellltst vgzi. Manapsg a videokrtyk a sokkal nagyobb adattvitelre kpes PCI-buszokra csatlakoznak, vagy a mg annl is gyorsabb - kizrlag a videokrtyk szmra kifejlesztett - AGP buszokhoz. A videokrtykba manapsg egyre inkbb integrlva vannak gyorstkrtyk, de sokszor ms hasznos funkcival is rendelkeznek: pldul televzi-csatornk vtelre s megjelentsre is alkalmasak. Gyorstkrtyk A grafikus rendszerek terjedsvel a videokrtyk sebessge nem volt megfelel. Az ismtld

feladatokat (ablak rajzolsa, kitlts sznnel, vonal hzsa, stb.)hardveresen kellett gyorstani. A gyorstkrtya tveszi a CPU-tl a kpalkotssal kapcsolatos mveleteket. A modern gyorstkrtyk egyre tbb mveletet tudnak vgezni, melyhez kln memria ll rendelkezskre. 2D-s mveletek pl. ablak eltols, vonalhzs, kr rajzols, terlet kitlts, mely sorn csak a kiindul koordintkat kell tadni a gyorstkrtynak. A videokrtyknak sajt memrijuk van a krtyra integrlva, melynek mrete akr 128MB is lehet. ltalban specilis VRAM-ot (Video RAM) hazsnlnak, mely egy idben tud adatot olvasni s rni, mivel 2 csatornja van. Manapsg mr nagyteljestmny processzorok is kerlnek a videokrtykra, melyek fknt a 3D megjelentsnl a vektorgrafikus adatok raszteres adatokk val konvertlsra szolgl. Mivel ezeket a szmtsokat nem a kzponti processzornak kell elvgeznie, hanem az erre specializldott videoprocesszornak, a megjelentett kp gyorsabb s szebb is. A mozgkp megjelents mrtkegysge a frame/sec (frame per sec, FPS), azaz a megjelenthet teljes kpek msodpercenknt. Vannak specilis videokrtyk, melyek a filmek lejtszsra szakosodtak (pl. MPEG2 dekdol krtya, TV-Tuner krtya).

16. Analg s digitlis hrkzlsi csatornk jellemzse (kapacits, zajok hatsa), tviteli kzegek. Vonalak megosztsnak mdszerei. Digitlis jelek kdolsa. A paritsbit s a CRC. Modemek feladata. ISDN, ATM, DSL technolgik.
Csatorna A hrkzlsi csatorna olyan berendezs, vagy kzeg, melynek clja hogy informcit juttasson el az adtl a vevig. Megklnbztetnk digitlis s analg csatornkat. A csatornra, illetve az tvitelre zajok hatnak, melyek rontjk az ads minsgt. Analg csatornk (folytonos csatorna) A mltat teljes egszben az analg tvitel jellemezte, pl. telefon, rdi, TV. A kialaktott kommunikcis infrastruktra is dnten analg volt. A svszlessg analg rendszerek esetn hasznlt fogalom: egy adott analg jel maximlis s minimlis frekvencijnak a klnbsgt rtjk alatta. A svszlessg az a frekvenciasv (rezgsszm tartomny), amelyen bell a csatorna a rezgseket lnyeges torzts nlkl tviszi. Pldul az emberi beszd als frekvencija 300Hz, a fels frekvencija 3400 Hz, gy a svszlessge: 3400-300=3.1 kHz Telefonvonal Az emeri hang tvitelre terveztk, azonban szmtgpek kommunikcijra is alkalmass tehet. Legltalnosabban a laksokban lev telefon vonalak hasznlatosak, melyek kt vezetkes kapcsolt vonalak (csak az sszekttets idejre kapcsoldnak ssze), ellenttben a brelt vonalakkal. A telefonvonal svszlessge 3,1 kHz. Ide sorolhatk a mobiltelefonok, melyek vivfrekvenciaknt 450, 900, 1800 MHz-et hasznlnak s id-multiplexelst hasznlnak (egyidejleg tbben is beszlhetnek ugyanazon a csatornn). Rdi frekvencia kapcsolat Rdik modulci szerint Amplitd- Frekvencia Modullt (fzis nem terjedt el, bonyolult a vev, ad). Hullmhossz illetve frekvencia szerint: KH kzp Hullm RH rvid Hullm URH ultra rvid Hullm Mikrohullm Modem Olyan eszkz, amely egy (digitlis) bitsorozatot analg tviteli csatornn trtn tvitelre alkalmas analg jell alakt t (modull), illetve a vett analg jeleket (digitlis) bitsorozatt alaktja vissza (demodull). Sebessge szerint megklnbztetnk kis-, kzepes-, s nagy sebessg modemet. Mkdse sorn a bitsorozatot brzol jellel modullja a hordoz frekvencit, s ezt a modullt jelet kiadja az tviteli vonalra, illetve a berkez frekvenciamodullt jelet demodullja. A MODEM sz a MOdultor/DEModultor szavak sszevonsbl szrmazik. Digitlis hrkzl rendszer (binris csatorna) A digitlis tvitel tbb fontos szempontbl jobb az analg tvitelnl. Elszr is nagyon kicsi a hibaarnya, tkletesen helyrellthatak s nem lp fel halmozd hiba. Msik elnye, hogy klnbz tpus adatok (hang, zene, kp) vihet t. Eleinte szvegtvitelt valstotak meg, az tvitel egysge a bitcsoport volt, ms nven karakterorientlt tviteli eljrs. Manapsg bitorientlt eljrst alkalmaznak, melyekkel eltr szhosszsg s adatbrzols adat vihet t. Digitlis hlzatokat az adattviteli sebessgkkel: az idegysg alatt tvitt bitek szmval jellemezhetjk. Ezt clszer bit/s-ban mrni. Az tvitelt jellemezhetjk a felhasznlt jel rtkben 1 msodperc alatt bekvetkezett vltozsok szmval is, amit jelzsi sebessgnek, vagy kzismert nven baud-nak neveznk. A digitlis kommunikcis rendszerek f clja az, hogy egy digitlis forrsbl

rkez zenetet (szimblumok egy sorozatt) tovbbtsanak trben s idben egy adott clllomsra olyan gyorsan s pontosan, ahogy az csak lehetsges. Optikai: Szabadtren infra vrs sugrzssal, de csak PC, tvvezrl, CD rendszerekben. PC Pl: IRDA Vezetett. Optikai hlzatok PC tbb 100 Mbit Zajok, torzts, kapacits, hiba Az volna az eszmnyi, ha a csatorna kimeneteli oldaln mindig azt az informcit kapnnk meg, amely a msik oldaln belpett, azaz a belp x jelnek a kimenetelnl mindig y jel felelne meg. Az ilyen csak elmletben ltez idelis csatorna neve zajmentes csatorna. Sajnos a relis csatornk mindig zajosak, zaj minden olyan jelensg, amely a hrkzl csatornban "megtmadja" a hasznos informcit, megcsonktja, elnyomja, eltorztja, legrosszabb esetben meg is semmisti. Mskppen fogalmazva: zajos csatornnl a kilp jel nem felel meg mindig a belp jelnek, hamis jelek keverednek az igaziak kz. Zaj pldul az az elektromgneses rezgs, amely zavarja a rdivtelt, az utca zaja, amely elnyomja a beszlgettrsunk hangjt. A zajokat kt csoportra oszthatjuk: A rendszertorzts azonos jel esetn mindig azonos, s elvileg teljesen kikszblhet. A csatorna vagy cszaj fggetlen a jeltl, rendszertelen, statisztikus jellege van, s teljesen sohasem szntethet meg. (Tulajdonkppen a zaj is informci, csak ppen nem az, amire szksgnk van, s nagyon sokszor a kdjt sem ismerjk.) A zaj teht bizonytalann teszi a csatorna mkdst. A vev sohasem lehet teljesen biztos benne, hogy jl rtette-e az zenetet, a vett jel megfelele a leadott jelelnek A hrkzls gazdasgossga szempontjbl nagyon fontos krds, hogy az informci milyen sebessggel halad t a csatornn. A maximlis tviteli sebessget a csatorna kapacitsnak nevezzk. A csatornn tjut informcimennyisg rendszerint nem ri el a maximumot, s gy a csatorna kapacitsnak egy rsze kihasznlatlan marad. A kapacits s a tnylegesen tvitt informci klnbsgnek az arnyt a csatorna redundancijnak nevezzk. A jel/zaj viszony, vagy zajnv a hasznos jel s a zaj teljestmnynek az arnya. Minl nagyobb a asznos jelek energija, annl biztosabb a vtel. Ha nagy utcazajban kis energival suttogunk, nagy lesz az informcivesztesg. Vonalak megosztsa Ahhoz, hogy informcicsert valsthassunk meg kt vgpont kztt, szksgnk van a vgpontok kztt az sszekttetst biztost vonalakra. Sok esetben azonban a kommunikci jellegbl fakadan nincs folyamatos informcicsere rajta, azaz a legtbb kapcsolatban a vonalhasznlat csak idszakosan jelentkezik. Nem sszer teht egy kommunikcis csatorna szmra kisajttunk egy teljes vonalat. Ezek a vonalak igen jelents kltsggel pltek meg, clszer minl jobban kihasznlni azokat. Amennyiben klnvlasztjuk a funkcikat, a csatornra, amelyeken az informcicsere trtnik, s a felhasznlt, tnyleges, fizikailag ltez sszekttetseket biztost vonalakra, akkor lehetsg nylik a gazdasgosabb kihasznlsra. Mivel az ad s vev oldal szmra csak a vgeredmny, az informci a fontos, ezrt egy vonalon tbb csatorna is kialakthat, a megvalsts pedig tbbflekppen is elkpzelhet. Multiplexels A fizikai kzeget tbb csatorna kztt osztjuk meg, annak rdekben, hogy a vonalat tbb ad s tbb vev vehesse ignybe. A multiplexels olyan eljrs, amelynek sorn egy adatvonalat elre

meghatrozott, rgztett mdszer szerint elemi adatcsatornkra osztjuk fel. Minden bemeno elemi csatornhoz egy kimeno csatorna is tartozik. A mutiplexelst lehet az idtartomnyban s a frekvencia tartomnyban is elvgezni, gy beszlhetnk frekvenciaosztsos s az idoosztsos multiplexelsi mdszerekrl, valamint illetve ezek kombincijrl. zenet s csomagkapcsols Ezen mdszerek alkalmazsval hatkony vonal kihasznls rhet el. Az tviend informcit kisebb adagokra kell bontani, a vonalon aztn egyms utn tvinni, majd a darabokbl jra sszerakni. A csomagok folyamatos ramlsa az ad s a vev szmra gy tnik, mint folyamatos sszekttets. Vonalkapcsols Ezen mdszer a harmadik lehetsg. Az adatvezetket a kommuniklni szndkoz felek csak a kommunikci idtartamra kapjk meg. Teht az adatvezetk nem egy adhoz s egy vevhz tartozik, hanem csak annak fggvnyben, hogy szksgk van-e r, valamint ms nem hasznlja-e a vonalat. A kapcsolat a kommunikci befejezsekor megsznik. Digitlis jelek kdolsa !?! TOTL HINY A paritsbit s a CRC Paritsvizsglattal az adattvitel sorn esetlegesen elfordul tviteli hibk feldertst megksrelhetjk oly mdon, hogy az tviend adatbit-csoportot egy parits bittel egsztjk ki gy, hogy az gy kiegsztett adatcsoportban lv 1 rtku bitek szma pros (pros parits), vagy pratlan (pratlan parits) legyen. Ilyen mdon, az AD oldaln mindig biztosthat, hogy az 1-es rtku bitek szma mindig pros/pratlan legyen, s a VEVO oldalon az egy (ill. pratlan szm) bit vltozsa miatti hiba felderthet. Ketts hibt a mdszer nem vesz szre. Paritsbitet a mgnesszalagokon kvl ltalban az operatv tr vdelmre is hasznlnak. ECR (egyedi bithibk) CRC (Cyclic Redundancy Code) Ellenrz sszegek hasznlatosak akkor, ha a vrhat hibk nem fggetlenek egymstl, hanem egy adatfolyam egymst nvel bitjei srlnek. Pldul egy hlzati zavar, vagy mechanikai srls hatsra. ISDN Az ISDN telefon a 20. sz. vgn hasznlt modern digitlis telefon. Az ISDN (Integrated Services Digital Network) angol rvidts, jelentse integrlt szolgltats digitlis hlzat. A fejlett orszgok telefonkzpontjai mr digitlis rendszerben mkdtek, a hlzat digitalizlst viszont csak hossz id alatt lehet megvalstani elssorban fnyvezet kbelekkel. Egy olyan tmeneti megoldst kellett tallni, mely alkalmas a digitlis rendszerre hagyomnyos kbelekkel is. Ez volt az ISDN, mely tulajdonkppen egy ngyvezetkes sszekttets hagyomnyos kbelen. A felhasznli (elfizeti) oldal egy csatlakoz dobozban vgzdtt. Ide kellett bedugni az ISDN telefont (a hagyomnyos nem j), amely csak szerkezetben tr el a rgiektl. Tovbbi csatlakozsi lehetsg volt fax, internet s videotelefon rszre. Ez utbbi hasznlata kizrja a tbbi egyidej hasznlatt, a telefon, fax s internet szolgltatsbl egyidejleg brmely 2 hasznlhat. A digitlis fax mkdse is eltr a hagyomnyostl, a gp felismeri a karaktert (ha szveges a dokumentum) s csak annak kdjt tovbbtja digitlisan. Teht szveg kldse vagy fogadsa gyorsabb, kzben radsul telefonlni vagy internetezni is lehet.tkapcsolsra nincs szksg, ha fax hv, automatikusan a fax kapcsoldik. Az ISDN vonalnak 4 egymsutn kvetkez telefonszma van, valamint klnbz csengetsek is beprogramozhatk. ATM Az ATM rugalmas s testreszabhat megoldst nyjt a minsgi szolgltatsok irnti egyre fokozd ignyek kielgtsre olyan hlzatok esetben, amelyek tbbfle informcitpust (adatot, hangot s

vals idej kp- s hanginformcit) is tmogatnak. Az ATM segtsgvel mindezek az informcitpusok egyetlen hlzati kapcsolaton keresztl tovbbthatk. Az ATM defincija Aszinkron adattviteli md (ATM) egymssal kapcsolatban ll technolgikat, kztk a szoftvert, a hardvert s a csatlakozshoz szksges eszkzket jelli. Az ATM klnbzik a jelenlegi LAN- s WAN-technolgiktl, hiszen kifejezetten a nagy sebessg kommunikci tmogatsra terveztk. Az ATM lehetv teszi, hogy a hlzatok a leghatkonyabban hasznljk ki a svszlessget mint erforrst, mikzben fenntartja a szigor szolgltatsi kvetelmnyekkel rendelkez felhasznlk s programok szmra a szolgltats minsgt (QoS). Az ATM alapkomponensei a vgponti szmtgpek, az ATM-hlzathoz csatlakoztatott szmtgpek, valamint azok az ATM-kapcsolk, amelyek sszektik a vgponti szmtgpeket s biztostjk az adatok sikeres tovbbtst. Aszinkron a rendelkezsre ll svszlessg nincs felosztva idzt mechanizmus vagy ra ltal szinkronizlt rgztett csatornkra vagy trolhelyekre. Az aszinkron kommunikcis mdszert hasznl eszkzk kztt nincs kapcsolat abban a vonatkozsban, hogy milyen sebessggel kpesek kldeni s fogadni az informcit. A kld s a fogad sajt fizikai korltaik s a hlzati informciramls fenntartsra val kpessgk figyelembe vtelvel egyeztetst vgez az adattviteli sebessget illeten. tviteli md a kld s a fogad kztti informciramls mdjt jelli. Az ATM esetben a tovbbtand adatok strukturlsa s felosztsa a kis mret, fix hosszsg cellkra vonatkoz koncepci alapjn trtnik. Azzal, hogy nem a legtbb ltez hlzat esetben alkalmazott vltoz hosszsg csomagkldsi mechanizmust hasznlja, az ATM biztostja, hogy a kapcsolatok egyeztetse s kezelse sorn egyetlen adattpus vagy kapcsolat se sajtthassa ki az adattviteli tvonalat. Az ATM elnyei Nagy sebessg kommunikci Kapcsolatorientlt, a telefonos hlzathoz hasonl szolgltats Gyors, hardver alap tkapcsols Egyetlen univerzlis, ms egysgekkel egyttmkdni kpes hlzati adattvitel Egyetlen hlzati kapcsolat, amely megbzhatan alkalmazhat hang, kp s adat tovbbtsra A hlzati svszlessg rugalmas s hatkony lefoglalsa DSL Hagyomnyos rzdrtokon keresztli nagysebessg adattvitelre kpes technolgik gyjtfogalma. A DSL technolgik a hagyomnyos vonalkapcsolt telefonos megoldsokkal szemben, amelyek a hv s a trcszott vgpont kztt alaktanak ki egy ramkrt, kizrlag a vglloms s a telefonkzpont kztt ptenek fel kapcsolatot, amely jval zajmentesebb s gy nagyobb sebessg kommunikcit tesz lehetv. Ezen kvl a DSL kapcsolatokon az ISDN-hez hasonlan mr eleve digitlis kommunikci zajlik a telefonkzpont s a vgkszlk kztt, gy kln modemre nincs szksg a szmtgp csatlakoztatshoz. A klnbz DSL technolgik a legelterjedtebb szlessv hozzfrsi mdok kz tartoznak a fejlett orszgokban.

17. A szmtgp-hlzatok architektrja, az OSI-modell (rtegek, protokollok, rtegszolglatok); A TCP/IP protokoll (feladata, rtegei, informci-ramls, cmzs, tvlaszts)

A mai, modern szmtgp hlzatok tervezst strukturlis mdszerrel vgzik, vagyis a hlzat egyes rszeit rtegekbe (layer) vagy ms nven szintekbe (level) szervezik, melyek mindegyike az elzre pl. Az azonos szint rtegek csak egymssal kommuniklnak. E kommunikci szablyait protokollnak nevezzk. A rtegek s protokollok halmazt hlzati architektrnak nevezzk. OSI Open System Interconnection. Felptse: 1. Alkalmazs Application, szles krben ignyelt szolgltatsokat tartalmaz (FTP) 2. Megjelents Presentation, tmrtst, rejtjelezst vgezhet el, az egyetlen amely megvltoztathatja az zenet tartalmt. 3. Egyttmkdsi, viszony Session, lehetv teszi, hogy kt szmtgp felhasznli kapcsolatot ltestsen egymssal, logikai kapcsolatot pt fel. 4. Szlltsi Transport, feladata a vgpontok kztti hibamentes tvitel biztostsa, az sszekttetsek felptse s bontsa, csomagok sorrendhelyes elhelyezse. 5. Hlzati Network, a kommunikcis alhlzatok mkdst vezrli. Feladata az tvonalvlaszts a forrs s cllloms kztt. 6. Adatkapcsolati Data Link, feladata a hibtlan adattviteli vonal biztostsa a kapcsolatban lv gpek kztt. Az adatokat adatkerett (frame) trdeli, tovbbtja, nyugtzza, hibajavtst, forgalomirnytst vgez. 7. Fizikai kapcsolat Physical, a bitek kommunikcis csatornra bocstsrt felels, csatlakozsok elektromos s mechanikai definilsa.

Az 1,2 a felhasznli alkalmazs, a 3, 4, 5 az opercis rendszer, a 6, 7 a hardver rsze. TCP/IP A TCP/IP betsz az angol Transmission Control Protocol/Internet Protocol (tviteli vezrl protokoll/internet protokoll) rvidtse, mely az internetet felpt protokollstruktrt takarja. Nevt kt legfontosabb protokolljrl kapta, a TCP-rl s az IPrl. A TCP/IP protokoll nv teht alapveten hibs, kzrthetsg szempontjbl szerencssebb lenne a TCP/IP protokollstruktra/protokollhierarchia nv, azonban az elbbi nvhasznlat terjedt el, mely laikusok szmra zavar lehet.

A TCP/IP felptse a rtegzdsi elven alapul, minden egyes rteg egy jl definilt feladatot vgez el, s a rtegek egyms kztt szolglatelrsi pontokon keresztl kommuniklnak. Minden rteg csak a vele szomszdos rteggel kpes kommuniklni, mivel ezek egymsra plnek. Alapveten ngy rteg alkotta, melyet tre bvtettek. Alkalmazsi rteg Az alkalmazsi rteg a felhasznl ltal indtott program s a szlltsi rteg kztt teremt kapcsolatot. Ha egy program hlzaton keresztl adatot szeretne kldeni, az alkalmazsi rteg tovbbkldi azt a szlltsi rtegnek. Szlltsi rteg Az alkalmazsi rtegtl kapott adat elejre egy gynevezett headert csatol, mely jelzi hogy melyik szlltsi rtegbeli protokollal (leggyakrabban TCP vagy UDP) kldik az adatot. Hlzati (Internet) rteg A szlltsi rtegtl kapott header-adat proshoz hozzteszi a sajt header-jt, amely arrl tartalmaz informcit hogy az adatot melyik szmtgp kapja majd meg. Adatkapcsolati rteg Az adatkapcsolati rteg szintn hozzrakja a kapott adathoz a sajt header-jt, s az adatot keretekre bontja. Ha a kapott adat tl nagy ahhoz hogy egy keretbe kerljn, feldarabolja s az utols keret vgre egy gynevezett tail-t kapcsol, hogy a fogad oldalon vissza lehessen lltani az eredeti adatot. Fizikai rteg A fizikai rteg tovbbtja az adatkapcsolati rtegtl kapott kereteket a hlzaton. A fogad oldalon ugyanez a folyamat jtszdik le visszafel, mg az adat a fogad gp alkalmazshoz nem r. Eredetileg a fizikai s az adatkapcsolati rteg egy rteg volt, neve Hoszt s hlzat kztti rteg. Informci ramlsa A TCP a kapcsolatban lv gpeken fut folyamatok kommunikcijt biztostja. Fogadja a tetszleges hosszsg zeneteket a felhasznli folyamattl s azokat maximum 64 kbjtos darabokra vgja szt. Ezekhez fejlcet fz, majd ezeket a darabokat egymstl fggetlen datagramokknt kldi el. A hlzati rteg sem azt nem garantlja, hogy a datagramokat helyesen kzbesti, sem a megrkezett datagramok helyes sorrendjt. A TCP feladata az, hogy idztseket kezelve szksg szerint jraadja ket, illetve hogy helyes sorrendben rakja azokat ssze az eredeti zenett. Minden TCP ltal elkldtt bjtnak sajt

sorszma van. A sorszmtartomny 32 bit szles, vagyis elegenden nagy ahhoz, hogy egy adott bjt sorszma egyedi legyen. Cmzs A gpek egyedi azonostsra szolgl a cmzs mechanizmusa. A jelen keretek kztt az IPv4-es szabvny kerl ismertetsre, mivel ez a legelterjedtebb IP szabvny. Az IPv6-os szabvny bevezets alatt ll (IPv5 nem volt). A cmek 32 bitesek a cm hrom rszre oszthat: Eltag: Ez azonostja a cmosztlyt. A cmosztly mutatja meg, hogy az eltag utn hny bitet kell hlzati cmknt, s hny bitet kell host cmknt rtelmezni. Network Adress (hlzati cm): Az egyes hlzatok megklnbztetsre szolgl, valamint a kzponti adminisztrcit segti el, azaz ne lehessen kt gpnek azonos IP cme. A hlzati cmet kzpontilag kell ignyelni, s kzpontilag utaljk ki az ignylonek. Host Adress: A 32 cmbit maradkat teszi ki. Ezt szabadon llthatja be a cmtartomnyt ignylo a sajt gpein. Az IPv4 t cmosztlyt definil, de tulajdonkppen csak hrom cmosztlyt hasznlnak. (Sajnos az elnevezseket elg knny ms elnevezsekkel keverni, mert bizonyos elnevezseket a terminolgiban msra is hasznlnak.) A osztly IP cm:

B osztly IP cm:

C osztly IP cm:

tvlaszts (routing) A csomagkapcsolt rendszerekben az tvlaszts (routing) azt a folyamatot jelli, amivel kivlasztjuk az tvonalat (path), amin a csomagot tovbbkldjk s az tvonal vlaszt (router) az a szmtgp (IMP), amely ezt vgrehajtja. Az tvlasztsi tblk troljk az informcit az elrhet csompontokrl s azok elrsi tvonalairl. Ha kt gp egyazon loklis hlzaton van, akkor tvonal-kivlaszs nlkl kzvetlen (direkt) sszekttets ltesthet kzttk. Klnbz hlzatok kztti kzvetett (indirekt)

tvonal kivlasztsnl elszr a feladnak meg kell adnia azt az tvlasztt, amihez a csomagot (datagram-ot) kldi. Majd az tvlaszt fogja (esetleg jabb tvonal vlasztkon keresztl) a clhlzatra tovbbtani a datagram-ot.

18. Loklis hlzatok szabvnyos megvalstsa (Ethernet, vezrjeles sn, vezrjeles gyr): protokollok, kzeg-hozzfrsi mdszerek, tviteli kzegek, fizikai egysgek
Hlzat Egymssal szoros kapcsolatban lv szmtgpek rendszere. A szmtgp hlzatok clja erforrs megoszts terhels megoszts nagyobb megbzhatsg mkds kltsgmegtakarts adatbzisok elrse kommunikcis kzeg kialaktsa A szmtgpes hlzatokat tbb szempont szerint is lehet csoportostani. Terleti kiterjeds alapjn LAN (Local Area Network) - kis kiterjeds hlzat, loklis hlzat; jellemzje az egyedi kbelezs s az ebbl kvetkez gyors adattvitel; mrete 1 szobtl kezdve nhny kilomterig terjed. MAN (Metropolitan Area Network) - vrosi mretu hlzat; egy vros nagysgrendjt lefed hlzat; fontoss teszik a trinformatikai rendszerek, melyek sszefognak egy teleplst; msik tipikus alkalmazs a vilghlzatok kiindulsi pontjaihoz (pl. Internet node-ok) val belps biztostsa. WAN (Wide Area Network) - nagytvolsg hlzat; kiterjedse pr kilomtertol kezdve az egsz Fld nagysgig terjedhet; tipikus tviteli eszkzei a tvkzlsi vllalatok ltal nyjtott eszkzk: telefonvonal, muhold, mikrohullm, stb. Topolgia alapjn: Bus (sn): egyazon csatornt hasznl az sszes gp, soros kapcsolssal. Elny: olcs. Htrny: vonalszakadskor a hlzat nem mkdik, knnyen leterheldik. Gyr: lncbaszervezett gpek, itt csak egy gyr tallhat. Elny: olcs, nincs csopont, egyszeres vonalszakads esetn is mkdik mg. Htrny: lass adattvitel, mivel az kztes gpeken is thalad az adat. Fa: egy gykrszerverre kapcsoldnak kisebb szerverek, majd azokra tovbb a munkallomsok. Elny: korbban kialaktott kisebb hlzatokat be lehet pteni. Htrny: kltsges, a kzponti szerver lellsakor a hlzat nem mkdik. Csillag: a szmtgpek egyazon csompontra csatlakoznak. Elnye: vonalszakads esetn csak az adott gp vlik hasznlhatatlann. Htrnya: a szerver tlterheltt vlhat. Teljesen sszefgg: a hlzatban rsztvev sszes szmtgp kapcsolatban van egymssal. Elny: kzvetlen cmzssel elrhet brmelyik gp. Htrny: nagy kbeligny, magas kltsgek. Rszben sszefgg: a teljesen sszefggbl elhagyunk nhny gat

tviteli sebessg alapjn Lass (kb 30 kbit/sec): ltalban telefonvonalak felhasznlsval trtn tvitelre jellemz (ISDN 64, ill. 128 kbit/s) Kzepes sebessg (kb 1-20 Mbit/s): ide tartozik a legtbb loklis hlzat (Ethernet - 10 Mbit/sec, Token Ring - 16 Mbit/sec) Nagy sebessg (50 Mbit/sec felett) Specilis hlzatok osztlya volt rgebben, de mra a 100 Mbit/sos loklis hlzatok terjednek robbansszeren. Elkezddtt a Gigabit/s-os hlzatok fejlesztse is. Valsznleg rvid idn bell ezt a tartomnyt fogjuk a nagy sebessg osztlyba sorolni. A Matv ezzel elsoknt ltest haznkban 2,5 Gigabit/sec sebessgu optikai WDM, Wave Division Multiplexinghlzatot, amelyet a budapesti NIIF kzpont s hat egyetemi vros (Miskolc, Debrecen, Szeged, Pcs, Veszprm, Sopron) kztti kapcsolatokhoz pt ki. tviteli mdszer alapjn Alapsv (baseband): modullatlan jeleket tovbbit, teht az tviteli kzegben halad jel frekvencija kzel azonos a bitsorozat frekvencijval (LAN-okra jellemz) Szlessv (broadband): az adattvitel modullt, teht a viv frekvencija jval nagyobb, mint a bitsorozat frekvencija (pl. kbeltv) Kommunikcis irny alapjn Szimplex (egyirny): egyik lloms csak ad a msik csak vev lehet Fl-duplex (vltakoz irny): mindkt irny tvitel megengedett, de egyidben csak az egyik irny lhet Duplex (ktirny): mindkt lloms egyszerre adhat s vehet Kapcsolsi technika alapjn Vonalkapcsolt - Kt kommunikl lloms kztt lland kapcsolat pl ki (pl telefon) zenetkapcsolt - Kt lloms kztt az tviv hlzat trolva tovbbt egysgekbl ll, ezek tovbbtjk az zenetet egy cminformci alapjn. Az zenet hossza nem korltozott. Csomagkapcsolt - Hasonl az zenetkapcsolthoz, csak a csomag mrete maximlt, ezrt a hosszabb zeneteket szt kell trdelni. Nem szksges trolva tovbbtnak lenni az tviv hlzatnak (pl. Ethernet). Kt vltozata ltezik: sszekttets nlkli: A csomagok tvitelt az n. datagram service (tvirat) vgzi. Minden csomag tartalmazza a teljes rendeltetsi cmet, kln tovbbtdik (kzben a sorrendjk is vltozhat). Htrnya a bonyolult csomag-sszepts. Virtulis sszekttetses: A csomagok tvitelt egy virtulis adatramkr (virtual circuit) biztostja. Ez egy hvs tjn ltrejv logikai sszekttets, amely a bontsig fennll, a csomagok ezen a rgztett adatton kerlnek t. Teljes cm helyett csak az adatramkr azonostjt kell tartalmazniuk. Htrnya, hogy nem olyan flexibilis, mint a datagram. sszekttets nlkli - a csomagok tvitelt a datagram service vgzi (UDP) Kzeghozzfrsi md alapjn Vletlen tvitelvezrls: egyik llomsnak sincs engedlyre szksge az zenettovbbtshoz, ads eltt csak az tviv kzeg szabad voltt ellenrzi. Osztott tvitelvezrls: egyszerre csak egy llomsnak van joga adni, de ez a jog az llomsok kztt krbe jr. Tipikus kpviselje a vezrjel-tovbbtsos (token passing) mdszer. Az llomsok kztt egy vezrjel jr krbe, akinl ez van, az adhat. A topolgia alapjn lehet vezrlgyr (token ring) vagy vezrjeles busz (token bus). Kzpontostott tvitelvezrls: egy kitntetett lloms foglalkozik az tviteli jogok kiadsval.

A hlzat hardver felttelei A hlzathoz szksg van legalbb kett, vagy ennl tbb szmtgpre. gyfl-kiszolgl rendszereknl ebbl egy a szerver szerept tlti be. A msodik s a tbbi szmtgp lesz a munkalloms. Ahhoz, hogy ezek a gpek sszekttetsben legyenek egymssal, szksg van mg vezetkekre, s gpenknt egy hlzati krtyra, ami a szmtgpek kztti sszekttetst ltrehozza. A hlzat szoftver felttelei: Egyenrang hlzatoknl a kapcsolatban ll gpek kliensknt s szerverknt is mkdhetnek, az opercis rendszerbe vannak beptve a hlzati szolgltatsok. Kliens-szerver hlzatoknl a szerveren hlzati opercis rendszert kell futtatni (pl. Novell NetWare, Windows NT/2000/XP), ami kiszolglja a kliensektl rkez krseket. A szerver feladata a fjlok trolsa s vdelme s a hlzati nyomtats lehetsgnek biztostsa. A klienseken brmilyen kompatbilis opercis rendszer futhat. Az alkalmazi programok a kliens gpeken futnak. Felhasznli jogok a hlzatban Jogtpusok Elrsi jogok: Egy felhasznl kaphatja a jogokat ahhoz, hogy egy knyvtrban elrje az ott tallhat fjlokat. Hozzfrsi jogok: Az elbb ismertetett jogokat kaphatja egy alknyvtr vagy egy fjl ahhoz, hogy brki hozzfrjen az adott fjlhoz vagy alknyvtrhoz. gy az alknyvtrban vgezhet mveleteket korltozhatjuk. Effektv jogok: Az elz kt jogtpus-halmaz kzs metszete adja meg egy knyvtrban vgezhet mveletek krt. Ms szval, ha a felhasznlnak joga van egy knyvtrban valamilyen mveletre, de nincs joga senkinek abban a knyvtrban ahhoz a mvelethez, akkor a mvelet nem vgezhet el. Ugyanez fordtva is igaz. Egyik jog sem felsbbrend. Jogok FILE SCAN - KERESSI JOG: A felhasznl ltja az alknyvtrban lv fjlokat, gy keresni is tud READ - OLVASSI JOG: A felhasznlnak joga van az alknyvtrban lv fjlokat olvassra megnyitni, azok tartalmt olvasni, s olvass utn lezrni. WRITE - IRSI JOG: A felhasznl az ltala megnyitott fjlba rhat, az ott lv adatokat mdosthatja CREATE - LTREHOZSI JOG: Az alknyvtrban j fjlokat hozhat ltre a felhasznl. ERASE -TRLSI JOG: Az adott alknyvtrban lv fjlokat trlheti a felhasznl. MODIFY - MDOSTSI JOG: Az alknyvtrban lv fjlokat a felhasznl tnevezheti, attribtumait mdosthatja. ACCESS CONTROL - HOZZFRS ELLENRZSE: hozzfrsi jogokat adni s elvenni. SUPERVISOR - RENDSZERGAZDAI JOG: Minden joga megvan a felhasznlnak az adott knyvtrban s annak alknyvtraiban. Ez a legmagasabb jog egy knyvtrban.

19. Az opercis rendszer erforrs-kezeljnek feladata; A holtpont s kezelsnek stratgii; Biztonsgos llapot; A szemafor hasznlata a termel-fogyaszt folyamatok esetben.
http://www.sze.hu/~benyo/edu/OR/1998Tavasz/ORvazlat1998tavasz.html#Holtpont Az opercis rendszer erforrs-kezeljnek feladata (Resource Manager) A rendszermag azon rsze, amely az erforrs elosztsrt s lefoglalsrt felels. Ha egy folyamat erforrst ignyel, az ~ dnti el hogy a krs kielgthet-e. Gondoskodik az erforrsok hatkony, gazdasgos, biztonsgos elosztsrl, illetve a kialakult versenyhelyzetek kezelsrl. Erforrs A szmtgp hardver/szoftver rszei illetve az ltaluk nyjtott szolgltatsok. Hardver erforrs: CPU, RAM, nyomtat, stb. Szoftver erforrs: adatbzis, fjl, stb. Holtpont A holtpont egy rendszernek egy olyan llapota, ahonnan kls beavatkozs nlkl nem tud elmozdulni. Holtpont egyrszt akkor fordulhat el a vezrls sorn, ha a folyamatok egy adott halmazban minden egyes elem lekt nhny erforrst, s ugyanakkor vrakozik is nhnyra. Ha ilyen esetben a folyamatok egy rsze olyan erforrsra vrakozik, amelyek msok elfoglaltak, akkor a tevkenysgek "megmerevedhetnek". A holtpont kialakulsnak felttelei 1. Klcsns kizrs: azaz a folyamatoknak olyan erforrsokat kell "fogva" tartani, illetve ignyelni, amelyeket csak 1 folyamat hasznlhat egyidejleg. 2. Erforrsok lefoglalsa (vrakozs kzben lekts trtnik): azaz a folyamatoknak erforrsokat kell foglalkoztatni (pl. nyomtat), mikzben msok ignylik ezeket. 3. Megszakts nem megengedett (rabls nincs): ami azt jelenti, hogy a rendszer nem fggesztheti fel egy erforrs hozzrendelst egy folyamatokhoz azrt, hogy egy msik szmra hozzfrhetv tegye az erforrst. 4. Visszatr ignyek (ciklikus vrakozs): egy folyamat ignyel egy erforrst, s ksbb jra ugyanazt az erforrst ignyli a folyamat. Holtpont csak akkor alakul ki, ha a fenti felttelek egyszerre teljeslnek! Holtpont megelzse A holtpont megelzs clja az, hogy a fenti felttelekbl legalbb egy ne teljesljn. 1. A klcsns kizrs nhny esetben (pl. CPU) elkerlhetetlen, de msoknl knnyen elkerlhet. Az egyik leggyakrabban hasznlt technika a spooling-ols. Ilyenkor egy tmeneti pufferbe helyezzk el a nyomtatand anyagot, s ezek egy batch folyamat segtsgvel hasznljk fel az erforrst. 2. Az erforrsok lefoglalsa gy kerlhet el, hogy egyidben csak egy erforrst foglaljon le a folyamat. 3. A bankr algoritmus: Csak akkor ad erforrst egy folyamatnak, a rendszer biztonsgos llapotban marad. 4. A visszatr ignyek elkerlsre hierarchikus rendszert hasznlunk. A forrsokat kategrikba osztjuk, s ezekhez prioritsokat rendelnk. Holtpont kezelsi stratgik Erforrs hasznlati szablyokkal biztostani, hogy holtpont ne alakuljon ki:

holtpont megelzs (deadlock prevention), holtpont elkerls (deadlock avoidance). Csak a holtpont kialakulsnl avatkozunk be: holtpont felismers (deadlock recognition), holtpont felszmolsa (deadlock recovery). Biztonsgos llapot A rendszer olyan llapota amikor ltezik olyan sorrend ami szerint a folyamatok kielgthetek. Szemafor A szemafor a szmtgp-programozsban hasznlt vltoz vagy absztrakt adattpus, amit az osztott erforrsokhoz val hozzfrsek szablyozshoz hasznlnak a tbbfeladatos krnyezetekben. A szemaforokhoz csak nhny atomi alapmvelettel lehet hozzfrni (olyan mveletekkel, melyek nem szakthatk meg). A P s V nevek holland nyelv szavak rvidtsei. A P a proberen, kiprblni, mg a V a verhogen, nvelni szbl szrmazik. Az Init mvelet az adott szemafor inicializlst vgzi. A P mvelet addig vrakozik, amg egy erforrs el nem rhet, majd amikor az felszabadul, hozzfrst szerez hozz. A V aP ellenkezje; felszabadt egy erforrst, aminek hasznlatt a hv folyamat befejezte. A P s V hvsok atomiak; semmilyen ms folyamat nem kezdhet bele ezen mveletek vgrehajtsba, amely ugyanazon a szemaforon akar egy msik mveletet kezdeni.

20. A magas, kzbens s alacsony szint temezk feladata egy opercis rendszerben. A folyamatok llapotai. temezsi algoritmusok.
temez Az idvel val gazdlkodst temezsnek (scheduling) nevezzk. Az temezs sorn a folyamatok llapota vltozik meg. Attl fggen, hogy milyen llapotok kztt trtnik vlts, az temezk tbb szintjt definilhatjuk. temezsi szintek Magas: A ftemez (high-level scheduler) vagy magas szint temez vlasztja ki a httrtroln lv programok kzl azt, amelyik az opercis rendszer kzvetlenebb felgyelete al kerlhet, elkezddhet a vgrehajtsa, azaz folyamatt vlhat. Viszonylag ritkn van r szksg. Kzbens: Folyamatosan figyeli a rendszer llapott (terhelst) s ha tlsgosan sok folyamat kerl futsra ksz llapotba s egyiknek sem jut elg processzorid akkor a kzbens szint temez egyes folyamatokat felfggeszt illetve prioritsukat trendezi a rendszer hatkony mkdsnek rdekben. Alacsony: Az alacsony szint temez feladata, hogy a processzort a futsra ksz folyamatok kztt igazsgosan s hatkonyan ossza el. Legfbb kvetelmny vele szemben a gyorsasg. Folyamatok llapotai Alapllapotok Futsra ksz Fut Vrakozik tmeneti llapotok Elindul megszakad Vr Felled temezsi algoritmusok FCFS (First Come First Served) - Elbb jtt elbb fut: rkezsi sorrendben kapjk meg a processzoridt ameddig le nem futnak illetve valamelyik perifria miatt nem vrakoznak. Elnye: egyszer, biztos. Htrnya: a folyamatok rkezsi sorrendjtl nagyban fgg a vrakozsi id. SJB (Shortest Job First) - A legrvidebb elnyben. A legrvidebb processzoridt ignyl folyamatot rszesti elnyben. Elnye: a legrvidebb vrakozsi idt adja. Htrnya: a hosszabb futst ignyl folyamatokkal mostohn bnik (leterhelt processzor esetn mindig elvghat valaki) RR (Round Robin) - Minden egyes folyamatnak egy meghatrozott processzoridt biztost s azutn megszaktja s a vrakozsi sor vgre teszi. Elnye: a legrvidebb vlaszidt produklja s a folyamatok kztt demokratikusan osztja el. Htrnya: a CPU-t viszont jelents adminisztrcit ignyel a krnyezetvltsok miatt.

21. Tbbfeladatos (multitasking) opercis rendszerek feladatai, felptse; A trvdelem feladata s megvalstsa (privilgiumi szintek, jogosultsgok, szegmensek, deszkriptorok, kapuk)
A tbbfelhasznls opercis rendszerek lehetv teszik, hogy a szmtgp erforrsait egyszerre tbb felhasznl hasznostsa. Az opercis rendszernek gondoskodnia kell arrl, hogy az egyes felhasznlk ignyei ki legyenek egyenslyozva, s hogy az egyes programok elegend s kellen elvlasztott erforrsokat hasznljanak. Valdi prhuzamos feldolgozst jelent multitasking (multiprocesszing) csak tbb processzorral rendelkez rendszerekben valsthat meg. Az egyprocesszoros gpeken a multitaskingot az opercis rendszerek az gynevezett idoszts (time sharing) segtsgvel valstjk meg gy, hogy minden egyes feladatot csak a msodperc trtrszig hagynak futni, ami utn elveszik tle a vezrlst, s egy msik feladatot hagynak hasonlan rvid ideig futni.
Azt, hogy melyik feladattal mennyit foglalkozzon a processzor, a folyamat prioritsa mutatja meg. ltalban a rendszerfolyamatok magasabb prioritssal futnak. gy az erforrsokhoz a folyamatok idben osztozva frnek hozz. Az idosztsos rendszer mkdse sorn minden egyes feldolgoz folyamatnak kizrlagos, de csak rvid ideig tart hozzfrst enged az erforrsokhoz, amelynek letelte utn ugyanezt a jogot a kvetkez processznek engedi t, amellyel szintn hasonl mdon bnik el. Ilyen mdon egyetlen rendszeren tbb feldolgozsi folyamat vgrehajtst is lehetv teszi a nlkl, hogy azoknak egyms befejezsre kellene vrniuk. Az idosztsos rendszerek annak ellenre, hogy valjban soros mkdsi mdot alkalmaznak, a prhuzamos feldolgozs ltszatt keltik a felhasznlban, illetve tbb felhasznl ltszlag prhuzamos - valjban megosztott - kiszolglsra is alkalmasak.

temez (scheduler) A tbbfeladatos rendszerek kzponti magja, amely eldnti, hogy melyik feladat mikor s mennyi ideig kapja meg a vezrlst. Preemptv temezs: amennyiben az temez a megadott id lejrta utn kpes maga is visszavenni a vezrlst az ppen fut feladattl, akkor preemptv temezrl beszlnk. Kooperatv temezs: A vezrls visszaszerzsre csak a fut feladat hozzjrulsval kpes temezket kooperatv temeznek nevezik. Mivel gy egyetlen msodpercen bell akr tbb tz folyamat is "szhoz juthat", azaz mveleteket vgezhet, ezrt a felhasznlban az kpzet keletkezik, hogy a programok prhuzamosan mkdnek pedig valjban minden idpillanatban csakis egyetlen egy folyamat fut. Jellemzi: Klnbz felhasznlk adatainak, belltsainak nyilvntartsa, privt munkaterlet biztostsa Tbb felhasznl is dolgozhat egy szmtgpen ugyanabban az idben Tbbfeladatos (multitask): tbb feladat (process) futhat egy idben A szmtgp-hlzatok kiterjedt tmogatsa Klnbz korltozsok ltrehozsa klnbz felhasznlk rszre A felhasznlk csak a sajt fjljaikkal dolgozhatnak Vdelem A proceszsorok vdett zemmdja klnbz eszkzk felhasznlsval tbbszint s hatkony vdelmet tud nyjtani a multitaszkos feldolgozsokhoz. A vdelem eszkze egyrszt az elrhet erforrsokhoz tartoz, az elrsi jogosultsgot szablyoz vdelmi szintek (0-3 kztt) hasznlata, msrszt az erforrsok mint rendszerobjektumok (pl.: szegmensek, megszakts kapuk, tblzatok, stb.) csoportostsa, amelyek ignybvtele csak az u.n. rendszertblkon (GDT,LDT) keresztl trtnhet. Ezek a rendszertblk az erforrsok legfontosabb adatait, tbbek kzt az objektum privilgium szintjt is tartalmaz deszkriptorokat is troljk.

Deszkriptorral rendelkez rendszerobjektumknt a kvetkezket hasznljuk: program- s adatszegmensek lapok taszkok tblzatok (LDT = local descriptor table, TSS = task state segment) kapuk (gates, taszk kapu, megszakts kapu, call kapu, trap kapu) Privilgiumok A i486-os processzorok 4 szint vdelmi rendszerrel rendelkeznek. A legmagasabb privilgium szinttel (Pl=0) az opercis rendszer, a legalacsonyabb (Pl=1, Pl=2) privilgiummal a klnbz kiszolgl rutinok, I/O rutinok, mg a legalacsonyabb szinttel a (Pl=3) a felhasznli programok rendelkeznek. A klnbz privilgium szinteken lv objektumok kztt a kapcsolat csak a kvetkez szablyok betartsval jhet ltre: egy program csak a vele azonos vagy nla magasabb privilgium szinttel rendelkez programot hvhat, indthat el. egy program csak a vele azonos vagy nla alacsonyabb privilgium szinten lv adatot hasznlhat fel. Minden, feldolgozs alatt lv feladathoz, taszkhoz tartozik valamilyen privilgium szint. Ezt nevezik aktulis privilgium szintnek. (CPL = current privilege level). A CPL rtkt a programszegmens szektornak RPL rtke adja. A taszkok a tblzatokban elhelyezett deszkriptorokat, illetve rajtuk keresztl a kivnt objektumot, a szeelktorok felhasznlsval rik el. A szelektorok als kt bitje meghatrozza az azokhoz rendelt privilgium szintet (RPL = requestor privilege level). A taszk privilgium szintje (CPL) s a szelektor privilgium szintje (RPL) egyttesen hatrozzk meg a vlasztott szegmens elrhetsgt, a CPL s az RPL kzl mindig a kisebb privilgium adja a feladat tnyleges privilgium szintjt (EPL = Effective privilege level). A taszkok s az I/O mveleteket csak meghatrozott esetekben vgezhetik el kzvetlenl. Az I/O eszkzk elrhetsgt az EFLAGS regiszter IOPL ( input-output privilege level) mezje szablyozza. Ha a CPL > IOPL, azaz az aktulis privilgiumszint alacsonyabb mint az I/O eszkz, akkor a taszk kzvetlenl nem vgezhet I/O mveletet. A i486-os processzoroknl a feladathoz tartoz taszk szegmens (TSS) input-output bitkpe (I/O premission bitmap) dnti el, hogy 13-as megszaktst kell e ellltani, vagy a mvelet vgrehajthat. A klnbz privilgium szint programok kztti kapcsolat (interlevel communication) az u.n. kapukon (gate) khet csak ltre. Ezek deszkriptorai szablyozzk a kvnt privilgium szintet. Ilyen kapcsolat lehet pldul: ms privilgium szint rutin vgrehajtsa (call) s visszatrs az eredeti feladathoz ms feladat vgrehajtsa (call) s visszatrs az eredetihez ttrs ms feladat vgrehajtsra (jump) A kapcsolatok ltrehozst szolgl kapuk tpusai: call kapu, paramterek tadsval megszakts kapu, megszaktsok kezelsre trap kapu, szoftver eredet megszktsok kezelsre traszk kapu, amelyen keresztk egy msik feladat feldolgozsra lehet ttrni, majd visszalpni

Taszkvltskor a regiszterek aktulis tartalmt az opercis rendszer elmenti a taszk llapotszegmensbe (TSS), betlti az j taszk szelektort az j TR regiszterbe, majd ennek alapjn betlti a memriba az j taszk TSS-t s ebbl az j regisztertartalmakat, majd elindtja a taszkot. Call kapu ignybevtelekor csak paramtertads trtnik a meghvott rutin szmrsa. Deszkriptorok A vdelem kialaktshoz, a multitaszkos feldolgozshoz az albbi rendszertblkat hasznlja a processzor: GDT (global descriptor table): globlis deszkriptortbla, amely minden feladat ltal elrhet tblzat, brmilyen objektumhoz tartoz deszkriptort tartalmazhat, kivve a kiszolgl rutinok (trap-ek, megszaktsok) deszkriptorait. A globlis deszkriptortbla els helye nem hasznlt, az erre mutat deszkriptorokat null-szektornak nevezzk. A globlis tbla kezdetnek cmt s a tblzat mrett a GDTR regiszter trolja. LDT (local descriptor table): loklis deszkriptortbla, amely egy-egy feladathoz tartoz deszkriptorokat (program- s adatszegmes, call kapu, taszk kapu) tartalmazza. Az opercis rendszer minden feladathoz ltrehoz egy LDT-t. Azok a deszkriptorok, amelyek sem a GDT-ben, sem a feladathoz tartoz LDT-ben nem tallhatk, azokhoz a taszk nem frhet hozz. A loklis tblzat kezdcmt s mrett az LDTR-ben trolt szelektor alapjn keresi ki a rendszer a globlis deszkriptortblbol s trolja az LDTR melletti nem elrhet regiszterben (cache trban). IDT (interrupt descriptor table) megszaktsi deszkriptor tbla, amely vdett zemmdban a megszaktsok, kivtelek kezelst biztostja.

Anda mungkin juga menyukai