Anda di halaman 1dari 22

GUA DE TRABAJO INDEPENDIENTE O CON ACOMPAAMIENTO

Cdigo Versin Fecha

FDE 048 03 2010-06-09

INSTITUTO TECNOLOGICO METROPOLITANO


Institucin Universitaria, Adscrito a la Alcalda de Medelln

GUA DE TRABAJO Tecnologa en Telecomunicaciones 1. IDENTIFICACIN Asignatura Laboratorio de Electrnica digital Gua No. 2 rea Bsica de la tecnologa Nivel: 2 Cdigo LDX42 Pensum 11 Correquisito(s) EDX44 Prerrequisito LAX32 Crditos 2 TPS 2 TIS 4 TPT 2 TIT 4 TRABAJO INDEPENDIENTE TRABAJO PRESENCIAL Trabajo Trabajo Trabajo Trabajo X Terico Prctico Terico Prctico 2. IDENTIFICACIN

COMPETENCIAS Aplicar conceptos de conversin de sistemas numricos aplicados a la solucin de problemas de circuitos combinacionales 2. RECURSOS REQUERIDOS

CONTENIDO TEMTICO Codificadores y Decodificadores

INDICADOR DE LOGRO Diseo de un decodificador.

Laboratorio de Circuitos elctricos y electrnicos. Bata de laboratorio Protoboard.

Multmetro Integrados (Compuertera lgica ) Display Resistores de 330 y conectores. 3. PROCEDIMIENTO Teora preliminar EL DECODIFICADOR El decodificador es un dispositivo que acepta una entrada digital codificada en binario y activa una salida. En otras palabras un decodificador convierte un cdigo implcito en uno explcito, por ejemplo convierte binario en decimal. Este dispositivo tiene varias salidas, y se activar aquella que establezca el cdigo aplicado a la entrada. Con un cdigo de n bits se pueden encontrar 2 n posibles combinaciones. Si se tienen 3 bits (3 entradas) sern posibles 23 = 8 combinaciones. Una combinacin en particular activar slo una salida. Por ejemplo: Para activar la salida Q2 hay que poner en la entrada el equivalente al nmero 2 en binario (102). En un decodificador de 2 a 4 (se tienen 2 pines de entrada y 4 pines de salida). En la entrada se pone el cdigo en binario (00, 01, 10, 11), que har que se active slo una salida de las cuatro posibles. Ver en el siguiente diagrama una representacin de un decodificador de 2 a 4 Observando con atencin el grfico se puede ver que en la entrada E y en todas las salidas Q, hay una pequea esfera o bolita. Esta esfera indica que la entrada (en el caso de E) y las salidas, son activas en bajo. Con esto se quiere decir que cuando se pone A0 = 0 y A1 = 0 y estamos escogiendo la salida Q0, sta tendr un nivel de voltaje bajo, mientras que todas las otras salidas (Q1, Q2 y Q3) estarn en nivel alto.

De igual manera cuando la entrada E est en nivel bajo (activo en bajo), el decodificador est habilitado. Si est en nivel alto, el decodificador est inhabilitado y ninguna entrada en A0 y A1 tendr efecto. Ver la tabla de verdad siguiente: TABLA DE VERDAD DE UN DECODIFICADOR

Tambin existen decodificadores de 3 a 8 ( 3 entradas a 8 salidas), de 4 a 16 (4 entradas a 16 salidas), etc. Notas: - X significa que la entrada puede cualquier cosa (es indiferente) - 1 = H = High, 0 = L = Low Un ejemplo concreto son los decodificadores 7447 y 7448 que son conversores BCD a 7 segmentos, el primero es nodo comn y el segundo es ctodo comn. DISPLAY DE 7 SEGMENTOS El displays de 7 segmentos, es un componente que se utiliza para la representacin de n Cada vez es ms frecuente encontrar LCDs en estos equipos (debido a su bajsima demanda de energa), todava hay muchos que utilizan el display de 7 segmentos por su simplicidad. Este elemento se ensambla o arma de manera que se pueda activar cada segmento (diodo LED) por separado logrando de esta manera combinar los elementos y representar todos los nmeros en el display (del 0 al 9). El display de 7 segmentos ms comn es el de color rojo, por su facilidad de visualizacin. Cada elemento del display tiene asignado una letra que identifica su posicin en el arreglo del display. Ver el grfico

- Si se activan o encienden todos los segmentos se forma el nmero "8" - Si se activan solo los segmentos: "a,b,c,d,f," se forma el nmero "0" - Si se activan solo los segmentos: "a,b,g,e,d," se forma el nmero "2" - Si se activan solo los segmentos: "b,c,f,g," se forma el nmero "4" p.d. representa el punto decimal El display nodo comn En el display nodo comn, todos los nodos de los diodos LED unidos y conectados a la fuente de alimentacin. En este caso para activar cualquier elemento hay que poner el ctodo del elemento a tierra a travs de una resistencia para limitar la corriente que pasa por el elemento

El display ctodo comn El display ctodo comn tiene todos los nodos de los diodos LED unidos y conectados a tierra. Para activar un segmento de estos hay que poner el nodo del segmento a encender a Vcc (tensin de la fuente) a travs de una resistencia para limitar el paso de la corriente

PROCEDIMIENTO PARA LA PRCTICA A cada estudiante se le pide previamente a la prctica un nombre de mnimo 8 caracteres, puede incluir nmeros, (ejemplo: isabel431) es necesario recordar que las letras m, v, w no se pueden mostrar en display 8 segmentos. Cada estudiante debe disear su decodificador correspondiente, alambrarlo y presentarlo usando el display que considere adecuado, el profesor tendr de cada estudiante previamente el nombre a desplegar en el display Anexar al informe la siguiente consulta: Consultar un codificador de teclado y sus conexiones. Consultar la estructura interna del decodificador 7447 y 7448 Con el fin de afianzar los conceptos y adquirir destreza en el uso y simplificacin de los mapas de Karnaugh, como herramienta indispensable para el diseo del decodificador se anexa un ejercicio de aplicacin en XLINX para reforzamiento de las temticas y las habilidades en el uso de dispositivos y herramientas de simulacin.

PRCTICA
1. Hacer tabla, mapa de Karnaugh, funcin, circuito digital y simulacin. TABLA
A 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 B 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 C 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 D 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 S 1 1 1 1 1 0 1 0 1 1 1 1 0 1 1 0

MAPA DE KARNAUGH

G1 =

FUNCIN

CIRCUITO DIGITAL

2. Hacer tabla, mapa de Karnaugh, funcin, circuito digital y simulacin. TABLA

E 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1

F 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1

G 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1

H 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1

OUT 1 0 0 0 1 1 1 0 1 0 0 0 1 1 1 0

MAPA DE KARNAUGH

FUNCIN

CIRCUITO DIGITAL

3. DISPLAY 7 SEGMENTOS TABLA


D 0 0 0 0 0 0 0 0 1 1 C 0 0 0 0 1 1 1 1 0 0 B 0 0 1 1 0 0 1 1 0 0 A 0 1 0 1 0 1 0 1 0 1 a 1 0 1 1 0 1 1 1 1 1 b 1 1 1 1 1 0 0 1 1 1 c 1 1 0 1 1 1 1 1 1 1 d 1 0 1 1 0 1 1 0 1 1 e 1 0 1 0 0 0 1 0 1 0 f 1 0 0 0 1 1 1 0 1 1 g 0 0 1 1 1 1 1 0 1 1

Decimal 0 1 2 3 4 5 6 7 8 9

MAPAS DE KARNAUGH Para cada segmento del display se debe hacer una tabla en ella se especifica cuando el segmento debe estar encendido (cuando se encuentra en 1), en un numero de 4 bit. Por ejemplo para mostrar el numero 1 (0001) en el display, el segmento A debe estar apagado para este, pero el B y el C deben estar encendido. Las tablas se interpretan de la siguiente manera: DCBA son el numero de cuatro bits, siendo D el MSB y A el LSB, y Y es lo que le indica al segmento si debe estar o no encendido para ese nmero. Las tablas muestran los nmeros del 0 al 15 que es el mayor numero que se puede hacer con un numero de 4 bits (1111), pero como solo nos interesan los nmeros del 0 al 9 los dems nmeros los podemos marcar con x que significa que son irrelevantes. Estas x pueden ser utilizadas en el mapa de karnaugh como 1s para que la agrupacin nos de funciones ms sencillas.

TABLA SEGMENTO A B D 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 C 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1

TABLA SEGMENTO

D 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1

C 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1

B 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1

A 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1

Y 1 0 1 1 0 1 1 1 1 1 X X X X X X

B 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1

A 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1

Y 1 1 1 1 1 0 0 1 1 1 X X X X X X

TABLA SEGMENTO C D TABLA SEGMENTO D 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 TABLA SEGMENTO E SEGMENTO F TABLA C 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 B 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 A 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 Y 1 0 1 1 0 1 1 0 1 1 X X X X X X

D 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 C 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 B 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 A 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 Y 1 0 0 0 1 1 1 0 1 1 X X X X X X

D 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1

TABLA SEGMENTO G C 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 B 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 A 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 Y 0 0 1 1 1 1 1 0 1 1 X X X X X X SEGMENTO A;

DC/BA 00 01 11 00 1 X 01 1 X 11 1 1 X 10 1 1 X

10

X SEGMENTO B;

DC/BA 00 01 11 10

00 1 1 X 1

01 1 X 1

11 1 1 X X

10 1 X X SEGMENTO C;

DC/BA 00 01 11 10

00 1 1 X 1

01 1 1 X 1

11 1 1 X X

10 1 X X SEGMENTO D;

DC/BA 00 01 11 10

00 1 X 1

01 1 X 1

11 1 X X

10 1 1 X X SEGMENTO E;

DC/BA 00 01 11 10

00 1 X 1

01 X

11 X X

10 1 1 X X SEGMENTO F; 10 1 X X SEGMENTO G 10

DC/BA 00 01 11 10

00 1 1 X 1

01 1 X 1

11 X X

DC/BA

00

01

11

00 1 1 01 1 1 1 11 X X X X 10 1 1 X X Con las funciones listas, las simulamos en el programa xilinx para cargarle el programa a la nexys 2 y ver el resultado. En la simulacin del circuito para el display se utilizaron cuatro compuertas not y cuatro suiches para cada display, esto se hizo con el fin de encender o apagar los display que eran o no necesarios. La salida invertida de cada funcin es para que el display encienda, ya que este funciona con ctodo comn,

Bsicamente lo que se hizo en la nexys, fue simular el funcionamiento de un CI 7447 que es un decodificador de bcd a 7 segmentos.

En la figura se muestra el nmero 9 que en binario seria 1001.

Los suiches para esta prctica se organizaron segn su valor para mayor comprensin del funcionamiento de este. Ejemplo: el suiche 1 y el 8 estan encendidos, su suma es igual a 9 que es lo que vemos en el display 7 segmentos. DEMOSTRACIN EN LA FPGA

5. BIBLIOGRAFA BLAKESLEE, Tomas .Digital Design with standard MSI/LSL, Wileyinterscience. Manual de la ECG para circuitos integrados. Notas de clase. TOCCI, Ronald. Sistemas Digitales Principios y aplicaciones. Prentice hall. 1996, 830 p. ISBN 9688807370 Univirtual. Curso interactivo de electrnica digital I. Universidad Nacional de Colombia. Curso virtual disponible en: [http://www.virtual.unal.edu.co/cursos/ingenieria/2000477/index.html]. Sistemas Digitales Principios y aplicaciones Ronald I. TOOCI Prentice Hall Practical programmable circuits, James D. Broesh, AP. Academic. Digital Design with standard MSI/LSL, Tomas R. Blakeslee, Wileyinterscience Teora de conmutacin y diseo lgico, Frederick J. Hill, Gerald R. Peterson, Limusa Designing with TTL integrated circuits, Texas instruments Introduction to switching logic, C.L. Sheng, Intex educational publishers Electronic Switching theory and circuits, Beuscher Budlong, Haverty Waldbaum, Van Nostrand Reinhold Circuitos Digitales y Microprocesadores, H. Tab, Mc. Graw Hill Introduction to digitales techniques, Dan L porat, Arpad barna Wiley Digital computer Electronics, Albert P. Malvino, Mc Graw Hill VHLD. Analysis and modelling of digital systems, Navaby, Mc Graw Hill VHLD Lenguaje estndar de diseo electrnico, Lluis Terres-Yogo TorrojaS.OlCOZ, Mc Graw Hill]

Elaborado por: Wimar Moreno Silva, Norma Guarnizo Versin: 2010

Fecha: Junio 18 de 2010 Aprobado por:

Anda mungkin juga menyukai