Anda di halaman 1dari 17

LABORATORIO DE DISEO LGICO I

PRACTICA #: 6 NOMBRE DE LA PRCTICA:


CARACTERIZACION DE FLIP FLOPS

OBJETIVOS:
1. 2. 3. 4. 5. Caracterizar un Flip-Flop de acuerdo con su tabla caracterstica. Caracterizar un Flip-Flop de acuerdo a su funcin caracterstica. Construir un Flip-Flop de un tipo a partir de uno de otro tipo. Representar Flip-Flops en VHDL. Armar un contador binario de 4 bits.

INTRODUCCIN TERICA
MATERIAL: Circuitos integrados: 2-74LS73, 1-74LS74. 4 LEDs. Tableta de conexiones. Alambre telefnico. Cables de conexiones de alimentacin. Pinzas de punta, de corte y de pelar. Manual TTL data Book. Fuente de voltaje (Laboratory DC Power Supply Gwinstek GPS-3303) Generador de funciones.

INTRODUCCIN TERICA.
CIRCUITOS SECUENCIALES. En la figura no. 1 se muestra el diagrama a bloques de un circuito secuencial, el cual se forma de la interconexin de un circuito combinacional y unos elementos de almacenamiento. Los elementos de almacenamiento son circuitos capaces de almacenar informacin binaria. La informacin binaria almacenada en estos elementos define el estado del circuito secuencial en cualquier momento. El diagrama a bloques demuestra que las salidas de un circuito secuencial son funciones no slo de las entradas, sino tambin del estado presente de los elementos de almacenamiento. El

siguiente estado de los elementos de almacenamiento tambin es una funcin de las entradas y del estado presente.

Figura no 1: Circuito Secuencial

Los circuitos secuenciales se sincronizan por medio de dispositivos de sincrona, llamado generador de reloj, que produce un tren peridico de pulsos de reloj, tal y como se muestra en la figura no. 2.

Figura no. 2: Pulso de Reloj

Los circuitos secuenciales se clasifican en: Circuitos Asncronos: Depende de las entradas en cualquier instante y el orden en el tiempo del cambio de las entradas. Circuitos Sncronos: Se define por el conocimiento de sus seales en instantes discretos de tiempo.

La sincronizacin de los circuitos secuenciales se puede realizar de varias maneras, tal y como se muestra en la figura no 3.

Figura no. 3: Tipos de Sincronizacin de Circuitos Secuenciales

FLIP-FLOPS.

Algunos elementos de almacenamiento son conocidos como Flip-flops. Los Flip-flops son celdas binarias capaces de almacenar un bit de informacin. En la figura no. 4 se muestra el diagrama a bloques de un Flip-flop.

Figura no. 4: Diagrama a bloques de un Flip-Flop.

Existe distintos tipos de Flip-flops, en la figura no. 5 se muestra las caractersticas de los principales tipos de Flip-flops.

DESARROLLO TEORICO. 1. Obtener la tabla caracterstica y diagrama de conexiones de los Flip-Flops contenidos en los circuitos integrados 7473 y 7474. Explicar con tus palabras toda la informacin que te proporciona la tabla de 7473 y 7474. Tabla nmero 1 muestra la caracterstica de funciones (74LS73) Entradas CLR L H H H H H CLK X H J K Salidas Q L Q H Donde: H = Nivel lgico alto (HIGH Logic Level) L = Nivel lgico bajo (LOW Logic Level) X = Ether LOW or HIGH Logic Level = Negativo que funciona al margen del pulso. Q0 = El nivel lgico de salida despus de Indicar las condiciones de entrada Toggle = Complemento

X X L

L Q0 Q0 H L L H

H L L H H H

Toggle

X X Q0 Q0

Diagrama de conexiones Las salidas de las dos compuertas AND permanecen en cero mientras el pulso del reloj (abreviado es CLK) sea 0, independientemente de los valores de entrada J y K se permite llegar al flip-flop bsico. El estado de puesta a uno se logra con J = 1, K = 0 y CLK = 1. Para cambiar el estado de puesta a cero (o borrado) las entradas deben ser J = 0, K = 1 y C L K = 1. Con J = 1 y K = 1, la ocurrencia de los pulsos de reloj causar que ambas salidas vayan momentneamente a 0. Cuando quite el pulso, el estado del flip-flop ser indeterminado, es decir, podra resultar cualquier estado, dependiendo de si la entrada de puesta a uno o la de puesta a cero del flip-flop bsico, permanezca el mayor tiempo, antes de la transicin a 0 al final del pulso, cuando J y K sean 1, el pulso de reloj se transmite a travs de una compuerta AND solamente; aquella cuya entrada se conecta a la salida del flip-flop la cual es al presente igual a 1. As, si Q = 1, la salida de la compuerta AND superior se convertir en 1 una vez que se aplique un pulso de reloj y el flip-flop se ponga a cero. Si Q = 1 la salida de la compuerta AND se convierte en 1 y el flip-flop se pone a uno. En cualquier caso, el estado de salida del flip-flop se complementa. Tabla nmero 2 muestra la caracterstica de funciones (74LS74) Entradas PR L H L H H H CLR H L L H H H CLK X X X L D X X X H L X Q H L H (Note 1) H L Q0 Salidas Q L H H (Note 1) L H Q0 Logic level = Transicin positivo activa Q0 = El nivel lgico de salida despus de indicar las condiciones de entrada. Donde: H = Nivel lgico alto (HIGH Logic Level) L = Nivel lgico bajo (LOW Logic Level) X = Ether LOW or HIGH

Diagrama de conexiones El flip-flop D es una ligera modificacin del flip-flop JK. Un flip-flop JK se convierte a un flip-flop D insertando un inversor entre J y K y asignando el smbolo D a la entrada nica. La entrada D se muestra durante la ocurrencia de una transicin de reloj de 0 a 1. Si D = 1, la salida del flip-flop va al estado 1, pero si D = 0, la salida del flip-flop va a el estado 0.

2. Obtener un Flip-Flop D a partir de un Flip_flop JK. Como se menciono anteriormente, el flip-flop tipo D recibe su nombre por la habilidad de transmitir "datos" a un flip-flop. Es bsicamente un flip-flop JK con un inversor en alguna de sus entradas en este caso en J. El inversor agregado reduce el nmero de entradas de dos a uno. Este tipo de flip-flop se llama algunas veces bloqueador D con compuertas o flip-flop de bloqueo. La entrada CLK se le da a menudo la designacin variable G (de gate) para indicar que esta entrada esta habilita el flip-flop de bloqueo para hacer posible que los datos entren al mismo. Las figuras siguientes muestran el funcionamiento interno de los Flip-Flops.

Fig. 5 Diagrama flip-flop JK

Fig. 6 Diagrama flip-flop D 3. Obtener un Flip-Flop T a partir de un Flip_flop JK. El flip-flop T se obtiene del tipo JK cuando las entradas J y K se conectan para proporcionar una entrada nica designada por T como se indica en las siguientes figuras. El flip-flop T, por lo tanto, tiene slo dos condiciones. Cuando T = 0 (J = K = 0) una transicin de reloj no cambia el estado del flip-flop. Cuando T = 1 (J = K = 1) una transicin de reloj complementa el estado del flip-flop.

Fig.7 Flip-Flop J-K

Fig. 8 Flip-Flop T

4. Utilizando Flip-Flops 7473, armar un contador binario de 4 bits. Dibuje las conexiones que se deben hacer en el circuito de la figura no. 6.

Al ser el contador de 4 bits entonces obtendremos 16 diferentes combinaciones y como el flip flop que se va a utilizar es un JK entonces obtendremos 8 funciones, las cuales se observan en la tabla de verdad.

A travs de la siguiente tabla podemos observar las salidas JA, KA, JB, KB, JC, KC, JD y KD, y al emplear los mapas da Karnaugh llegamos a las siguientes funciones: JA = BCD KA = BCD Entonces ya analizada la tabla de verdad a travs de los mapas, procedemos a realizar el circuito con Flip-Flops JK y queda como sigue: JB = CD KB = CD JC = D KC = D JD = 1 KD = 1

Cabe notar que el Flip-Flop es Sncrono por que del mismo sistema se puede observar que lleva la forma de cascada ascendente, partiendo de 0 (cero) y llevando las 15 combinaciones posibles a cabo.

5. Empleando la ecuacin caracterstica, disee en VHDL un Flip-Flop JK. entity ff_jk is Port ( j : in bit; k : in bit; clk : in bit; q : inout bit; qn : inout bit); end ff_jk; architecture ff_jk_arch of ff_jk is begin process (clk) begin if clk'event and clk = '1' then q <= (j and not q) or (not k and q); qn <= not(j and not q) or (not k and q); end if; end process; end ff_jk_arch; Las funciones q y qn fueron obtenidas de la siguiente tabla, debemos mencionar que en este caso se utilizaron tipos de datos de entrada y salidas (inout), para q y qn.

DESARROLLO EXPERIMENTAL. 1. Comprobar experimentalmente el funcionamiento de los Flip-Flops 7473 y 7474, de acuerdo con su tabla. Dibuje las observaciones hechas cuando J = K = 1 y Freloj = 10 Hz. Cuando tenemos el caso en el que J = K = 1 entonces tenemos que el Flip-Flop se complementa.

Cuando J = K = 1; en el Flip-Flop se comporta sin cambio alguno al mantener el estado Q.

Fig. 8

Fig. 9

2.

Comprobar el funcionamiento del Flip-Flop tipo D.

Al comprobar el funcionamiento del Flip-Flop tipo D su funcionamiento fue el siguiente; cuando D = 1, la salida del Flip-Flop se va al estado uno, pero si D = 0 entonces la salida se va al estado cero. En consecuencia podemos notar que D = Q.

Fig. 9 tabla caracterstica del Flip-Flop tipo D

Es importante hacer notar que este Flip-Flip tipo D est elaborado con el Flip-Flip tipo JK el cual tiene como funcin evitar la indeterminacin Q= Q el cual en una semejante barbaridad (en palabras del profesor) debido a que no se cumple con algebra de Boole, por eso se agrega una entrada D el cual tiene esa funcin. En la siguiente imagen se observa el funcionamiento del flip-flop tipo D, en el cual enciende solo un led (en este caso el de color verde), es decir solo enciende un led a la vez ya que para que encienda el otro led tiene que cambiar el estado del primer led.

Fig. 10 El funcionamiento del flip-flop tipo D compuesto con los circuitos integrados 74LS73 y 74LS74.

En la siguiente imagen se observa el mismo circuito (flip-flop tipo D) en funcionamiento pero ahora enciende el led de color rojo, es decir el segundo estado adquiere el estado que tena el primero, por lo tanto este led se enciende.

Fig. 11 Se observa el funcionamiento del flip-flop tipo D en su segundo estado.

Para poder desarrollar el circuito del flip-flop tipo D, fue necesario desarrollas su diagrama de cableado que se presenta.

3. Comprobar el funcionamiento del Flip-Flop tipo T. Como ya lo vimos anteriormente y al corroborarlo en la prctica de laboratorio, el Flip-Flop tipo T funciona como sigue; cuando T = 0 una transicin de reloj no cambia el estado del Flip-Flop, y cuando T = 1 la transicin de reloj complementa el estado del mismo.

Fig. 12 Tabla caracterstica del Flip-Flop T

En la siguiente imagen se observa el Flip-Flop tipo T, el cual fue fundamental en la elaboracin del contador que ms adelante se especificar, se observa que su ecuacin caracterstica es similar a la de una OR-Exclusiva. Diagrama de cableado flip-flop tipo T

Fig12.- Se observa el flip-flop tipo T.

4. Comprobar el funcionamiento del contador binario. En el contador binario se utiliz como ya sabemos Flip-Flops tipo J-K, y para el funcionamiento del mismo utilizamos dentro de este dispositivo la funcin Preset (clear), la cual describiremos a continuacin dentro de nuestro contador. El contador al ser de cuatro bits nos forma 16 combinaciones mostrndonos del 0 al 15 los nmeros binarios. Cuando inicializamos el conteo del circuito empieza a hacer el conteo en forma ascendente desde el 0000 hasta el 1111, teniendo la siguiente secuencia en nuestros leds, aqu es donde entra la funcin del PRESET. Esta funcin dentro del problema que nos ocupa lo que hace es iniciar el conteo y tambin cumple con limpiar el mismo y dejarlo en ceros, es decir, si nuestro conteo se qued en el nmero 0100 (4 en decimal) al poner a PRESET en cero lgico la cuenta se limpia y al activarlo nuevamente (uno lgico) comienza desde 0001 (1 en decimal). A continuacin se muestra este mismo ejemplo en forma grfica en la siguiente figura:

Donde, A, B, C y D son los led`s en nuestra tableta de conexiones.

Para poder desarrollar el circuito fue necesario el uso del diagrama de cableado que se muestra a continuacin:

En la siguiente imagen se observa el contador binario, donde el orden de significancia es de izquierda a derecha A,B,C,D, es decir cuando el contador marque 1 se encender el led rojo que aparece a la derecha, y as sucesivamente, en este caso se observa el numero 0, por lo tanto todos los leds estn apagados.

Fig. 13 Se observa el contador binario el cual muestra el nmero 0.

5. Implementar en VHDL el comportamiento del Flip-Flop JK Diseo del flip-flop JK entity ff_jk is Port ( j, k, clk : in bit; q : inout bit; qn : inout bit); end ff_jk; architecture ff_jk_arch of ff_jk is begin process (clk) begin if clk'event and clk = '1' then q <= (j and not q) or (not k and q); qn <= not(j and not q) or (not k and q); end if; end process; end ff_jk_arch; Las ecuaciones que se utilizaron para desarrollar este programa en VHDL fueron tomadas de la ecuacin caracterstica y de su tabla caracterstica del flip-flop, que se muestran a continuacin:

Fig. 14 implementacin del circuito en el editor de VHDL

Fig. 15 Obtencin del diagrama lgico de la funcin flip-flop

Fig. 16 Simulacin del flip flop JK

CONCLUSIONES
En esta prctica conocimos a los flip-flops y su funcionamiento, en base a la informacin que se nos proporciono nos enteramos que haban distintos tipos de flips flops: Tipo D, Tipo T, Tipo JK y Tipo SR, notamos que los primeros 3 estn formados bsicamente por el tipo SR, pero la pregunta es, Por qu hay varios tipos de flips-flops? Despus de esta prctica podemos responder esta pregunta, y podemos decir de que cada flip-flop cumple una funcin especial, mejora o corrige algn error, por ejemplo en el flip-flop tipo SR ocurre una indeterminacin cuando S Y R tienen el valor de 1 lgico y Q de 0 y 1, es decir que Q=Q lo cual es incorrecto porque el algebra de Boole dice lo contrario, para evitar esta indeterminacin se cre el flip-flop tipo D, el cual lleva un inversor al inicio para que no ocurra esta situacin. Tambin notamos que el flip-flop JK es universal debido a que forma parte de los flips-flops tipo D, T Y SR, y que el tipo T fue parte fundamental en el circuito del contador. Dentro de las aplicaciones de los flips-flops y gracias a las observaciones que hicimos nos dimos cuenta que los flips-flops estn en la vida cotidiana desde las cosas ms sencillas hasta las ms complejas, como los relojes, las luces de navidad, las luces de las patrullas, etc.

Anda mungkin juga menyukai