Anda di halaman 1dari 13

Objetivo:

Realizar un circuito, se aplicar la metodologa para disear un sistema secuencial sncrono y se implementar por medio de un lenguaje de descripcin de hardware en un dispositivo lgico programable.

Hiptesis:
Se realizara un circuito de diseo secuencial el cual se basa en una maquina de Moore, con el que se trata de representan el concurso de jeopardy, que funcionara obteniendo tres impulso de entrada y en la salida solo se representara el impulso que se haya obtenido primero.

Marco Terico:
Diseo secuencial En el sistema secuencial sncrono los valores de salida no dependen nicamente de las combinaciones de entrada, sino tambin de la salida misma. Los cambios de estado estn sujetos a una seal de sincrona de los Flip Flops llamada reloj o Clk como se representa en la figura 1.

Figura 1. Diagrama del proceso del sistema secuencial.

Modelos secuenciales y sus representaciones Una Mquina de Moore es un autmata de estados finitos donde las salidas estn determinadas por el estado actual nicamente (y no depende directamente de la entrada). El

diagrama de estados para una mquina Moore incluir una seal de salida para cada estado. Comparada con la Mquina de Mealy, la cual mapea transiciones en la maquina a salidas. La mayora de las electrnicas estn diseadas como sistemas secuenciales sncronos. Los sistemas secuenciales sncronos son una forma restringida de mquinas de Moore donde el estado cambia solo cuando la seal de reloj global cambia. Normalmente el estado actual se almacena en Flip-flops, y la seal de reloj global est conectada a la entrada "clock" de los flipflops. Los sistemas secuenciales sncronos son una manera de resolver problemas de Metastabilidad. Una mquina electrnica de Moore tpica incluye una cadena de Lgica combinacional para decodificar el estado actual en salidas (lambda). El instante en el cual el estado actual cambia, aquellos cambios se propagan a travs de la cadena y casi instantneamente las salidas cambian (o no cambian). A continuacin se observa la figura 2 con referencia al diagrama de la maquina de Moore.

Figura 2. Diagrama de maquina de Moore.

Una Mquina de Mealy es un tipo de mquina de estados finitos que genera una salida basndose en su estado actual y una entrada. Esto significa que el Diagrama de estados incluir ambas seales de entrada y salida para cada lnea de transicin. En contraste, la salida de una mquina de Moore de estados finitos (el otro tipo) depende solo del estado actual de la mquina, dado que las transiciones no tienen entrada asociada. Sin embargo, para cada Mquina de Mealy hay una mquina de Moore equivalente cuyos estados son la unin de los estados de la mquina de Mealy y el Producto cartesiano de los estados de la mquina de Mealy y el alfabeto de entrada.

Las mquinas de Mealy suministran un modelo matemtico rudimentario para las mquinas de cifrado. Considerando el alfabeto de entrada y salida del alfabeto Latino, por ejemplo, entonces una mquina de Mealy puede ser diseada para darle una cadena de letras (una secuencia de entradas), esto puede procesarlo en un string cifrado (una secuencia de salidas). Sin embargo, aunque se podra probablemente usar un modelo de Mealy para describir una Mquina Enigma, el diagrama de estados sera demasiado complejo para suministrar medios factibles de disear mquinas de cifrado complejas. En la figura 3 observamos el diagrama de la maquina de Mealy.

Figura 3. Diagrama de maquina de Mealy.

Diagrama de transicin Una forma muy explcita de especificar los eventos en un sistema secuencial es usando un diagrama de transicin como se muestra en la figura 4.

Figura 4 diagrama de transicin.

Un diagrama de transicin se compone de los siguientes elementos: Estados: Una condicin o situacin en la vida de un objeto, durante la cual satisface una condicin, realiza una actividad o est esperando un evento, esto es representado grficamente de la manera como se ve en la figura 5.

Figura 5. Representacin de los eventos.

Transicin en el mismo estado: Una entrada X cuyo estado prximo es el mismo que la figura 5 solo que a este se le implementa una flecha en representacin de su pertenencia en el evento al cambiar su entrada, esto dependiendo de lo que se valla a realizar, como se observa en la figura 6.

Figura 6. Transicin del mismo estado.

Transicin entre dos estados: Una relacin entre estados que indica que un objeto, que est en el primer estado, realizar una accin especificada, y entrar en el segundo estado cuando un evento Y ocurra y se

satisfagan ciertas condiciones especificadas, la direccin que toma el evento al cambiar su entrada se demuestra en la figura 7. Entradas: Combinaciones que establecen un cambio de evento. Salidas: Valores combinacionales que determinan un evento.

Figura 7. Transicin en 2 estados.

Metodologa del diseo secuencial, concluyendo con la implementacin mediante captura esquemtica.

1) 2) 3) 4)

5) 6) 7) 8)

Especificar el sistema (diagrama de transicin). Determinar la cantidad de Flip Flops. Asignar los valores a los estados. Determinar las entradas y salidas. a) Entrada de sincrona reloj b) Entradas combinacionales c) Salidas combinacionales d) Salidas registradas (FF) Construir una tabla de estados. Minimizar. Obtener diagrama esquemtico. Realizar la implementacin.

Desarrollo Experimental:
Materiales:
Un eliminador de bateras con la siguientes caractersticas: Voltaje de entrada 110-220 V de corriente alterna Frecuencia 50-60 Hz Intensidad de corriente mayor de 500 mA 7 resistencias de 330 de W 4 push buttons Circuito integrado GAL16V8

1 Circuito integrado SN7414 1 Capacitor electroltico de 220 f 1 Potencimetro de 1 K


8 diodos emisores de luz

Procedimiento:
Se realizo un circuito de diseo de secuencia y consiste en el concurso de jeopardy, el cual se construyo en base a la maquina de Moore, el sistema secuencial deber de indicar por medio de uno de los tres focos (Foco A, Foco B, Foco C) cual de los tres participantes en un concurso de preguntas y respuestas es el primero en oprimir el botn (Botn A, Botn B, Botn C), se requiere de un cuarto botn (Botn R) para que el conductor del programa una vez terminada la respuesta, regrese al sistema a condiciones iniciales (Focos apagados). A continuacin se describir el proceso de montaje y realizacin del circuito. Para especificar el comportamiento del sistema se puede emplear el diagrama de transicin, donde se indican entradas, salidas y estados, el resultado se muestra en la figura 8.

Figura 8. Diagrama de transicin.

La cantidad de Flip Flops depende del nmero de estados utilizados en el diagrama de transicin, como lo indica la siguiente tabla 1: Estados 2 3o4 5a8 9 a 16 17 a 32 33 a 64 65 a 128 129 a 256 257 a 512 Cantidad de Flip Flops 1 2 3 4 5 6 7 8 9

Tabla 1. Cantidad de flip flops dependiendo de estados. La asignacin de valores a los estados puede ser al azar y corresponde a las combinaciones posibles que generan las salidas Q de los Flip Flops. En el diagrama de bloques (figura 9) se tienen como entradas los botones A, B, C y R adems de la seal de sincrona Clk, como salidas Combinacionales se requieren cada uno de los focos y como salidas secuenciales o registradas dos Flip Flops llamados Q1 y Q0 que sus combinaciones representaran a cada uno de los cuatro posibles estados.

Figura 9. Diagrama de bloques.

En la tabla de estados se puede observar el estado en funcin de la posible entrada, se considera en que solo un botn pude presentarse a la vez ya que la frecuencia de operacin del Clk es alta y remotamente se tendrn dos o mas botones en el mismo instante, para mantener una operacin estable del sistema se le asignan indistintamente el valor del estado prximo.

La asignacin de valores a los estados puede ser al azar como en la tabla 2: Q1 0 0 1 1 Q0 0 1 0 1

Cl Fa Fb Fc

Tabla 2. Tabla de estados.

Despus de obtener las entradas y salidas, los flip flops y los estados se procede a realizar la programacin en ABEL-HDL. El archivo en formato ABEL-HDL de este sistema secuencial se presenta a continuacin: MODULE pract008 "entradas Clk,A,B,C,R pin 1,2,3,4,5; "Salidas combinacionales FCA,FCB,FCC PIN 19,18,17 istype 'com'; "Salidas registradas Q1,Q0 PIN 16,15 istype 'reg'; "sincronizacion SREG=[Q1,Q0]; EQUATIONS SREG.CLK=Clk; DECLARATIONS E0=[0,0]; E1=[0,1]; E2=[1,0]; E3=[1,1]; STATE_DIAGRAM SREG STATE E0: FCA=0;FCB=0;FCC=0; IF !A&!B&!C&!R THEN E0; IF A&!B&!C&!R THEN E1; IF !A&B&!C&!R THEN E2; IF !A&!B&C&!R THEN E3 ELSE E0; STATE E1: FCA=1;FCB=0;FCC=0; IF R THEN E0 ELSE E1; STATE E2: FCA=0;FCB=1;FCC=0; IF R THEN E0 ELSE E2; STATE E3:

FCA=0;FCB=0;FCC=1; IF R THEN E0 ELSE E3; "Simulacion Test_vectors ([Clk,A,B,C,R]->[FCA,FCB,FCC]) [.c.,0,0,0,1]->[.x.,.x.,.x.]; [.c.,0,0,0,0]->[.x.,.x.,.x.]; [.c.,1,0,0,0]->[.x.,.x.,.x.]; [.c.,0,1,0,0]->[.x.,.x.,.x.]; [.c.,0,0,0,1]->[.x.,.x.,.x.]; [.c.,0,0,0,0]->[.x.,.x.,.x.]; [.c.,0,1,0,0]->[.x.,.x.,.x.]; [.c.,0,0,1,0]->[.x.,.x.,.x.]; [.c.,0,0,0,1]->[.x.,.x.,.x.]; [.c.,0,0,0,0]->[.x.,.x.,.x.]; [.c.,0,0,1,0]->[.x.,.x.,.x.]; [.c.,0,1,0,0]->[.x.,.x.,.x.]; [.c.,0,0,0,1]->[.x.,.x.,.x.]; [.c.,0,0,0,0]->[.x.,.x.,.x.]; END

Archivo Reporte Este archivo se genera como resultado de la compilacin.

Ecuaciones: FCA FCB FCC = ( Q1.Q & !Q0.Q ); = ( !Q1.Q & Q0.Q ); = ( !Q1.Q & !Q0.Q );

Q1.D = ( !Q1.Q & !R # Q0.Q & !A & !B & C & !R # Q0.Q & !A & B & !C & !R ); " ISTYPE 'INVERT' Q1.C = ( Clk ); Q0.D = ( !Q0.Q & !R Q0.C = ( Clk ); # Q1.Q & !A & !B & C & !R # Q1.Q & A & !B & !C & !R ); " ISTYPE 'INVERT'

Chip Diagram:

Ahora pasaremos a implementar nuestro circuito sobre la tabla de conexiones en el cual tambin construiremos un generador de pulsos utilizando un circuito integrado SN7414, podemos ver el diagrama esquemtico del generador en la figura 10, la salida de la terminal 2 del SN7414 fue conectado a la terminal 1 del GAL16V8.

Figura 10. Generador de pulsos.

Se utilizaron 4 entradas representadas por LED, resistencias de 330 y Push button como se muestra en la figura 11.

Figura 11. Fotografa de entradas del circuito.


Se conectan los LED con la terminal anodo a lnea de VDC y la otra terminal a una terminal del push button del lado contrario de conecta una resistencia de 330 de la terminal de salida del push button a la lnea de GND. De acuerdo a la programacin realizada el push botton A fue conectada a la terminal 2 del GAL16V8, el push button B a la terminal 3, el push button C a la terminal 4 y el push button R a la terminal 5 como se muestra en la figura 12.

Figura 12. Diagrama de conexin de entradas.

Para las salidas del circuito integrado GAL se ralizo una conexin de LED hacia una resistencia de 330 cada uno, una terminal de la resistencia fue conectada a la lnea de GND (tierra o negativa) como se observa en la figura 13.

Figura 13. Conexin de salidas del circuito. Despus de la conexiones descritas y realizar la conexin de VCD y GND del circuito integrado, el circuito queda de la siguiente forma (figura 14).

Figura 14. Circuito ensamblado en tabla de conexiones. Discusin de resultados:

Para este circuito los resultados se representado por medio de tres salidas las cuales funcionan de la siguiente forma: Se contaron con 4 entradas representados por push button, el cual al oprimir la entrada A, B o C se encender su respectivo LED de salida y se mantendr en encendido hasta oprimir el push button R o reset.

La cuestin es que al oprimir el A, B o C solo se encender el LED del primer push button que se oprima y los otros se bloquearan y no encendern, la reaccin de este circuito depender de la frecuencia de la seal que se este aplicando.

Resultados generales

Fotografa del circuito concluido: circuito de diseo de secuencia utilizando circuitos integradores, representado en la figura 15.

Figura 15. Resultados finales de conexin del circuito

Conclusiones:
Se demostr la hiptesis obtenida y se aprendi el concepto, funcionamiento y la forma de realizacin de sistemas secuenciales por medio de estados y transiciones, as como la deferencia entre las maquinas de Moore y Mealy.

Bibliografa:
Fundamentos de diseo digital, Csar A. Leal Chapa, FIME, UANL. Sistemas digitales, Ronald J. Tocci 8va edicin M.C. Juan ngel Garza Garza http://jagarza.fime.uanl.mx

Anda mungkin juga menyukai