Anda di halaman 1dari 11

UNIVERSIDAD AUTONOMA METROPOLITANA AZCAPOTZALCO Divisin de C.B.I.

LABORATORIO DE DISEO LGICO I


LABORATORIO DE DISEO LOGICO I

PRACTICA No. 6 CARACTERIZACION DE FLIP FLOPS


EQUIPO No. 2

PROFESOR:

FRANCISCO JAVIER SNCHEZ RANGEL

GRUPO: CEL-81 TRIMESTRE: 13-P

FECHA DE ENTREGA.- 18/JUNIO/2013

OBJETIVOS: Caracterizar un Flip-Flop de acuerdo con su tabla caracterstica. Caracterizar un Flip-Flop de acuerdo a su funcin caracterstica. Construir un Flip-Flop de un tipo a partir de uno de otro tipo. Representar Flip-Flops en VHDL. Armar un contador binario de 4 bits.

INTRODUCCION TEORICA: Circuitos Secuenciales: En la figura no. 1 se muestra el diagrama a bloques de un circuito secuencial, el cual se forma de la interconexin de un circuito combinacional y unos elementos de almacenamiento. Los elementos de almacenamiento son circuitos capaces de almacenar informacin binaria. La informacin binaria almacenada en estos elementos define el estado del circuito secuencial en cualquier momento. El diagrama a bloques demuestra que las salidas de un circuito secuencial son funciones no slo de las entradas, sino tambin del estado presente de los elementos de almacenamiento. El siguiente estado de los elementos de almacenamiento tambin es una funcin de las entradas y del estado presente.

Los circuitos secuenciales se sincronizan por medio de dispositivos de sincrona, llamado generador de reloj, que produce un tren peridico de pulsos de reloj, tal y como se muestra en la figura no. 2.

Los circuitos secuenciales se clasifican en: Circuitos Asncronos: Depende de las entradas en cualquier instante y el orden en el tiempo del cambio de las entradas. Circuitos Sncronos: Se define por el conocimiento de sus seales en instantes discretos de tiempo. La sincronizacin de los circuitos secuenciales se puede realizar de varias maneras, tal y como se muestra en la figura no 3.

Figura no. 3: Tipos de Sincronizacin de Circuitos Secuenciales Flip-Flops. Algunos elementos de almacenamiento son conocidos como Flip-flops. Los Flip-flops son celdas binarias capaces de almacenar un bit de informacin. En la figura no. 4 se muestra el diagrama a bloques de un Flip-flop.

Existe distintos tipos de Flip-flops, en la figura no. 5 se muestra las caractersticas de los principales tipos de Flip-flops. FLIP-FLOPS La mayor parte de los sistemas encontrados en la prctica tambin incluyen elementos de almacenamiento que requieren que el sistema se describa en trminos de circuitos secuenciales. Sincronismo: El tipo de circuito secuencial ms comn es el tipo sncrono, esto significa que los elementos de almacenamiento se afectan slo en instantes de tiempo discretos. La sincronizacin se genera en un dispositivo denominado Reloj (clock) que produce un tren peridico de pulsos. Un flip-flop es una celda binaria capaz de almacenar un bit de informacin. Tiene dos salidas, una para el valor normal y una para el valor complementario. La diferencia entre los diversos tipos de flip-flops est en el nmero de entradas que posean y la manera en la cual las entradas afectan el estado binario. Lgica Secuencial: Tipos Flip-Flop SR Flip-Flop D Flip-Flop JK Flip-Flop T Tablas de Caractersticas Sincronismo

Tablas de excitacin: Las tablas de caractersticas especifican el estado siguiente cuando se conocen las entradas y el estado presente, por lo general, durante el diseo de un circuito secuencial, se conoce la transicin requerida del estado presente al siguiente estado y requeriremos encontrar las condiciones de entrada del flip-flop que causen esa transicin, para esto se usan las tablas de excitacin. Flip-Flops Tablas de Excitacin:

Circuitos secuenciales: Un circuito secuencial es una interconexin de flip-flops y compuertas. Las compuertas por si mismas constituyen un circuito combinatorio, pero cuando se incluyen junto con los flips-flops, el circuito completo se clasifica como un circuito secuencial. Diagrama de un circuito secuencial

Fig 1: Tabla caracterstica de los Flip-Flops.

Desarrollo Practico.

1. Obtener la tabla caracterstica y diagrama de conexiones de los Flip-Flops contenidos en los circuitos integrados 7473 y 7474. Explicar con tus palabras toda la informacin que te proporciona la tabla de 7473 y 7474.

7473

7474

En el CI 7473 (JK) al mantener el estado quiere decir que cuando se est complementando constantemente la salida al hacer la combinacin 0 0 se queda en el ltimo valor binario mostrado (0 o 1) o sea deja de complementarse, como se observa en la tabla la combinacin 0 1 da 0 y la combinacin 1 0 da 1 en la salida; mientras que con 1 1 la salida se complementa. En el CI 7474 se observa que lo que hay en la entrada es lo que hay a la salida, si D es 0 la salida es 0 ya que la funci n reset (borrar) tiene respuesta a0 y si D es 1 la salida es 1 ya que la funcin set (activar) tiene respuesta a1.

2. Obtener un Flip-Flop D a partir de un Flip-Flop JK.

3. Obtener un Flip-Flop T a partir de un Flip-Flop JK.

4. Utilizando Flip-Flops 7473, armar un contador binario de 4 bits. Dibuje las conexiones que se deben hacer en el circuito de la figura no. 6.

Empleando la ecuacin caracterstica, disee en VHDL un Flip-Flop JK.

DESARROLLO EXPERIMENTAL Comprobar experimentalmente el funcionamiento de los Flip-Flops 7473 y 7474, de acuerdo con su tabla. Dibuje las observaciones hechas cuando J = K = 1 y Freloj = 10 Hz.

Comprobar el funcionamiento del Flip-Flop tipo D.

Comprobar el funcionamiento del Flip-Flop tipo T.

Comprobar el funcionamiento del contador binario.

Implementar en VHDL el comportamiento del Flip-Flop JK.

Teniendo la ecuacin caracterstica para nuestro flip flop jk en la fig.1, procedimos a realizar el cdigo en VHDL, utilizando el cdigo bsico utilizado hasta ahora;

Como podemos observar, en nuestro cdigo la mayor variante es que para nuestra variable Q y Qn fueron colocados como entrada/salida (inout) para que nuestro reloj funcionara adecuadamente Generado el check syntax, procedemos a crear el diagrama RTL

Fig. b.- Esquema RTL FLIP FLOP JK Por ltimo, esta ocasin no tuvimos que bajar a la tarjeta NEXYs puesto que apenas esta ocasin fue la primera vez que utilizamos un clock dentro de VHDL.

Fig. c.- Simulacin FLip FLop JK

Bibliografa

Morris M. Mano, Lgica digital y diseo de computadoras Editorial Prentice Hall. 1989

Anda mungkin juga menyukai