Anda di halaman 1dari 24

MEMS BASED VIBRATION ENERGY HARVESTING

THESIS REPORT

Submitted in partial fulllment of the requirements for the award of M.Tech Degree in Electronics and Communication Engineering (Applied Electronics and Instrumentation) of the University of Kerala

Submitted by VISAKH. V

DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING COLLEGE OF ENGINEERING TRIVANDRUM 2013

DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING COLLEGE OF ENGINEERING TRIVANDRUM

CERTIFICATE

This is to certify that this thesis report entitled MEMS BASED VIBRATION ENERGY HARVESTING is a bonade record of the work done by Visakh. V, under our guidance towards partial fulllment of the requirements for the award of Master of Technology Degree in Electronics and Communication Engineering (Signal processing), of the University of Kerala during the year 2013.

Dr. M.R. Baiju Professor, Department of ECE, College Of Engineering, Trivandrum. (GUIDE)

Dr. Jiji C.V. Professor, Department of ECE, College Of Engineering, Trivandrum. (Stream Head and P.G. Coordinator)

Dr.Vrinda V.Nair Professor, Department of ECE, College Of Engineering, Trivandrum. (Head of the department)

ACKNOWLEDGEMENTS
I would like to express my sincere gratitude and heartful indebtedness to my guide, Dr. M.R. Baiju , Professor,Department of Electronics and Communication Engineering, CET for his valuable guidance and encouragement given to me throughout my thesis work.

I am thankful to Dr. Vrinda V.Nair, Head of the Department, Dr. Jiji C.V. P. G. Coordinator and Thesis Coordinator, Department of Electronics and Communication Engineering for their help and support.

I also acknowledge other members of faculty in the Department of Electronics and Communication Engineering and all my friends for their whole hearted cooperation and encouragement.

Above all I am thankful to the Almighty.

Visakh. V

ii

ABSTRACT

iii

TABLE OF CONTENTS

INTRODUCTION TO MEMS
1.1 1.2 1.3 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . History of Mems . . . . . . . . . . . . . . . . . . . . . . . . . . . MEMS Fabrication . . . . . . . . . . . . . . . . . . . . . . . . . . 1.3.1 1.3.2 1.4 Basic MEMS Microfabrication Processes . . . . . . . . . . Basic MEMS Manufacturing Technologies . . . . . . . . .

1 1 2 2 3 12 16

Applications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

Conclusion

17

LIST OF FIGURES
1.1 1.2 1.3 1.4 1.5 1.6 1.7 1.8 1.9 Contact Photolithography . . . . . . . . . . . . . . . . . . . . . . . Photolithography using Negative and Positive Photoresists . . . . . Wet Etching . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Under Cut during Wet etching . . . . . . . . . . . . . . . . . . . . Crystallographic Planes in Silicon . . . . . . . . . . . . . . . . . . Reactive Ion Etching(RIE) . . . . . . . . . . . . . . . . . . . . . . Deep Reactive Ion Etching(DRIE) . . . . . . . . . . . . . . . . . . Major fabrication steps in the LIGA process . . . . . . . . . . . . . Major steps in the LIGA process . . . . . . . . . . . . . . . . . . . 5 6 9 10 11 11 12 14 15

ii

LIST OF TABLES

iii

CHAPTER 1
INTRODUCTION TO MEMS

1.1

Introduction
MEMS is a process technology used to create tiny integrated devices or systems

that combine mechanical and electrical components. They are fabricated using integrated circuit (IC) batch processing techniques and can range in size from a few micrometers to millimetres. These devices (or systems) have the ability to sense, control and actuate on the micro scale, and generate effects on the macro scale. The interdisciplinary nature of MEMS utilizes design, engineering and manufacturing expertise from a wide and diverse range of technical areas including integrated circuit fabrication technology, mechanical engineering, materials science, electrical engineering, chemistry and chemical engineering, as well as uid engineering, optics, instrumentation and packaging. The complexity of MEMS is also shown in the extensive range of markets and applications that incorporate MEMS devices. MEMS can be found in systems ranging across automotive, medical, electronic, communication and defence applications. Current MEMS devices include accelerometers for airbag sensors, inkjet printer heads, computer disk drive read/write heads, projection display chips, blood pressure sensors, optical switches, microvalves, biosensors and many other products that are all manufactured and shipped in high commercial volumes. MEMS, an acronym that originated in the United States, is also referred to as Microsystems Technology (MST) in Europe and Micromachines in Japan. Regardless of terminology, the uniting factor of a MEMS device is in the way it is made. While the device electronics are fabricated using computer chip IC technology, the micromechanical components are fabricated by sophisticated manipulations of silicon and other substrates using micromachining processes. Processes such as bulk and surface micromachining, as well as high-aspect-ratio micromachining (HARM) selectively remove parts of the silicon or add additional structural layers to form the mechanical and electromechanical components. While integrated circuits are designed to exploit the electrical properties of silicon, MEMS takes advantage of either silicons mechanical properties or both its electrical and mechanical properties.

In the most general form, MEMS consist of mechanical microstructures, microsensors, microactuators and microelectronics, all integrated onto the same silicon chip.Microsensors detect changes in the systems environment by measuring mechanical, thermal, magnetic, chemical or electromagnetic information or phenomena. Microelectronics process this information and signal the microactuators to react and create some form of changes to the environment.

1.2

History of Mems

The historical progress of Mems is shown below [?] 1958: 1961: 1967: Silicon strain gauges commercially available First silicon pressure sensor demonstrated Invention of surface micromachining. Westinghouse creates the Resonant Gate Field Effect Transistor, (RGT). Description of use of sacricial material to free micromechanical devices from the silicon substrate 1970: 1979 1982: 1982: First silicon accelerometer demonstrated First micromachined inkjet nozzle Disposable blood pressure transducer Silicon as a Mechanical Material Instrumental paper to entice the scientic community - reference for material properties and etching data for silicon. 1982: 1988: 1992: LIGA Process First MEMS conference MCNC starts the Multi-User MEMS Process (MUMPS) sponsored by Defense Advanced Research Projects Agency (DARPA) 1992: 1993: 1994: 1995: 2000: First micromachined hinge First surface micromachined accelerometer sold (Analog Devices, ADXL50) Deep Reactive Ion Etching is patented BioMEMS rapidly develops MEMS optical-networking components become big business

1.3

MEMS Fabrication

MEMS fall into three general classications; bulk micromachining, surface micromachining and high-aspect-ratio micromachining (HARM), which includes technology such as LIGA (a German acronym from Lithographie, Galvanoformung, Abformung 2

translated as lithography, electroforming and moulding). Conventional macroscale manufacturing techniques e.g. injection moulding, turning, drilling etc, are good for producing three dimensional (3D) shapes and objects, but can be limited in terms of low complexity for small size applications. MEMS fabrication, by comparison, uses high volume IC style batch processing that involves the addition or subtraction of two dimensional layers on a substrate (usually silicon) based on photolithography and chemical etching. As a result, the 3D aspect of MEMS devices is due to patterning and interaction of the 2D layers. Additional layers can be added using a variety of thin-lm and bonding techniques as well as by etching through sacricial spacer layers. 1.3.1 i Basic MEMS Microfabrication Processes

Deposition Processes Depositing thin lms over the surface of substrates and other MEMS components

is a common practice in micromachining.Deposition adds thin lms instead of consuming the substrates unlike diffusion and thermal oxidation processes.

There are generally two types of deposition processes in micromachining. These are (a) chemical vapor deposition (CVD) and (b) physical vapor deposition (PVD). PVD involves the direct impingement of particles on the hot substrate surfaces. CVD, on the other hand, involves convective heat and mass transfer as well as diffusion with chemical reactions at the substrate surfaces.

a)Chemical Vapor Deposition Chemical Vapor Deposition (CVD) involves the ow of a gas with diffused reactants over a hot substrate surface. While the carrier gas ows over the hot solid surface, the energy supplied by the temperature causes chemical reactions of the reactants.The reaction of these species produces a solid phase, which is absorbed to the surface. The by-products of the chemical reactions are removed. Continuous reaction causes a layer of material to be built on the wafer surface.

For example , deposition of Silicon Nitride on Silicon substrates is done by the following chemical reaction.

3SiH4 + 4NH3 Si3 N4 + 12H2 (700 to 900 C)

Apart from CVD at atmospheric pressure(APCVD), there are two variants of CVD. They are (1) Low pressure CVD (LPCVD) and (2) Plasma-enhanced CVD (PECVD). In LPCVD , the reaction takes place at very low pressures of the order of 1 to 8 torr. The reduction of gas pressure will increase the rate of deposition. PECVD utilizes the radio-frequency (RF) plasma to transfer energy into the reactant gases which allows the substrates to remain at lower temperature.

b) Physical Vapor Deposition

Sputtering is a process that is often used to deposit thin metallic lms of the order of hundreds of angstroms. Plasma is made of positively charged gas ion. The positive ions of the metal in an inert argon gas carrier bombard the surface of the target at high velocity that the momentum transfer on impingement causes the metal ions to evaporate.The metal vapor is then led to the substrate surface and is deposited after condensation. ii Pattern Transfer Integrated circuits and microfabricated MEMS devices are formed by dening patterns in the various layers created by wafer-level process steps [?] . Pattern transfer consists of two parts: a photo-process, whereby the desired pattern is photographically transferred from an optical plate to a photosensitive lm coating the wafer, and a chemical or physical process of either removing materials to create the pattern. Most processes remove unwanted material by etching away chemically.

Photolithography Optical lithography is very much like the photographic process of producing a print from a negative. The enabling materials of optical lithography are photoresists, polymeric optically-sensitive materials that are deposited onto the wafer surface by spin casting. Following spinning, the resists are prebaked at low temperature to remove solvent, but are not fully hardened. Completion of the hardening process occurs after optical exposure.

Figure 1.1 illustrates the lithographic process analogous to contact printing.A photomask contains the pattern to be transferred as a set of opaque and transparent regions. It is brought into contact with an oxidized silicon wafer coated with photoresist. Ultraviolet light is directed through the mask onto the wafer, exposing the unprotected portions of the resist, which change their chemical properties as a result of the light exposure.The photochemical processes in the photoresist are relatively high in contrast, and develop sharp boundaries between exposed and protected regions. Contact lithography is one of the standard processes used in MEMS manufacture.

Figure 1.1: Contact Photolithography There are two types of photolithography as illustrated in gure 1.2a Negative photoresist functions much like the photographic printing process. The regions of the photoresist that are exposed to the ultraviolet light become cross-linked and insoluble in the developer, while the protected regions remain soluble. After immersion in the developer or exposure to a continuous spray of developer, the soluble portions are removed. 5

(a) Negative Photoresist

(b) Positive Photoresist

Figure 1.2: Photolithography using Negative and Positive Photoresists

The net result is a transfer of pattern into the photoresist so that after etching, the 6

opaque regions of the mask become regions cleared of photoresist. To transfer the pattern into the oxide, the resist must rst be hardened by baking to make it more chemically inert. After this postbake, the silicon dioxide can be removed by an etching process.Following the etching, the photoresist is removed, leaving the mask pattern transferred into the oxide layer.

Positive photoresist works oppositely to negative photoresist. The chemistry of the photoresist is different. Regions exposed to the UV light become more soluble in the developer than the protected regions. After development and postbake, the protected regions of resist remain on the wafer so that after etching, the opaque regions of the mask remain as oxide and the clear regions are removed.

Because direct contact between the wafer and the mask can eventually cause damage to the mask, a variant of the contact lithography is to leave a small air gap between the mask and the photoresist-covered wafer. This is called proximity lithography [?]. The achievable resolution is somewhat less than with contact lithography, because diffraction can occur at the edges of the opaque regions.When using contact lithography, the mask must be the same size as the wafer, and every feature to be transferred must be placed on the mask at its exact nal size. Electron Beam Lithography Electron beam lithography ( e-beam lithography) is the practice of scanning a beam of electrons in a patterned fashion across a surface covered with a lm ( resist), [?] ("exposing" the resist) and of selectively removing either exposed or non-exposed regions of the resist ("developing"). The purpose, as with photolithography, is to create very small structures in the resist that can subsequently be transferred to the substrate material, often by etching. It was developed for manufacturing integrated circuits, and is also used for creating nanotechnology architectures.

The primary advantage of electron beam lithography is that it is one of the ways to beat the diffraction limit of light and make features in the nanometer regime. This form of maskless lithography has found wide usage in photomask-making used in pho-

tolithography, low-volume production of semiconductor components, and research and development.

The key limitation of electron beam lithography is throughput, i.e., the very long time it takes to expose an entire silicon wafer or glass substrate. A long exposure time leaves the user vulnerable to beam drift or instability which may occur during the exposure. Track Technology Ion track technology is a deep cutting tool with a resolution limit around 8 nm applicable to radiation resistant minerals, glasses and polymers. It is capable to generate holes in thin lms without any development process. Structural depth can be dened either by ion range or by material thickness. Aspect ratios up to several 104 can be reached. The technique can shape and texture materials at a dened inclination angle. Random pattern, single-ion track structures and aimed pattern consisting of individual single tracks can be generated. X-ray Lithography X-ray lithography, is a process used in electronic industry to selectively remove parts of a thin lm. It uses X-rays to transfer a geometric pattern from a mask to a light-sensitive chemical photoresist, or simply "resist," on the substrate. A series of chemical treatments then engraves the produced pattern into the material underneath the photoresist. iii Etching Etching is one of the most important processes in microfabrication.It involves the removal of materials in desired areas by physical or chemical means. It establishes permanent patterns developed at the substrate by photolithography.There are two types of etching techniques. They are (1) Chemical or Wet Etching and (2)Physical or Dry Etching .

In plasma etching, high energy plasma containing gas molecules, free electrons, and gas ions bombards the surface of the target substrate and knock off the substrate mate-

rial from its surface.

1)Wet Etching

Wet etching involves using solutions with diluted chemicals to dissolve substrates. For example, diluted hydrouoric (HF) solution is used to dissolve SiO2 , Si3 N4 etc. whereas potassium peroxide (KOH) is used to etch the silicon substrates as described in section (1.3.1) In wet etching, the part of the substrate that is not covered by the protective mask id dissolved in the etchants and removed.The etching can undercut the part that is immediately under the protective mask after a lengthy period of time.

(a) Substrate in wet etching

(b) Partially etched substrate

Figure 1.3: Wet Etching

Isotropic Wet Etching

Isotropic etchants etch the material at the same rate in all directions, and consequently remove material under the etch masks at the same rate as they etch through the material; this is known as undercutting . The most common form of isotropic silicon etch is HNA,which comprises a mixture of hydrouoric acid (HF), nitric acid (HNO3) and acetic acid(CH3COOH). Isotropic etchants are limited by the geometry of the structure to be etched. Etch rates can slow down and in some cases (for example, in deep and narrow channels) they can stop due to diffusion limiting factors. However, this effect can be minimized by agitation of the etchant, resulting in structures with near perfect and rounded surfaces.

Figure 1.4: Under Cut during Wet etching

Anisotropic Wet Etching

Anisotropic etchants etch faster in a preferred direction. Potassium hydroxide (KOH) is the most common anisotropic etchant as it is relatively safe to use. Structures formed in the substrate are dependent on the crystal orientation of the substrate or wafer. Most such anisotropic etchants progress rapidly in the crystal direction perpendicular to the (110) plane and less rapidly in the direction perpendicular to the (100) plane. The direction perpendicular to the (111) plane etches very slowly if at all. Figures 19c and 19d shows examples of anisotropic etching in (100) and (110) silicon. Silicon wafers, originally cut from a large ingot of silicon grown from single seed silicon, are cut according to the crystallographic plane. They can be supplied in terms of the orientation of the surface plane. 2)Dry Etching

10

Figure 1.5: Crystallographic Planes in Silicon Dry etching relies on vapour phase or plasma-based methods of etching using suitably reactive gases or vapours usually at high temperatures. The most common form for MEMS is Reactive Ion Etching (RIE) which utilizes additional energy in the form of radio frequency (RF) power to drive the chemical reaction. Energetic ions are accelerated towards the material to be etched within a plasma phase supplying the additional energy needed for the reaction; as a result the etching can occur at much lower temperatures (typically 150 - 250 C) ,sometimes room temperature) than those usually needed (above 1000 C). RIE is not limited by the crystal planes in the silicon, and as a result, deep trenches and pits, or arbitrary shapes with vertical walls can be etched .

Figure 1.6: Reactive Ion Etching(RIE) Deep Reactive Ion Etching (DRIE) is a much higher-aspect-ratio etching method that involves an alternating process of high-density plasma etching (as in RIE) and 11

protective polymer deposition to achieve greater aspect ratios.

Figure 1.7: Deep Reactive Ion Etching(DRIE)

Chemically reactive vapors are some times used as etchants.This technique is called Vapor Etching.There is one vapor etchant that has become commercially important in micromachining processes. The gas xenon diouride,XeF2 is a highly selective vapor etchant for silicon, with virtually no attack of metals, silicon dioxide, or other materials [?]. As a result, it is ideal for the dry release of surface micromachined structures in which polysilicon is used as the sacricial layer. This process is used in the manufacture of the electrostatically actuated projection display chip . 1.3.2 i Basic MEMS Manufacturing Technologies

Bulk Micromachining Bulk micromachining is an important class of MEMS process. In bulk microma-

chining processes, a portion of the substrate(bulk) is removed in order to create freestanding mechanical structures (beams and membranes) or unique three-dimensional features (such as cavities , through-wafer holes, and mesas).Bulk micromachining can be applied to silicon, glass, gallium arsenide and other materials of interests.

There are two major categories of processes for bulk silicon etching. They are Wet 12

Etching and Dry Etching.Wet silicon etching processes use liquid chemical solutions in contact with silicon as described in page 9. Dry etching processes use plasma (high energy gas containing ionized radicals) or vapor-phase etchants to remove materials as described in page 10. So the various microfabrication processes involved in bulk micromachining technique are isotropic wet etching, anisotropic wet etching,Reactive Ion Etching (RIE), Deep Reactive Ion Etching etc. ii Surface Micromachining Unlike Bulk micromachining, where a silicon substrate (wafer) is selectively etched to produce structures, surface micromachining builds microstructures by deposition and etching of different structural layers on top of the substrate [?]. Generally polysilicon is commonly used as one of the layers and silicon dioxide is used as a sacricial layer which is removed or etched out to create the necessary void in the thickness direction. Added layers are generally very thin with their size varying from 2-5 Micro metres. The main advantage of this machining process is the possibility of realizing monolithic microsystems in which the electronic and the mechanical components(functions) are built in on the same substrate. The surface micromachined components are smaller compared to their counterparts, the bulk micromachined ones. As the structures are built on top of the substrate and not inside it, the substrates properties are not as important as in bulk micromachining, and the expensive silicon wafers can be replaced by cheaper substrates, such as glass or plastic. The size of the substrates can also be much larger than a silicon wafer, and surface micromachining is used to produce TFTs on large area glass substrates for at panel displays. This technology can also be used for the manufacture of thin lm solar cells, which can be deposited on glass, but also on PET substrates or other non-rigid materials. iii High Aspect Ratio(HAR) Micromachining HAR Micromachining is used to increase the aspect ratio of structures. The main HAR process used now are LIGA and SLIGA processes. LIGA process The LIGA process for manufacturing MEMS and microsystems does not have the major short comings of surface micromachining and bulk micromachining. These major short comings are 1) low geometric aspect ratio and 2) the use of silicon-based materials.This

13

process offers a a great potential for manufacturing non-silicon-based microstructures. The single most important feature of this process is that it can produce thick microstructures that have extremely at and parallel surfaces such as microgear trains, motors and generators.

The term LIGA is an acronym for the German terms Lithography (Lithographie), electroforming (Galvanoformung), and molding(Abformung).

Figure 1.8: Major fabrication steps in the LIGA process

As shown in gure 1.8 , the LIGA process begins with deep x-ray lithography that sets the desired patterns on a thick lm of photoresist. X-rays are used as the light source in photolithography because of their short wavelength, which provides higher penetration power into the photoresist materials This high penetration power is necessary for high resolution in lithography and for a high aspect ratio in the depth The short wavelength of x-ray allows an aspect ratio of more than 100:1 to be achieved. The LIGA process outlined in gure may be demonstrated bya a specic example as illustrated in gure 1.9. The desired product in this example is a microthin-wall metal tube of square cross-section.The process begins by depositing a thick lm of photresist material on the surface of a substrate as shown in gure 1.9 (b).A popular photoresist material that is sensitive to x-ray is polymethylmethacrylate(PMMA). Masks are used in the x-ray lithography. Most masking materials are transparent to x-rays , so it is necessary to apply a thin lm of gold to the area that will block x-ray transmission. The thin mask used for this purpose is silicon nitride with a thickness varying from 1-1.5m.

14

Figure 1.9: Major steps in the LIGA process The deep x-ray lithography will cause the exposed area to be dissolved in the subsequent development of the resist material gure 1.9 (c). The PMMA photoresist after the development will have the outline of the product, i.e. the outside prole of the tube.This is followed by electroplating of the PMMA photoresist with a desired metal, usually nickel, to produce the tubular product of the required wall thickness gure 1.9 (d). The desired tubular product is produced after the removal of the photoresist materials(PMMA in this case)by oxygen plasma or chemical solvents.For most applicatioons the desired product is metal molds for subsequent injection molding of microplastic products as shown in gure 1.8. SLIGA process From gures 1.8 and 1.9, the nished product, whether it is a microstructure or a metal mold, is attached to the substrate, or base plate.The attachment to the electrically conductive substrate is necessary for the electroplating process. However, this attachment is considered as a redundancy in the LIGA process. For instance, the hollow 15

square tube produced in the LIGA process as described in gure 1.9 would not be separated after electroplating of metal lm on the inner walls . A modied process called sacricial LIGA (SLIGA) has been developed to solve this problem. The principle of SLIGA is to introduce a sacricial layer between the PMMA resist and the substrate thereby to allow the separation of the nished mold from the substrate after the electroplating. The separation is achieved by the removal of the sacricial layer by etching. Polyimide with a metal-lm coating is used as a common sacricial layer material for that purpose.

1.4

Applications

16

CHAPTER 2
Conclusion

Anda mungkin juga menyukai