Anda di halaman 1dari 63

UNIVERSIDAD AUTNOMA DE BAJA CALIFORNIA

FACULTAD DE CIENCIAS QUMICAS E INGENIERA INGENIERA EN ELECTRNICA INGENIERA EN COMPUTACIN

MANUAL DE PRCTICAS DE LABORATORIO DE

CIRCUITOS DIGITALES

MC Teresa Carrillo Gutirrez tcarrillo@uabc.mx MC Susana Burnes Rudecino sburnes@uabc.mx MC Norma O. Bravo Islas normabravo@uabc.mx IC Raquel Mascareas Gutirrez capomo_72@uabc.mx

v.2008-1

Lab de Circuitos Digitales

CONTENIDO
Agradecimientos Presentacin Introduccin Lista de Material y Equipo Ficha de Control de Laboratorio Simbologa y Nomenclatura Programas utilizados Prctica 1 Prctica 2 Prctica 3 Introduccin al Laboratorio de Circuitos Digitales Diseo Combinacional Diseo de Circuitos Combinacionales con PLDs Primera parte Segunda parte Circuitos MSI F-F, Contadores y Registros MSI Primera parte Segunda parte Diseo de Circuitos Secuenciales Sncronos Mas aplicaciones de Diseo Secuencial Criterios de Evaluacin Construccin de una punta de prueba lgica Multisim

3 3 4 5 6 7 7 8 18 22 23 24 26 30 35 38 41 43 46 50 53 59 62

Prctica 4 Prctica 5

Prctica 6 Prctica 7 Anexo A Anexo B Anexo C Glosario Referencias

2 Bravo/Burnes/Carrillo/Mascareas

Lab de Circuitos Digitales

AGRADECIMIENTOS
Agradecemos a los alumnos de ambas carreras que les toc de alguna manera colaborar en la implementacin de un mejor manual de prcticas de laboratorio de Circuitos Digitales.

PRESENTACIN
La materia de Circuitos Digitales es la primera materia del rea de Digitales, lo que representa el inicio en el aprendizaje de los fundamentos tericos y prcticos en el anlisis y sntesis de los sistemas digitales. El objetivo principal de este manual de prcticas es brindar un recurso didctico para adquirir conocimientos y desarrollar habilidades en el diseo de sistemas digitales. Esta dirigido a estudiantes de las carreras de ingeniera relacionadas con el rea de electrnica digital y sistemas digitales. En este manual se aplica el uso de nuevas tecnologas, como son los lenguajes de descripcin de hardware (HDL), programas de captura esquemtica y la implementacin fsica mediante dispositivos lgicos programables (PLD). Las prcticas estn diseadas de manera que permitan al estudiante reforzar el aprendizaje, extender los conocimientos conceptuales, desarrollar habilidades y obtener conocimientos, necesarios en su formacin para el ejercicio de su profesin. Se ha puesto mucho cuidado en asegurar que las prcticas sean tiles, pertinentes, realizables y estimulen el inters por el estudio de la materia. El material usado en estas prcticas fue seleccionado para que este al alcance de la economa del estudiante universitario y no sea necesario hacer una inversin significativa.

3 Bravo/Burnes/Carrillo/Mascareas

Lab de Circuitos Digitales INTRODUCCIN


En la actualidad el diseo de los sistemas digitales se simplifica gracias a los avances en las computadoras personales, las cuales son muy verstiles y poderosas. El software es muy amigable y esta disponible en un ambiente de ventanas; adems se cuenta con ayudas visuales en caso de algn error. Por otra parte, los dispositivos electrnicos digitales son econmicos y programables a la medida. Las prcticas fueron seleccionadas para sincronizar el laboratorio con los temas que se estudian en clase y as comprobar los conceptos propuestos en clase. En la Prctica 1 se explica el uso de la tablilla de conexiones (protoboard), y se presentan recomendaciones bsicas para el alambrado y funcionamiento de los circuitos, as como la implementacin de los circuitos de entrada y salida. Adems, se comprueban las tablas de verdad de los operadores AND, OR, NOT, NAND, NOR, EXOR, EXNOR, de dos entradas, implementados con circuitos integrados de funcin fija TTL. En esta prctica el alumno se familiariza con los circuitos integrados de funcin fija. En la Prctica 2 se disea un circuito lgico combinacional con compuertas bsicas y con compuertas universales. Se simula con una herramienta CAD y se verifica experimentalmente como todas las prcticas. En la Prctica 3 se disea un circuito combinacional con Dispositivos Lgicos Programables (PLDs). En la Prctica 4 se construye un sistema de vigilancia con circuitos integrados MSI. En la Prctica 5 se estudian la teora bsica de un Flip Flop y las tablas caractersticas de los Flip Flops JK, RS, T y D. Luego se prueban un contador asncrono construido con Flip Flops, un contador asncrono en circuito integrado y un registro binario en circuito integrado. En la Prctica 6 y 7 se realizan diseos de circuitos secuenciales sncronos A continuacin se presenta una lista de material para la realizacin de las 7 prcticas propuestas para este curso.

4 Bravo/Burnes/Carrillo/Mascareas

Lab de Circuitos Digitales

LISTA DE MATERIALES

Cantidad
3 3 1 1 1 1 1 1 1 1 2 1 1 1 1 1 2 1 1 1 2 1 1 1 10 1 1 12 10 2

#
74LS00 74LS02 74LS04 74LS08 74LS10 74LS32 74LS47 74LS48

Descripcin
NAND de 2 entradas * o NOR de 2 entradas * NOT * AND de 2 entradas * NAND de 3 entradas OR de 2 entradas * Decodificador BCD a 7 segmentos. (nodo C.) *o Decodificador BCD a 7 segmentos. (Ctodo C.) * Flip-Flop RS Flip-Flop D Flip-Flop JK * Contador Binario * Buffer Decodificador/DEMUX * MUX 16 a 1 MUX de 8 a 1 * MUX de 2 a 1 Buffer 3 estados Registro de 8 bits EX-OR Dispositivo Lgico Programable De 7 seg. nodo comn* De 7 seg. Ctodo comn* Temporizador * Diodo Emisor de Luz * Panel con 12 interruptores o 12 SW 2 polos 1 tiro. * de 100 F * 2.2 k ohms * 220 ohms * 4.7 k ohms *

74LS71 74LS74 74LS76 74LS93 74LS126 74LS138 74LS150 74LS151 74LS157 74LS244 74LS165 74LS386 GAL22V10 Display Display LM555 LED DIPSWITCH Base sujetadora Capacitor Resistores Resistores Resistores *Se recomienda comprarlo

5 Bravo/Burnes/Carrillo/Mascareas

Lab de Circuitos Digitales

Ficha de Control de Laboratorio Circuitos Digitales


Nombre del Alumno: Nombre del Maestro:
No.
de prc

Sesin:
A: Acreditado NA: No Acreditado Calif. Fecha

A: Acreditado NA: No Acreditado Acred. Fecha

Pre-reporte

Prctica terminada
Calif. Fecha

Reporte

Calificacin de la prctica
Valor
Logrado

1 2 3 4 5 6 7 Total de puntos Prcticas Proyecto Final


Total en LABORATORIO

4 5 7 4 5 4 6 35 35 70

6 Bravo/Burnes/Carrillo/Mascareas

Lab de Circuitos Digitales SIMBOLOGA Y NOMENCLATURA

Acrnimo de Institute of Electrical and Electronics Engineers (Instituto de ingenieros elctricos y electrnicos). Es Instituto norteamericano de ingenieros que dicta recomendaciones y normalizaciones con mbito internacional. Se divide en comits.

IEEE

El programa fue originalmente creado por una compaa llamada Electronics Workbench, la cual es ahora una subsidiaria de National Instruments. El programa fue originalmente llamado Electronics Workbench y en esa poca fue usado principalmente como una herramienta educacional para ensear electrnica en colegios. Por la historia de la educacin, todava hay una versin especial de Multisim con caractersticas especficamente diseadas ayuda a ensear electrnica. WARP WarpR4 es una herramienta para el diseo con lgica programable creada por Ciprs Semiconductor, la cual procesa varios tipos de entrada de datos; tales como captura esquemtica, compilador estndar de VHDL y la combinacin de ambos, hacindola muy flexible y funcional. En la actualidad es uno de los estndares mas usados en la industria, ya que presenta la caracterstica de optimizar los diseos con rapidez y precisin utilizando tan solo una pequea rea del circuito; adems, ofrece una interfaz grafica llamada Galaxi amigable con el usuario. PROMAX

Multisim

PROGRAMAS UTILIZADOS

SuperPro o PROMAX
Para la programacin de los circuitos integrados es necesario un programador compatible con archivos en formato JEDEC, que soporte dispositivos lgicos programables como el GAL (arreglo lgico genrico).

7 Bravo/Burnes/Carrillo/Mascareas

Lab de Circuitos Digitales

Prctica No. 1
Introduccin al laboratorio de Circuitos Digitales

OBJETIVOS:
Explicar el uso de la tablilla de conexiones (protoboard). Verificar experimentalmente la operacin de las compuertas digitales bsicas AND, OR y NOT; adems de las compuertas derivadas NAND, NOR, EX-OR, EX-NOR y de las compuertas especiales como la de 3 estados y buffer.

DURACIN: 2 sesiones
MATERIAL:

EQUIPO:

1 74LS00 NAND 1 74LS02 NOR 1 74LS04 NOT 1 74LS08 AND 1 74LS32 OR 1 74LS86 EX-OR 1 74LS244 Buffer 3-Estados 1 DIP switch 1 o ms LEDs 1 o ms Resistores 330 2 o ms Resistores 2.2 k Alambre para conexiones

Punta de prueba lgica Fuente de voltaje (5 VDC) Multmetro Manual ECG o NTE Pinzas de corte Pinzas de punta Protoboard

FUNDAMENTO TERICO: Protoboard


La principal caracterstica de un protoboard es la presencia de una enorme cantidad de perforaciones separadas entre s por un dcimo de pulgada, cantidad considerada estndar para la separacin de las terminales de los circuitos integrados. Esto facilita la insercin de estos dispositivos con otros componentes. En el interior de la tablilla existen delgadas lminas que conectan las lneas verticales de puntos. As el punto A se encuentra unido al punto B y as sucesivamente hasta el punto E. De modo que si se conectan las terminales de otros componentes auxiliares es como si
8 Bravo/Burnes/Carrillo/Mascareas

Lab de Circuitos Digitales


se conectara directamente al circuito integrado. Y lo mismo con el resto de las terminales restantes del circuito integrado. (Ver figura 1.1).

Los contactos horizontales estn unidos

El espacio central est estandarizado para permitir insertar a los ICs

Los 5 contactos verticales estn unidos

Figura 1.1 Distribucin de las diferentes partes del protoboard.

Esta laminilla conecta elctricamente los dispositivos insertados en ella. Por lo que un protoboard ofrece la ventaja de elaborar circuitos experimentales (ver figura 1.2)

Laminilla interna

Diferentes componentes

Figura 1.2. Circuito experimental.

9 Bravo/Burnes/Carrillo/Mascareas

Lab de Circuitos Digitales


Una de las maneras ms fciles de construir una circuitera y de alambrarla, es la tpica tablilla protoboard, pero se tienen ciertas limitaciones: -Se tiene que distribuir muy bien el espacio para tener una mejor conexin e identificacin de los componentes. -Evite insertar componentes con terminales muy gruesas, pues daarn las terminales del protoboard. -Identificar bien las fuentes y tierras para evitar cortos. -No es conveniente usar circuitera que involucre altas frecuencias o altas corrientes. -Recortar terminales de componentes, lo necesario para no ocasionar cortos, se introduzca ruido, etc. -Tener el rea del circuito fuera de cualquier fuente de ruido mecnico, polvo; es lo mejor para su buen funcionamiento. -Verificar con un hmetro las terminales del protoboard para ver si no hay daos.

TCNICAS DE ALAMBRADO
Basndose en el desarrollo de proyectos, prcticas y dems circuitos por realizar durante la prctica en laboratorio, se tiene que tener en cuenta los siguientes factores para un buen funcionamiento del mismo. (Ver figura 1.3). TIEMPO. Por muy sencilla o compleja que sea la prctica ha realizar se tiene que tomar un tiempo para desarrollarla con detenimiento, para evitar lo siguiente: -Errores en construccin: Cortos, falsos contactos, errores en el alambrado. -Errores en el diseo: Aunque est alambrado correctamente, se puede tener fallas en el concepto bsico de la prctica. -Necesidad de adiciones: Hasta que se prueba la prctica no se debe tener la necesidad de aadir elementos para el funcionamiento correcto. Para evitar tener que identificar y arreglar fallas en circuito a probar, o redisear se tiene que tomar el tiempo necesario para hacerlo bien desde un principio.
10 Bravo/Burnes/Carrillo/Mascareas

Lab de Circuitos Digitales

RECOMENDACIONES BSICAS Una de las formas ms bsicas para tener un correcto funcionamiento en la prctica por realizar son: -Asumir que se van hacer modificaciones: Siempre que se hacen correcciones en el diseo, arreglando fallas en el alambrado, agregando circuitera extra, cambiando los valores de los componentes, o conceptos de reingeniera, se tiene que escoger el mejor mtodo que permita hacerlo, dejando bastante espacio en el protoboard para trabajar. -Identificar todos los pins en CIs: Aunque se tenga la identificacin de los pins en la hoja de prctica del circuito ha realizar, se tiene que consultar en los libros de especificaciones del fabricante (data books), para una correcta conexin tomando en cuenta los voltajes de alimentacin, conexiones a tierra, pulsos de reloj, resets, enables, entradas y salidas. Cualquier pin no utilizado o compuerta extra debe ser conectada a tierra o dejarlas desconectadas. -Aterrizar los CIs: Esto significa poner un capacitor de cermica de 0.01 a 0.1F de la fuente de +5V a tierra, para evitar que se introduzca ruido de la lnea, ocasionando fallas repentinas. -Hacer buenas conexiones de la fuente de alimentacin y tierra: Un alambrado dbil en la conexin de circuito a circuito no es suficiente. Tener un alambrado ms robusto es lo mejor en cuanto a mejor calidad de alambre y distribucin en el protoboard para la fuente y tierra. -Mantener por separado la circuitera digital de la anloga: La conmutacin digital, especialmente en los buses de microprocesadores puede introducir tipo de ruido y basura a la circuitera anloga o circuitera de audio. -No siempre el CI esta daado: Cuando un proyecto no funciona, lo primero a deducir es que el circuito integrado esta daado, no siempre es esto. En prctica, la mayora de los circuitos estn a prueba de fallas (mal alambrado, fuente equivocada), soportando un gran abuso. En lo que s se tiene que tener cuidado es en la esttica. El problema por lo general se encuentra en otra parte. -No usar ningn tipo de silicn para aislar circuitera: Este tipo de componente es comnmente usado, pero no es un aislante. Tendr fuga de pequeas corrientes, que no importan en circuitera lgica, pero si en circuitera anloga de alta impedancia.

11 Bravo/Burnes/Carrillo/Mascareas

Lab de Circuitos Digitales


-Construir primero una seccin: Cuando se tiene que construir una circuitera muy compleja o hacer varias idnticas, es recomendable empezar por una parte y luego sucesivamente las dems, para evitar errores de alambrado. -Asegurar primero tener el componente antes de la base o el diseo: Se encontrar el circuito ideal para el proyecto en los manuales de especificaciones del fabricante, pero puede suceder que este descontinuado, no hay distribuidor para adquirirlo, o su costo sea muy elevado. Lo correcto es escoger componentes lo ms comerciales posibles. -Nunca conectar circuitera sin probar en la computadora: Nunca se debe hacer esto, cualquier error en el alambrado, la motherboard, y los disk drivers se quemarn. Hay tarjetas especiales que permiten hacer circuitera en prototipo, el cual protege la computadora. -Usar sockets en prototipos: Al terminar un proyecto el cual se quiera pasar a wire wrapper, PCB, etc., donde involucre poner soldadura. Es necesario usar sockets para los CIs antes de colocarlos as, no se daarn con el calor aplicado si se soldan directamente.

Figura 1.3 Alambrado y distribucin correctas.

12 Bravo/Burnes/Carrillo/Mascareas

Lab de Circuitos Digitales PROCEDIMIENTO:


1. Utilizando Multisim o algn otro simulador realice la simulacin de cada una de las compuertas lgicas. 2. Implemente el circuito de la figura siguiente en el protoboard y repita para cada uno de los circuitos integrados de la lista de material.

Diagrama del circuito de prueba El DIP switch esta en configuracin Lgica Negativa ON (cerrado) es 0 lgico OFF (abierto) es 1 lgico 3. Coloque apropiadamente los circuitos integrados sobre el protoboard. Y alambre considerando las tcnicas de alambrado mencionadas en este documento.

13 Bravo/Burnes/Carrillo/Mascareas

Lab de Circuitos Digitales

Ejemplo de numeracin de pins en un Circuito Integrado 4. Manipule las variables de entrada de la compuerta revisada por medio de interruptores.
VCC= 5 v

14 Bravo/Burnes/Carrillo/Mascareas

Lab de Circuitos Digitales

VCC= 5 v

5. Verifique la salida de las compuertas digitales de un circuito integrado con la punta de prueba lgica o bien con el voltmetro. 6. Elabore la tabla de verdad experimental de la compuerta digital en estudio. 7. Compare los resultados obtenidos con la tabla de verdad de la compuerta lgica.
15 Bravo/Burnes/Carrillo/Mascareas

Lab de Circuitos Digitales


8. Anote los resultados obtenidos en la tabla de la siguiente pgina. 9. Utilizando VHDL realice el programa, compile y simule cada una de las compuertas lgicas

INVESTIGACIN:
Qu es la lgica? Qu campo abarca la lgica digital? Qu es una Compuerta? Explique las diferentes formas de describir la operacin de una compuerta. Cul es la diferencia entre compuerta lgica y compuerta digital? Cmo formara una operacin AND de tres entradas usando compuertas AND de solo dos entradas? Dibuje el circuito. g) Explique el funcionamiento del buffer tri-estado. h) Qu es un Circuito Integrado? i) Investigue la configuracin de todos los circuitos integrados a utilizar en esta prctica. j) Qu valor lgico se considera cuando una entrada a una compuerta no est conectada? k) Cul es el significado de TTL y mencione sus principales caractersticas? l) Cul es el significado de VCC y GND? m) Investigue las dos formas de alambrado del DIP Switch para manipular las variables de entrada a las compuertas. a) b) c) d) e) f)

16 Bravo/Burnes/Carrillo/Mascareas

Tabla de Resultados
Buffer 3-Estados

m 0 1 2 3

AB 00 01 10 11

NAND

NOR

NOT

AND

OR

X-OR

Prctica No. 2
Diseo Combinacional

OBJETIVOS:
Disear utilizando la metodologa bsica para circuitos lgicos combinacionales. Explicar las ventajas que ofrece el dibujo de diagramas de circuito lgico empleando para ello diversas alternativas para los smbolos de las compuertas, en comparacin con el uso de los smbolos estndares. Implementar las compuertas universales NAND o NOR.

DURACIN: 1 sesin MATERIAL:


1 1 1 ? ? 1 2 2 3 74LS08 AND 74LS04 NOT 74LS32 OR 74LS02 NOR depende del diseo 74LS00 NAND depende del diseo DIP switch LEDs Resistores 330 Resistores 2.2 k Cable para protoboard

Punta de prueba lgica Fuente de voltaje (5 VDC) Multmetro Protoboard Manual ECG Pinzas de corte y de punta

EQUIPO:

FUNDAMENTO TERICO:
Todas las expresiones booleanas constan de algunas combinaciones de las operaciones bsicas OR, AND y NOT. As que cualquier expresin puede implementarse con las compuertas bsicas. Sin embargo, tambin es posible hacerlo nicamente con compuertas NAND. Esto se debe a que dichas compuertas, en combinaciones adecuadas, realizan las tres operaciones booleanas, OR, AND y NOT, como se demuestra en la figura 2.1. De igual manera, puede demostrarse que si las compuertas NOR se disponen de manera adecuada, pueden realizar cualquier operacin booleana. Esto se ilustra en la figura 2.2.

Lab de Circuitos Digitales


NAND
NOT

X=A

A B

AND

A B A

(AB)

X=AB

A B

OR

X=A+B B
B

Figura 2.1 Las compuertas NAND se pueden utilizar para poner en prctica cualquier funcin booleana.

NOR

NOT

A A B

X=A*A=A

OR

X=A+B

A B

AND

X=AB

Figura 2.2 Las compuertas NOR se pueden utilizar para poner en prctica cualquier funcin booleana.

19 Bravo/Burnes/Carrillo/Mascareas

Lab de Circuitos Digitales PROCEDIMIENTO:


Disee un circuito comparador de dos nmeros de 2 bits ( A1 A0 y B1 B0 ). El circuito deber tener una seal de salida Z, que ser ALTA para indicar que A y B son iguales o que A es mayor que B, y Z ser BAJA cuando A es menor que B. (Ver figura 2.3) 1. Disee utilizando la metodologa bsica para circuitos lgicos combinacionales. 2. Simplifique la ecuacin con los teoremas del lgebra booleana. 3. Elabore el diagrama lgico con compuertas bsicas usando el menor nmero de compuertas y circuitos integrados; es decir, aplicando criterios de eficiencia. 4. Evale el diseo lgico. Una simulacin con herramienta CAD ser muy til. 5. Elabore el diagrama de alambrado completo. 6. Verifique el circuito experimentalmente en el laboratorio. 7. Elabore el diagrama lgico con el menor nmero de compuertas NAND o bien con el menor nmero de compuertas NOR. 8. Simplifique el diagrama lgico con compuertas universales. 9. Compruebe que la ecuacin de salida realiza la misma funcin que la ecuacin del diagrama lgico con compuertas bsicas. 10. Elabore el diagrama de alambrado. 11. Verifique experimentalmente compuertas. el circuito utilizando el menor nmero de

A0 A1 B0 B1

Comparador binario de 2 bits

Figura 2.3 Diagrama a bloques de un comparador binario.

20 Bravo/Burnes/Carrillo/Mascareas

Lab de Circuitos Digitales

INVESTIGACIN:
a) Por qu las compuertas NAND y NOR son universales? b) Cmo se puede construir la operacin XOR y XNOR con las compuertas universales? c) Dibuje los smbolos alternativos de las compuertas bsicas. d) Investigue los smbolos estndar 91-1984 ANSI/IEEE para las compuertas bsicas y derivadas. e) Elabore el diagrama lgico con simbologa estndar tradicional y con simbologa ANSI/IEEE. f) Cul es la utilidad de un diagrama de temporizacin?

21 Bravo/Burnes/Carrillo/Mascareas

Lab de Circuitos Digitales

Prctica No. 3
Diseo de circuitos combinacionales con Dispositivos Lgicos Programables (PLDs)

OBJETIVOS:
Analizar un problema para su mejor solucin. Aplicar los mtodos de simplificacin de circuitos lgicos. Programar un circuito combinacional en un solo circuito integrado (PLD).

DURACIN: 2 sesiones MATERIAL:


EQUIPO:

74LS08 AND 74LS04 NOT 74LS32 OR 74LS86 XOR DIP switch GAL22V10 LEDs Resistores 330 Resistores 2.2 k Alambre para protoboard

Fuente de voltaje ( 5 VDC ) Programador de PLDs Multmetro Protoboard Manual ECG o NTE Pinzas de corte y de punta

FUNDAMENTO TERICO:
Con el fin de permitir la construccin de circuitos lgicos usando el concepto de lgica programable, los fabricantes de circuitos integrados producen dispositivos, de alta velocidad, con los que se puede desarrollar ecuaciones lgicas de toda clase, tanto combinacionales como secuenciales. En estos circuitos dedicados, el usuario puede programar en un solo chip, funciones lgicas que, de otra forma, utilizaran muchos componentes y, por tanto, mucho espacio. A estos dispositivos se les conoce con el nombre genrico de Dispositivos Lgicos Programables o PLDs.
22 Bravo/Burnes/Carrillo/Mascareas

Lab de Circuitos Digitales


Los diseadores de circuitos digitales han utilizado tradicionalmente los PLDs para obtener funciones lgicas que generalmente no estn disponibles como componentes estndares. Los PLDs son una excelente opcin en sistemas donde el tamao y complejidad de las tarjetas de circuito impreso, la confiabilidad, el nmero de componentes o la velocidad son factores crticos. En esta prctica se aprender a programar un GAL (Arreglo Lgico Genrico) que es un circuito combinacional meramente. El GAL representa la versin mejorada de un PAL que puede ser programada y borrada elctricamente. El GAL es un dispositivo econmico y verstil, razones por las que se propone para esta prctica. Los sumadores son muy importantes no solamente en las computadoras, sino en muchos tipos de sistemas digitales en los que se procesan datos numricos. Comprender el funcionamiento de un sumador es fundamental en el estudio de los sistemas digitales. Para formar un sumador binario en paralelo se conectan dos o ms sumadores completos.

Recordatorio: Proceso comn de adicin binaria Cosumando + Sumando Suma 1 0 1 0 0 1 1 1 1 0 1 0 1 Almacenados en el registro acumulador 1 Almacenados en el registro B 0

PROCEDIMIENTO
Primera parte: Disee un sumador binario de 3 bits utilizando el menor nmero de compuertas lgicas. (Ver figura 3.1). 1. Elabore la tabla de verdad del medio sumador y del sumador completo. 2. Deduzca la ecuacin booleana a partir de la tabla de verdad. 3. Realice la simplificacin mediante: a. Teoremas del lgebra booleana. b. Mapas de Karnaugh.

23 Bravo/Burnes/Carrillo/Mascareas

Lab de Circuitos Digitales


4. Se recomienda disear el sumador completo con dos medios sumadores y una compuerta OR. 5. Elabore el diagrama lgico del sumador medio y tambin del sumador total con simbologa estndar: a. Tradicional b. Rectangular 6. Elabore el diagrama de alambrado completo. 7. Verifique experimentalmente el circuito digital con la correspondiente identificacin de las variables de entrada y salida.

A2

B2

A1

B1

A0

B0

A (MSB)

Cin

Cin

B (LSB)

Sumador Total Cout

Sumador Total Cout

Sumador Medio Cout

C2 2

C1 1

C0 0

Figura 3.1 Diagrama de bloques de un Sumador paralelo de 3 bits bsico. Segunda parte: Disee un sumador binario de 3 bits utilizando un GAL22V10. 1. Elabore el archivo fuente (*.vhd) en VHDL. 2. Compile el archivo para crear el archivo JEDEC (*.jed). 3. Simule su diseo. 4. Programe el GAL utilizando el SuperPro o bien el PROMAX. 5. Verifique experimentalmente en el protoboard.
24 Bravo/Burnes/Carrillo/Mascareas

Lab de Circuitos Digitales

INVESTIGACIN:
a) Explique los mtodos de simplificacin de ecuaciones booleanas. b) De acuerdo a su complejidad, los circuitos integrados digitales se clasifican en 4 categoras bsicas llamadas SSI, MSI, LSI, y VLSI. Explique cada categora. c) La familia TTL ( Lgica Transistor-Transistor ), est disponible en 2 versiones: la serie 54 y la serie 74. La 1a. se destina a aplicaciones militares y la 2a. a aplicaciones industriales y de propsito general. Mencione las categoras o subfamilias bsicas en las que se divide la familia TTL o bipolar. d) Mencione las ventajas de los dispositivos lgicos programables (PLDs). e) Elabore un diagrama de flujo donde se indique la secuencia de programacin de un PLD. f) Por qu es posible programar un circuito combinacional o una Mquina de Estados en un GAL?

25 Bravo/Burnes/Carrillo/Mascareas

Lab de Circuitos Digitales

Prctica No. 4
Circuitos Lgicos MSI

OBJETIVO:

Implementar circuitos integrados combinatorios MSI. Analizar y utilizar decodificadores y codificadores en distintas aplicaciones. Familiarizarse con el uso de visualizadores tipo LED (Display de 7 segmentos).
Verificar experimentalmente la operacin de un multiplexor 74LS157. Comprobar la operacin de un DEMUX de 1 a 8 lneas 74LS138.

DURACIN: 2 sesiones MATERIAL:


EQUIPO:
74LS138 Fuente de voltaje ( 5 VDC ) 74LS151 Multmetro Display de 7 segmentos 74LS47 o 74LS48 decodificador para display Protoboard de 7 segmentos DIP switch con 12 SW Manual TTL. LEDs Manual ECG o NTE Resistores para los LEDs Pinzas de corte y de punta Resistores para los interruptores Cable para protoboard

FUNDAMENTO TERICO:
Un decodificador acepta un cdigo de entrada de N bits y produce un estado ALTO o BAJO en una y solo una lnea de salida. En otras palabras, se dice que un decodificador identifica, reconoce o bien detecta un cdigo especifico (ver figura 4.1). Lo opuesto a este proceso se denomina codificacin y es realizado por un circuito lgico que se conoce como codificador. Un codificador tiene varias lneas de entrada, solo una de ellas se activa en un momento dado, y produce un cdigo de salida de N bits, segn la entrada que se active. La figura 4.2 es el diagrama general de un codificador con M entradas y N salidas. Aqu, las entradas son activas en ALTO, lo cual significa que normalmente son BAJAS. Un multiplexor o selector de datos es un circuito lgico que acepta varias entradas de datos y permite solo a una de ellas alcanzar la salida. La direccin deseada de los datos de
26 Bravo/Burnes/Carrillo/Mascareas

Lab de Circuitos Digitales


entrada hacia la salida es controlada por las entradas de seleccin (que algunas veces se conocen como entradas de direccin). La figura 4.3 muestra el diagrama funcional de un multiplexor general (MUX). En este diagrama las entradas y salidas se trazan como flechas grandes para indicar que pueden ser una o ms lneas de seales. Un multiplexor toma varias entradas y transmite una de ellas a la salida. Un demultiplexor efecta la operacin contraria; toma una sola entrada y la distribuye en varias salidas. La figura 4.4 muestra el diagrama general de un demultiplexor (DEMUX). Las flechas grandes que corresponden a entradas y salidas pueden representar una o ms lneas. El cdigo de entrada de seleccin determina hacia qu salida se transmitir la entrada de DATOS. En otras palabras, el demultiplexor toma una fuente de datos de entrada y la distribuye selectivamente a uno de N canales de salida, igual que un interruptor de mltiples posiciones.
A
0

Q0 Q1 Decodificador Q2 QM-1 Slo una salida es alta por cada cdigo de entrada
. . .

A0 A1
. . .

Q0 Q1 Codificador Q2 QN-1 Cdigo de salida De N bits


. . .

A1
. . .

A2 AM-1

A2 AN-1

2N cdigos de entrada

M entradas slo una ALTA a la vez

Figura 4.1 Decodificador.

Figura 4.2 Codificador.

I0 I1

Salida Z

Entrada de DATOS

O0

O1 Cdigo de entrada de SELECCIN que determina que entrada se MUX transmite a la salida Z. Entradas de SELECCIN

I N-1 Entradas de DATOS

O N-1 DEMUX Entradas de SELECCIN

Figura 4.3 Multiplexor.

Figura 4.4 Demultiplexor.


27 Bravo/Burnes/Carrillo/Mascareas

Lab de Circuitos Digitales

Figura 4.5 Ejemplo de aplicacin del DEMUX.

PROCEDIMIENTO:
Sistema de seguridad y vigilancia. Considere el caso de un sistema de vigilancia y seguridad para una planta industrial donde debe vigilarse el estado abierto/cerrado de muchas puertas de acceso. Cada puerta controla el estado de un interruptor y adems es necesario presentar de manera visual el estado de cada uno sobre varios LED que estn montados sobre un panel de vigilancia remoto que se encuentra en la estacin del guardia de seguridad. Una manera de hacer esto es tender un cable de seal desde el interruptor de cada puerta hasta el LED que se encuentra en el panel. Esto requerira tender muchos alambres sobre distancias grandes. Una mejor solucin, que adems reduce la cantidad de alambres que van hacia el panel central, es utilizar una combinacin multiplexor/demultiplexor. La figura 4.6 muestra un sistema que puede manejar ocho puertas y el nmero de puerta monitoriada se ver en el display de 7 segmentos. a) Explique el funcionamiento del siguiente circuito a bloques. b) Elabore el diagrama lgico completo. c) Elabore el diagrama de alambrado completo. d) Simule el circuito con una herramienta de computadora e) Comprubelo experimentalmente en el protoboard, recuerde la identificacin de variables de entrada y de salida: NOTA : No olvide incluir el diagrama lgico completo en su pre-reporte.
28 Bravo/Burnes/Carrillo/Mascareas

Lab de Circuitos Digitales

Figura 4.6 Sistema de vigilancia y seguridad.

INVESTIGACIN:
a) Muestre la visualizacin de nmeros y caracteres especiales en un display de 7 segmentos. b) Copie las tablas funcionales y los smbolos decodificador/DEMUX 74LS138. c) Qu es un demultiplexor? d) Dibuje el equivalente mecnico de un DEMUX de 4 salidas. e) Cmo se usa un DEMUX como decodificador? del MUX 74LS151 y del

29 Bravo/Burnes/Carrillo/Mascareas

Lab de Circuitos Digitales

Prctica No. 5
Flip Flop, Contadores y Registros en MSI

OBJETIVOS:
Construir un generador de pulsos de reloj. Analizar el funcionamiento del flip - flop D, JK y T. Construir un contador asncrono binario de 4 bits con flip flops JK. Analizar la operacin y las caractersticas de un contador binario asncrono de 4 bits tomando como ejemplo el CI 74LS93. Analizar la operacin y las caractersticas de un registro binario bits tomando como ejemplo el CI 74LS165.

DURACIN: 2 sesiones
74LS47 74LS48 decodificador con display Fuente de voltaje ( 5 VDC ) de 7 segmentos 2 74LS76 f-f JK Multmetro 1 74LS93 Contador Protoboard 1 74LS165 Registro Manual TTL. 1 74LS74 f-f D Manual ECG o NTE DIP switch con resistores 2.2 k Pinzas de corte y de punta LEDs con resistores 330 2 Resistores de 4.7 k 1 Capacitor de 100 F 1 LM555 Cable para protoboard

MATERIAL:

EQUIPO:

30 Bravo/Burnes/Carrillo/Mascareas

Lab de Circuitos Digitales

FUNDAMENTO TERICO:
1. Registro bsico con compuertas NOR

Figura 5.1 Registro bsico con compuertas NOR. 2. Registro bsico con compuertas NAND

Figura 5.2 Registro bsico con compuertas NAND.

3. Flip-flop S-R disparado por flanco

Figura 5.3 Flip-flop tipo SR.


31 Bravo/Burnes/Carrillo/Mascareas

Lab de Circuitos Digitales


4. Registro bsico tipo D

Figura 5.4 Registro bsico tipo D. 5. Flip-flop D disparado por flanco

Figura 5.5 Flip-flop tipo D. 6. Flip-flop J-K disparado por flanco

Figura 5.6 Flip-flop tipo JK. 7. Entradas asncronas

Figura 5.7 Entradas asncronas.


32 Bravo/Burnes/Carrillo/Mascareas

Lab de Circuitos Digitales


Los registros de corrimiento son CIs cuya funcionalidad es muy parecida a los multiplexores, slo que este integrado generalmente se compone con 8 bits de entrada paralela, una salida en serie, un habilitador de carga, la entrada del reloj y dos pines de polarizacin, dependiendo del tipo de empaquetado, ya que tambin se cuenta con registros de corrimiento con entrada en serie y salida paralela. La diferencia entre estos CIs y los multiplexores radica en que los primeros slo utilizan las entradas de reloj para obtener una salida, mientras que en los multiplexores se necesita controlar dicha salida por medio de ciertos bits de seleccin y dichos bits de seleccin con un controlador y un reloj para que dicho multiplexor llegue a funcionar como registro de corrimiento. Funcionamiento del 74LS165. El habilitador de carga permite cargar los 8 bits de entrada paralela mientras el pin de la inhibicin del reloj se encuentra en alto, tiempo en que la entrada del reloj no afecta la salida. Una vez que el pin de inhibicin es puesto en bajo la entrada paralela saldr en serie en el orden de A a H en salida normal y negada. El 74LS165 es un registro que permite hacer corrimientos de 8 bits, de entradas y salidas seriales; el cual cuenta con caractersticas de carga asncrona e inhibicin del reloj. Este dispositivo opera como un flip-flop tipo D disparado con transiciones positivas preset (S) y clear (R). (Ver figura 5.8). S y R son controladas por las entradas A y Shift/Load; Shift es el corrimiento y Load no carga. (Ver figura 5.9). La extraccin final de los datos ser despus de que la seal Clock Inhibit retorna a 0. Los datos estn almacenados en (QH,QG,QF,QE,QD,QC,QB,QA) y salen en este orden, esto es, de QH a QA (ver fig. 5.10).

Figura 5.8 Diagrama del CI 74LS165.


33 Bravo/Burnes/Carrillo/Mascareas

Lab de Circuitos Digitales

Figura 5.9 Diagrama parcial del circuito integrado 74LS165.

Figura 5.10 Tabla de funcionamiento del 74LS165.

34 Bravo/Burnes/Carrillo/Mascareas

Lab de Circuitos Digitales

Figura 5.11 Diagrama de temporizacin del CI 74LS165.

Primera parte PROCEDIMIENTO:


1. Construya el circuito Generador de pulsos para frecuencia fija de 1Hz.

Figura 5.12 Circuito generador de pulsos a


35 Bravo/Burnes/Carrillo/Mascareas

Lab de Circuitos Digitales


2. Verifique experimentalmente la tabla caracterstica de los f-f JK y D. Tambin verifique la funcin de las entradas asncronas PRESET y CLEAR. 3. Construya un flip-flop D y un flip-flop T con flip-flops tipo JK. Ver figura 5.13.

a) flip-flop D

b) flip-flop T

Figura 5.13 Flip-flop tipo D y tipo T con flip-flop JK. 4. Construya un contador de rizo de 4 bits con f-fs JK.

Figura 5.14 Diagrama a bloques de un contador de rizo de 4 bits.

Figura 5.15 Diagrama lgico de un contador de rizo de 4 bits con f-fs JK.
36 Bravo/Burnes/Carrillo/Mascareas

Lab de Circuitos Digitales

Figura 5.15 Diagrama de temporizacin.

Figura 5.16 Secuencia de conteo. 5. Decodifique las salidas del contador y visualcelas en un display de 7 segmentos.

Figura 5.17 Contador con decodificador de BCD a 7 segmentos.


37 Bravo/Burnes/Carrillo/Mascareas

Lab de Circuitos Digitales

Segunda parte

PROCEDIMIENTO:
1. Utilice el circuito generador de pulsos de reloj para frecuencia variable o para frecuencia fija 1 Hz. 2. Analice el funcionamiento del contador 74LS93 de la figura 5.19 incisos a y b. Comprelo con los datos de la tabla de verdad c). 3. Construya un contador MOD-8 y un MOD-16 con el contador de ejemplo 74LS93. (Figura 5.20). 4. Utilice el circuito visualizador con display de 7 segmentos. 5. Verifique experimentalmente el funcionamiento del circuito 74LS165. Consulte las especificaciones del circuito en la seccin de fundamento terico de esta prctica.

38 Bravo/Burnes/Carrillo/Mascareas

Lab de Circuitos Digitales

a) Distribucin de pins.
Salidas (*) QC QB 0 0 0 0 0 1 0 1 1 0 1 0 1 1 1 1 0 0 0 0 0 1 0 1 1 0 1 0 1 1 1 1 Conteo QA 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15

b) Diagrama funcional.
Entrada de Salidas reset R0(1) R0(2) QD QC QB QA 1 1 0 0 0 0 0 X Conteo X 0 Conteo (*) : Salida QA (pin 12) conectada a entrada B (pin 1).

QD 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1

c) Tablas de verdad.

Figura 5.19 Circuito integrado 74LS93.

39 Bravo/Burnes/Carrillo/Mascareas

Lab de Circuitos Digitales

5.20 Contadores de mdulo N con 74LS93.

INVESTIGACIN:
a) Los circuitos digitales se pueden agrupar en 2 grandes categoras: combinatorios y secuenciales. Explique la diferencia entre ambas. b) Qu es lgica secuencial sncrona? c) En dnde se utilizan los registros binarios? d) Cules son las diferencias entre un contador asncrono y uno sncrono? e) Dibuje el smbolo del registro 74LS165 y del contador 74LS93 en simbologa rectangular.

40 Bravo/Burnes/Carrillo/Mascareas

Lab de Circuitos Digitales

Prctica No. 6
Diseo de circuitos secuenciales sncronos Contadores MOD < 2n

OBJETIVOS:
Disear Contadores MOD 2n. Programar en un GAL un contador MOD 2n.

MATERIAL:
Circuito Visualizador con Display de 7 seg. Circuito temporizador a 1 Hz 2 74LS76 JK 1 GAL LEDs con resistores 330 Cable para protoboard

EQUIPO:

Fuente de voltaje ( 5 VDC ) Multmetro Protoboard Manual TTL. Manual ECG o NTE Pinzas de corte y de punta

FUNDAMENTO TERICO:
Un circuito secuencial que pasa a travs de una secuencia prescrita de estados bajo la aplicacin de pulsos de entradas se denomina contador. Los pulsos de entrada, llamados pulsos de conteo pueden ser pulsos de reloj, o pueden originarse en una fuente externa y pueden ocurrir a intervalos de tiempo prescritos o aleatorios. En un contador, la secuencia de estados puede seguir un conteo binario o cualquier otra secuencia de estados. Los contadores se encuentran en casi todo el equipo que contiene lgica digital. Se usa para contar el nmero de ocurrencias de un evento y son tiles para generar secuencias de temporizado para controlar operaciones con un sistema digital. De las diversas secuencias que puede seguir un contador, la secuencia binaria directa es la ms simple y la ms directa. Un contador que sigue la secuencia binaria se denomina contador binario un contador binario de n bits consta de n flip-flops y puede contar con un binario desde 0 hasta 2n-1. La secuencia de conteo de un contador binario de 3 bits se da en una secuencia de conteo que se repite despus que alcanza el ltimo valor, de modo que el estado 000 es el estado siguiente despus de 111. La secuencia de conteo da toda la informacin necesaria para disear el circuito. No es necesario listar los estados siguientes en una columna separada porque pueden leerse en el nmero de la secuencia siguiente.
41 Bravo/Burnes/Carrillo/Mascareas

Lab de Circuitos Digitales


La tabla de excitacin para un contador de 3 bits se designa por los tres flip-flops con variables A2, Ayo A1. Los contadores binarios se construyen en la forma mas eficientes con los flip-flops T. La excitacin flip-flop para las entradas T se derivan mediante la tabla de excitacin del f-f tipo T y mediante la inspeccin de la transicin de estado desde un conteo dado (estado presente) al siguiente bajo el (estado siguiente). Un contador con n flip-flops puede tener una secuencia binaria de menos de FN nmeros. Un contador BCD cuenta la secuencia binaria desde 0000 hasta 1001 y regresa a 0000 para repetir la secuencia. Otros contadores pueden seguir una secuencia arbitraria que es posible no sea la secuencia binaria directa. En cualquier caso, el procedimiento de diseo es el mismo. La secuencia de conteo se lista en la tabla de excitacin que se obtiene al comparar un conteo presente con el siguiente conteo que se lista bajo l. Una secuencia de conteo tabulada siempre supone una cuenta repetida, de modo como el siguiente estado de la ultima entrada es el primer conteo listado.

PROCEDIMIENTO:
1. Disee un circuito contador sncrono MOD 7 con f-fs JK. 2. Verifique experimentalmente en el protoboard. 3. Simule el circuito obtenido en el paso anterior. 4. Programe un GAL como contador MOD 7. 5. Verifique experimentalmente en el protoboard.

INVESTIGACIN:

a) Explique el procedimiento para disear contadores MOD FN b) Cmo se programan los circuitos secuenciales en VHDL?

42 Bravo/Burnes/Carrillo/Mascareas

Lab de Circuitos Digitales

Prctica No. 7
Diseo Secuencial Ms aplicaciones: Mquina de Moore

OBJETIVOS:

Disear Contadores MOD FN. Aplicar la metodologa para disear un sistema secuencial sncrono. Implementar el circuito diseado en un GAL.

DURACIN: 2 sesiones
Circuito Visualizador con Display de 7 seg. Circuito temporizador a 1 SS 2 74LS76 JK 1 GAL LEDs con resistores 330 Cable para protoboard

MATERIAL:

Fuente de voltaje ( 5 VDC ) Multmetro Protoboard Manual TTL. Manual ECG o NTE Pinzas de corte y de punta

EQUIPO:

FUNDAMENTO TERICO:
Una mquina de Moore es similar a una de Mealy, salvo en que la respuesta slo depende del estado actual de la mquina y es independiente de la entrada. Precisamente, una mquina de Moore es una estructura de la forma

Donde

43 Bravo/Burnes/Carrillo/Mascareas

Lab de Circuitos Digitales

En la mquina de Moore se tiene que: En la mquina de Moore, el prximo estado viene determinado por expresiones lgicas exclusivamente combinacionales del estado actual (realimentado) y el valor actual de las entradas. Y en este caso los valores de las salidas actuales solamente vienen dadas por expresiones lgicas puramente combinacionales del estado actual.

44 Bravo/Burnes/Carrillo/Mascareas

Lab de Circuitos Digitales PROCEDIMIENTO:


Mquina de Moore.

Disee un sistema secuencial que controle el llenado de un tanque con las siguientes caractersticas: a) El sistema consta de dos bombas llamadas A y B b) Un sensor de nivel H que indica con H = 1 tanque lleno y H = 0 tanque vaco. c) Partiendo de que el tanque se encuentra vaco, el llenado deber iniciarse encendiendo la bomba A hasta llenar el tanque, para posteriormente apagarse. d) Si de nuevo se vaca el tanque, el llenado deber hacerse encendiendo ahora la bomba B y as sucesivamente, de tal forma que las bombas alternen su funcionamiento.
1. Especifique el sistema. 2. Determine la cantidad de flip flops. 3. Asigne valores a los estados. 4. Determine las entradas y salidas. 5. Construya una tabla de estados. 6. Minimice. 7. Elabore el diagrama. 8. Simule 9. Pruebe el circuito experimentalmente.

INVESTIGACIN:
Explique el procedimiento para disear circuitos secuenciales.

45 Bravo/Burnes/Carrillo/Mascareas

Lab de Circuitos Digitales

ANEXO

Criterios de evaluacin del Laboratorio de Circuitos Digitales


1) Pre-reporte El pre-reporte de laboratorio es OBLIGATORIO e individual. NO se realizar durante la sesin del laboratorio. Si no est terminado, favor de no entrar al laboratorio. El pre-reporte incluye: Hay que contestar TODAS las preguntas/ejercicios de la seccin de INVESTIGACIN, an cuando sean puntos no cubiertos en clase, por eso es de INVESTIGACIN. Toda la preparacin terica de la prctica lo evidenciar con un Mapa Mental. Incluir lo que se pide en la seccin de PROCEDIMIENTO, tales como tablas de verdad, ecuaciones, deducciones, etc. Elaborar los Diagramas lgicos completos y CORRECTOS, no tachados ni sucios. Elaborar el Diagrama de ALAMBRADO completo, se podr auxiliar de una herramienta CAD (Diseo asistido por computadora) por ejemplo Circuit Maker, MultiSim, etc.). VERIFICACIN de lista de material, completarla si fuera necesario. Presentacin del Manual del laboratorio impreso o en formato electrnico en Lab Top personal. Evaluacin del pre-reporte: Para obtener una A de Acreditado deber estar COMPLETO. Podr estar a mano con buena letra y calidad de presentacin en diagramas. Sin faltas de ortografa. No es para entregar slo se mostrar durante la sesin de laboratorio que corresponda. La acreditacin del mismo se anotar en la lista de control del maestro y en la ficha de control del laboratorio del alumno. Para acreditar laboratorio es requisito indispensable aprobar la totalidad de pre-reportes. 2) Prctica de laboratorio: Circuito funcionando completamente, con calidad de alambrado aceptable, con etiquetas, realizar la presentacin del mismo y contestar todas las preguntas que le realice el maestro. La entrega se realizar en la sesin de laboratorio que corresponda, si se entregara despus bajar su calificacin un punto por da. La calificacin se anotar en la lista de maestro y en la ficha de control del laboratorio. Despus de la revisin del funcionamiento de la prctica se des-alambrar completamente y se mostrar el protoboard.
46 Bravo/Burnes/Carrillo/Mascareas

Lab de Circuitos Digitales


Para acreditar una prctica tendr que obtener una calificacin mnima de 6 (escala 10). Para acreditar laboratorio es requisito indispensable aprobar la totalidad de prcticas. En caso de no aprobar el laboratorio por prcticas de laboratorio repite el curso sin derecho a examen extraordinario ni regularizacin. En siguiente tabla se muestran los valores totales de cada una de las prcticas, considerando el pre-reporte, la prctica funcionando y el reporte. No 1 2 3 4 5 6 7 Ttulo de la prcticas Introduccin al lab. De C. Dig. Diseo Combinacional Diseo de Circuitos Combinacionales con PLDs Circuitos MSI F-F, Contadores y Registros MSI Diseo de Circuitos secuenciales sncronos Ms aplicaciones de Diseo secuencial Valor puntos 4 5 7 4 5 4 6 35 puntos

3) Reporte de prctica de laboratorio: Para acreditar un reporte tendr que obtener una calificacin mnima de 6 (escala 10). Para acreditar laboratorio es requisito indispensable aprobar la totalidad de reportes. En caso de entregar y no acreditar el reporte se bajar 2 puntos de la calificacin de la prctica (escala 10). Se entregar el reporte corregido en la siguiente sesin de laboratorio. No habr otra oportunidad en caso de no acreditarlo. Se entregar el reporte como tiempo lmite en la siguiente sesin de laboratorio de la fecha de elaboracin de la prctica. Se podr entregar impreso, en hojas recicladas de preferencia, a mano o en archivo electrnico va correo electrnico. El tipo de letra ser de los sencillos como Arial, Times New Roman etc. y de tamao 10 mximo 12. Sin faltas de ortografa y con buena redaccin. Se puede realizar a mano si es con buena letra. Tener el cuidado de recoger su reporte evaluado y verificar su acreditacin. En caso de que el reporte se enve por correo electrnico se tendr cuidado de revisar y guardar la respuesta; tambin en este caso se usaran la ficha de control de laboratorio del alumno y la lista de control del maestro. En caso de acreditar el reporte se queda la calificacin obtenida en la prctica. Si se obtiene un A+ se sumar un punto a los puntos logrados.

47 Bravo/Burnes/Carrillo/Mascareas

Lab de Circuitos Digitales


La portada del reporte de la prctica de laboratorio debe incluir los siguientes datos: Nombre de la materia Nombre del alumno Nombre del maestro Ttulo y nmero de la prctica Sesin de laboratorio Fecha de elaboracin de la prctica Fecha de entrega del reporte El documento llamado Reporte debe contener lo siguiente: 1. Portada 2. Introduccin (explicar el objetivo de la prctica) 3. Procedimiento y metodologa 4. Representacin de la funcin mediante diagrama de alambrado, diagrama esquemtico, circuito, ecuacin o tabla de verdad 5. Resultados, conclusiones y recomendaciones (por lo menos media cuartilla que es media pgina a 1.5 de interlineado) 6 Cuestionario resuelto 7. Referencias (bibliogrficas y/o cibergrficas) 4) Proyecto del laboratorio Tiene un valor de 40 puntos. Se realizar en equipo de mximo 3 alumnos. Entregar en la fecha solicitada la propuesta del proyecto con los nombres de los integrantes del equipo. Entregar en las fechas indicadas los avances del proyecto. Aprobar el proyecto final igualmente con calificacin mnima de 6 (equivale a 28 puntos). Para acreditar el proyecto de laboratorio deber estar funcionando completamente. Todos los integrantes del equipo debern demostrar que trabajaron en igual proporcin. Exposicin del funcionamiento del proyecto por todos los integrantes del equipo. Slo el proyecto podr ser entregado en extraordinario en caso de no funcionar, y en caso de haber trabajado durante el semestre. Reporte del proyecto del laboratorio Es requisito indispensable para acreditar el proyecto de laboratorio. Se podr entregar impreso, en hojas recicladas de preferencia, a mano o en archivo electrnico va correo electrnico. El documento tendr los mismos puntos y caractersticas de calidad y cantidad de un reporte de prctica de laboratorio. Es un reporte por proyecto donde colabora en su elaboracin TODO el equipo de trabajo.
48 Bravo/Burnes/Carrillo/Mascareas

Lab de Circuitos Digitales


En caso de entregar y no acreditar el reporte se bajar 5 puntos de la calificacin del proyecto. Se entregar el reporte corregido en la siguiente sesin de laboratorio. No habr otra oportunidad en caso de no acreditarlo. Con el reporte acreditado se quedan los puntos logrados en el proyecto. Si se obtiene un A+ se suman 4 puntos a la calificacin lograda en el proyecto.

49 Bravo/Burnes/Carrillo/Mascareas

Lab de Circuitos Digitales

ANEXO

Construccin de una punta de prueba lgica

OBJETIVO:
El alumno construir su propia punta de prueba lgica como herramienta para la realizacin de las prcticas de laboratorio.

MATERIAL:
1 Resistor de 330 1 LED Un caimn con alambre insulado negro Una pluma sin tintero Soldadura

EQUIPO:

Cautn Fuente de voltaje ( 5 VDC ) Desoldador Pinzas de punta

PROCEDIMIENTO:
1.- Soldar la punta de la pluma al resistor con la finalidad que sirva de punta de prueba. 2.- Soldar el otro extremo del resistor al nodo del LED, dejando la parte superior del LED visible. 3.- Soldar el ctodo del LED al caimn. 4.- Comprobar el funcionamiento de la probeta lgica.

50 Bravo/Burnes/Carrillo/Mascareas

Lab de Circuitos Digitales

resistor de 330

nodo

ctodo

Punta de prueba

Caimn a tierra

Fig. C.1 Diagrama interno de una Punta de prueba lgica

Se quit la capa de la pluma para mostrar su vista interior.

Fig. C.2 Punta de prueba lgica.

51 Bravo/Burnes/Carrillo/Mascareas

Lab de Circuitos Digitales

Fig. C.3 Tipos de LEDs


La grfica muestra dos de las variedades de LEDs en las formas que se puede encontrar.

Fig. C.4 Soldadura entre terminales


Cuando se van a unir 2 o ms terminales se deben hacer ganchos en los 2 extremos para asegurar la unin. Esto es muy importante, porque si la soldadura llegara a romperse durante el proceso, el gancho continuar haciendo contacto.

Fig. C.5 Cmo soldar

52 Bravo/Burnes/Carrillo/Mascareas

Lab de Circuitos Digitales

ANEXO C Inicio de Multisim 8


Busque el archivo ejecutable a travs del botn Start > Programs > Diseo y Simulacin > Electronics Workbench > Multisim 8 > Multisim 8 y ejectelo. Enseguida aparecer la ventana Circuit1 Multisim [Circuit1], como se muestra en la figura.

En la barra de componentes que se encuentra en la parte superior izquierda busque el boton y aparecera una ventana Select a Component como se muestra en la figura.

y haga clic

53 Bravo/Burnes/Carrillo/Mascareas

Lab de Circuitos Digitales

Seleccione 74LS>DISPOSITIVO>OK, coloque la figura sobre la pantalla y haga clic.

haga clic, seleccione En la barra de componentes localice el boton Source POWER_ SOURC>Vcc>OK, coloque la figura sobre la pantalla y haga clic.

Repita el paso anterior pero ahora seleccione POWER_ SOURC>DGND>OK, coloque la figura sobre la pantalla y haga clic

54 Bravo/Burnes/Carrillo/Mascareas

Lab de Circuitos Digitales

En la barra de componentes localice el boton Basic coloque la figura sobre la pantalla y haga clic

haga clic, seleccione SWITCH>DIPSW2>OK,

Repita el paso anterior pero ahora seleccione RESISTOR>VALOR RESISTOR>OK, coloque la figura sobre la pantalla y haga clic

55 Bravo/Burnes/Carrillo/Mascareas

Lab de Circuitos Digitales

En la barra de componentes localice el boton Diodo coloque la figura sobre la pantalla y haga clic

haga clic, seleccione LED>LED_COLOR>OK,

Una vez que ya sabe donde se encuentra la barra de componentes y como seleccionarlos proceda a alambrar las siguientes compuertas NOT, AND Y OR para comprobar su tabla de verdad por medio de un LED: NOTA: Para poder hacer las uniones se debe de colocar el puntero del mouse en el extremo que se quiere unir, deje oprimido el boton izquierdo del mouse y arrstrelo al otro extremo del componente que se quiere unir como se muestra en la figura

Puntero del mouse al inicio del trayecto

56 Bravo/Burnes/Carrillo/Mascareas

Lab de Circuitos Digitales

Puntero del mouse al final del trayecto

Para realizar los siguientes circuitos se requiere del siguiente material: 1 Compuerta 74LS04 Fuente de 5v Dip swich Resistencias (1) 330, (1) 2.2K 1 LED DGND (referencia de tierra) NOT 74LS04

Una vez que arme el circuito como se muestra en la figura localice el boton Run / Stop Simulation (rayo color amarillo) quinto botn, parte superior, de derecha a izquierda, haga clic para empezar simulacin, para detener la simulacin haga clic otra vez sobre el boton Run / Stop Simulation AND 74LS08 1 Compuerta 74LS08 Fuente de 5v Dip swich Resistencias (1) 330, (2) 2.2K 1 LED DGND (referencia de tierra) 57 Bravo/Burnes/Carrillo/Mascareas

Lab de Circuitos Digitales

OR 74LS32 1 Compuerta 74LS32 Fuente de 5v Dip swich Resistencias (1) 330, (2) 2.2K 1 LED DGND (referencia de tierra)

58 Bravo/Burnes/Carrillo/Mascareas

Lab de Circuitos Digitales GLOSARIO


lgebra de Boole o lgebra Booleana Son estructuras algebraicas que capturan la esencia de las operaciones lgicas Y, O y NOT, as como el conjunto de operaciones unin, interseccin y complemento. Se denomina as en honor a George Boole, matemtico ingls que fue el primero en definirla como parte de un sistema lgico a mediados del siglo XIX. Los operadores del lgebra de Boole a menudo se representan simplemente como AND(Y)\, OR(O) y NOT(NO). En electrnica digital tambin se emplean la X-OR(O exclusiva) y sus negadas NAND(NO Y), NOR(NO O) y X-NOR(equivalencia). Bit En informtica, acrnimo de Binary Digit (dgito binario), que adquiere el valor 1 o 0 en el sistema numrico binario. Byte En informtica, unidad de informacin que consta de 8 bits; en procesamiento informtico y almacenamiento, el equivalente a un nico carcter. Circuito lgico Es aquel que maneja la informacin en forma de "1" y "0, dos niveles de voltaje fijos. "1" nivel alto o "high" y "0" nivel bajo o "low". Circuito temporizador Circuito programable de 1 segundo hasta horas, dependiendo de los valores del capacitor y resistencias empleadas. La salida es un pulso de duracin especifica, el pulso ser activado por el capacitor y este ser activado por Vcc a medida que la resistencia propuesta lo permite. Digital Sistema que usa muestras digitales (valores discretos codificados en binario) para representar seales analgicas. DIP Switch Conmutador de dos posiciones en formato DIP. Diodo LED Un LED, siglas en ingls de Light-Emitting Diode (diodo emisor de luz) es un dispositivo semiconductor (diodo) que emite luz policromtica, es decir, con diferentes longitudes de onda, cuando se polariza en directa y es atravesado por la corriente elctrica. El color depende del material semiconductor empleado en la construccin del diodo, pudiendo
59 Bravo/Burnes/Carrillo/Mascareas

Lab de Circuitos Digitales


variar desde el ultravioleta, pasando por el espectro de luz visible, hasta el infrarrojo, recibiendo stos ltimos la denominacin de IRED (Infra-Red Emitting Diode). GAL(Generic Logic Array) El arreglo lgico genrico(GAL) se forma con arreglos AND programable y OR fijo, con una salida lgica programable. Las dos principales diferencias entre los dispositivos GAL y PAL radican en que el primero es programable y contiene configuraciones de salida programables. Los dispositivos GAL se pueden programar una y otra vez, ya que usan la tecnologa E2CMOS (Ellectrically Erasable CMOS). Interruptor Es un dispositivo para cambiar el curso de un circuito. JEDEC Archivo software estndar generado a partir de un software de compilacin, que se emplea en un dispositivo de programacin para implementar un diseo lgico de una PLD; tambin se denomina mapa de fusibles o mapa de celdas. Mapa de Karnaugh Un mapa de Karnaugh (tambin conocido como tabla de Karnaugh o diagrama de Veitch) es un diagrama utilizado para la minimizacin de funciones algebraicas booleanas. Fue inventado en 1950 por Maurice Karnaugh, un fsico y matemtico de los laboratorios Bell. Es un diagrama formado por cuadros, cada uno de los cuales representa una de las posibles combinaciones de las variables de una funcin lgica. En cada cuadro se representa un valor que toma la funcin para la combinacin de variables que le corresponde. Los mapas de Karnaugh pueden considerarse como diagramas visuales de las tablas de verdad. Pin Tambin llamado terminal o patilla, pin es cada uno de los contactos terminales de un conector o componente electrnico, fabricado de un material conductor de la electricidad. PLD Un dispositivo lgico programable o PLD es un componente electrnico usado para construir circuitos. A diferencia de las compuertas lgicas, las cuales tienen funciones determinadas, un PLD tiene una funcin indefinida al momento de fabricarse. Antes de usar un PLD en un circuito debe programarse. Reloj Seal de temporizacin bsica de un sistema digital.

60 Bravo/Burnes/Carrillo/Mascareas

Lab de Circuitos Digitales


Sistema binario El sistema binario, a diferencia del sistema decimal donde son permitidos 10 cifras, slo necesita dos (2) cifras el "0" y el "1". Este sistema es de especial importancia en la electrnica digital, donde slo son posibles dos valores. Este sistema es de especial importancia en la electrnica digital, donde slo son posibles dos valores, los valores de "1" y "0" se asocian con "nivel alto" y "nivel bajo" o con "cerrado" o "abierto". Teoremas de Morgan Permiten transformar funciones producto en funciones suma y viceversa. Su principal aplicacin prctica es realizar circuitos utilizando un solo tipo de compuerta. TTL Acrnimo Ingls de Transistor-Transistor Logic o Lgica Transistor a Transistor. Tecnologa de construccin de circuitos electrnicos digitales, en los que los elementos de entrada de la red lgica son transistores, as como los elementos de salida del dispositivo. VHDL(Hardware Description Language) Es un lenguaje orientado a la descripcin o modelado de sistemas digitales; es decir, se trata de un lenguaje mediante el cual se puede describir, analizar y evaluar el comportamiento de un sistema electrnico digital.

61 Bravo/Burnes/Carrillo/Mascareas

Lab de Circuitos Digitales

REFERENCIAS
Floyd T, 2000. Fundamentos de Sistemas Digitales. Prentice Hall: Mxico. Garza J. ngel. 2006. Sistemas digitales y electrnica digital Prcticas de laboratorio. Prentice Hall: Mxico. Mxinez D, Alcal J. 2002. VHDL El arte de programar sistemas digitales. CECSA: Mxico. National Semiconductors, Texas Instruments o Motorola. TTL Databook: USA Nelson V, Nagle H, Carroll B, Irwin J. 1996. Anlisis y Diseo de Circuitos Lgicos Digitales. Prentice Hall: Mxico. Tocci R, Sistemas Digitales Principios y aplicaciones. Prentice Hall: Mxico.

Cibergrafa: Sitios de Internet recomendados Hojas de especificaciones: http://www.alldatasheet.com/ Enseando con Multisim: http://tauro.unex.es/vaguiti/ELECTRONICA_INDUSTRIAL_-2_ETRI/Multisim1#search=%22Tutorial%20Multisim%209%22 Tutorial de Sistemas Digitales: http://www.itlp.edu.mx/publica/tutoriales/sistdigitales/index.htm Tutorial de VHDL WARP: http://det.bp.ehu.es/vhdl/pagina/inicio.htm http://www.ehu.es/Electronica_EUITI/vhdl/pagina/inicio.htm

62 Bravo/Burnes/Carrillo/Mascareas

Lab de Circuitos Digitales


Gua rpida del Multisim 2001: http://bibliotecnica.upc.es/bustia/arxius/33813.pdf#search=%22tutorial%20de%20mu ltisim%22 Qu son los Mapas Mentales? http://www.conocimientoysociedad.com/mapas.htmlFreeMind - software gratuito para mapas mentales http://biblioteca.itesm.mx/blog/?p=65T

63 Bravo/Burnes/Carrillo/Mascareas

Anda mungkin juga menyukai