Anda di halaman 1dari 13

Ao de la Inversin para el Desarrollo Rural y la

Seguridad Alimentaria
UNIVERSIDAD NACIONAL
FEDERICO VILLARREAL





FACULTAD DE INGENIERIA ELECTRONICA E
INFORMATICA
EAP. INGENIERIA MECATRONICA

LAB. CIRCUITOS DIGITALES II

GUA N04

DIAGRAMA DE ESTADO Y TABLA DE ESTADO




NOMBRE Y APELLIDO:

Neira Castillo Jos Eduardo


Brea, 26Noviembre 2013
DIAGRAMA DE ESTADOS

OBJETIVO
El diseo de un circuito secuencial sincrnico comienza a partir de un conjunto de
especificaciones y culmina en un diagrama lgico de un circuito o una lista de funciones
de Boole a partir de las cuales se puede obtener el diagrama lgico.
El primer paso en el diseo consiste en describir en palabras el comportamiento
deseado del circuito. Frecuentemente el paso siguiente consiste en construir el
diagrama de estados a partir de la descripcin en palabras del funcionamiento deseado,
para poder, a partir del diagrama de estados elaborado, obtener el diagrama lgico del
circuito digital.
El presente trabajo tiene como objetivo reforzar los conocimientos adquiridos por el
estudiante en el diseo de circuitos digitales secuenciales sincrnicos partiendo de su
diagrama de estados

PLANTEAMIENTO DEL PROBLEMA

A continuacin se muestra el diagrama de estados de cierto sistema digital, el cual se
mantiene en el estado T0 hasta que una seal de entrada externa qm le indique a la
unidad de control (circuito secuencial) que debe comenzar una operacin. Cuando el
sistema digital termina de realizar la operacin, la seal Pz = 1 le indica a la unidad de
control que la operacin est terminada, regresando al estado inicial T0


Las actividades que debe realizar el sistema digital en cada uno de los estados son las
siguientes:
T0: nada, la ocurrencia de este estado indica que laoperacin est completa
T1: A#0, P#3
T2: PP-1
T3: AA+B
PROCEDIMIENTO

A es un registro de 4 bits (el registro SRG4 del laboratorio) y B es un nmero de 4 bits
generado por uno de los teclados.

EN PROTEUS REGISTRO 741794 4BITS



Circuito integrado 74LS194 (Registro)


P es un contador binario de cuatro bits (CTRDIV16 del laboratorio) y Pz ser una seal
que indique cuando P = 0, por lo que Pz debe ser obtenida a la salida de una NOR de
los cuatro bits del contador P. Por tanto, si Pz = 0 P 0, de lo contrario, si Pz = 1
P=0.

EN PROTEUS CONTADOR BINARIO DE 4 BITS












T3
A1
10
S1
9
A2
8
S2
6
A3
3
S3
2
A4
1
S4
15
B1
11
B2
7
B3
4
B4
16
C0
13
C4
14
U1
74LS83
SRG4
R
0
1
M
0
3
C4
1 ->/2<-
1,4D
3,4D
3,4D
3,4D
3,4D
2,4D
3
4
5
6
2
7
11
9
10
1
15
14
13
12
U3
74LS194
U3(CLK)
1
1
0
1
1
?
CTRDIV16
CT=0
2+
G1
1-
G2
C3
3D
1CT=15
2CT=0
15 3
1 2
10 6
9 7
5 12
4
13
11
14
U10
74193
1 2
3
U11:A
74LS08
2
3
4
5
1
U12:A
4072
1. Utilice los flip-flops JK del laboratorio convertido en tipo D para implementar la
unidad de control (el circuito secuencial), por el mtodo de 1 flip-flop/estado.

FLIP FLOP JK - 7476






Como el sistema tiene 4 estados, se utilizarn cuatro flip-flops JK convertidos en flip-
flops tipo D conectando un inversor entre la J y la K de los mismos.
Las funciones de las entradas de excitacin D de los flip-flops sern como se explica a
continuacin.



Flip-flop To: En el diagrama de estados se observa que el circuito debe pasar al estado
To cuando ocurra un pulso de reloj si se encuentra en el estado T3 y Pz = 1 si se
encuentra en el estado To y qm = 0. Por tanto, Do =qm To + PzT3

Flip-flop T1: Se debe pasar al estado T1 si el circuito se encuentra en el estado To y
qm = 1, por tanto D1 = qmTo

Flip-flop T2: Al estado T2 se debe pasar si el circuito se encuentra en el estado T1 si
se encuentra en el estado T3 y Pz = 0, por tanto D2 = T1 + Pz T3.

Flip-flop T3: Se debe pasar al estado T3 en forma incondicional si el sistema se
encuentra en el estado T2, por tanto D3 = T2.







J
4
Q
15
CLK
1
K
16
Q
14
S
2
R
3
U7:A
7476
J
9
Q
11
CLK
6
K
12
Q
10
S
7
R
8
U7:B
7476
U9
NOT
2. Utilice el pulsador para la seal qm, y el generador con el divisor para obtener la
seal de los pulsos de reloj del sistema con una frecuencia de 1Hz.



3. Disee completamente su sistema digital especificando muy claramente todas
las conexiones que efectuar, incluyendo las conexiones de la unidad de control
(circuito secuencial) al procesador de datos (registro A, contador P, etc). Utilice
un mnimo de compuertas lgicas.






1
2
U3:A
74LS04
J
4
Q
15
CLK
1
K
16
Q
14
S
2
R
3
U1:A
74LS76
1
2
3
U6:A
74LS08
4
5
6
U6:B
74LS08
9
10
8
U6:C
74LS08
1
2
3
U7:A
74LS32
1
13 12
U2:D
74LS04
U1:A(CLK)
qm
1
2
U3:A
74LS04
J
4
Q
15
CLK
1
K
16
Q
14
S
2
R
3
U1:A
74LS76
1
2
U2:A
74LS04
J
4
Q
15
CLK
1
K
16
Q
14
S
2
R
3
U4:A
74LS76
3
4
U2:B
74LS04
J
9
Q
11
CLK
6
K
12
Q
10
S
7
R
8
U4:B
74LS76
5
6
U2:C
74LS04
J
4
Q
15
CLK
1
K
16
Q
14
S
2
R
3
U5:A
74LS76
1
2
3
U6:A
74LS08
4
5
6
U6:B
74LS08
9
10
8
U6:C
74LS08
1
2
3
U7:A
74LS32
4 5
6
U7:B
74LS32 12
13
11
U6:D
74LS08
1
13 12
U2:D
74LS04
? ? ? ?
11 10
U2:E
74LS04
U1:A(CLK)
qm


4. Con las entradas asincrnicas de los flip-flops, coloque el estado inicial T0



5. Aplique los pulsos de reloj con una frecuencia de 1 Hz.



TIMER 555 CON FRECUENCIA 1Hz

6. Presione el pulsador para sacar al sistema del estado T0. Tan pronto
salga de este estado, suelte el pulsador.

7. Verifique que el sistema digital termina con el resultado esperado.



R
4
DC
7
Q
3
G
N
D
1
V
C
C
8
TR
2
TH
6
CV
5
U19
555
RV1
100K
C3
25uF
R32
220
D1
LED-BLUE
R33
10k
C4
0.01nF
12
U
3
: A
7
4
L
S
0 4
J
4
Q
1 5
C
L K
1
K
1 6
Q
1 4
S
2
R
3
U
1
: A
7
4
L
S
7 6
12
U
2
: A
7
4
L
S
0 4
J
4
Q
1 5
C
L K
1
K
1 6
Q
1 4
S
2
R
3
U
4
: A
7
4
L
S
7 6
34
U
2
: B
7
4
L
S
0 4
J
9
Q
1 1
C
L K
6
K
1 2
Q
1 0
S
7
R
8
U
4
: B
7
4
L
S
7 6
56
U
2
: C
7
4
L
S
0 4
J
4
Q
1 5
C
L K
1
K
1 6
Q
1 4
S
2
R
3
U
5
: A
7
4
L
S
7 6
12
3
U
6
: A
7
4
L
S
0 8
4 5
6
U
6
: B
7
4
L
S
0 8
9 1 0
8
U
6
: C
7
4
L
S
0 8
1 2
3
U
7
: A
7
4
L
S
3 2
4
5
6
U
7
: B
7
4
L
S
3 2
1 2 1 3
1 1
U
6
: D
7
4
L
S
0 8
1
1 3
1 2
U
2
: D
7
4
L
S
0 4
?
?
?
?
1 1
1 0
U
2
: E
7
4
L
S
0 4
U
1
: A
( C
L K
)
&
1
D &
C
1
E
N
R
1 41 31 21 1 7
91 0121 5
3456
U
8
4
0
7 6
A
1
1 0
S
1
9
A
2
8
S
2
6
A
3
3
S
3
2
A
4
1
S
4
1 5
B
1
1 1
B
2
7
B
3
4
B
4
1 6
C
0
1 3
C
4
1 4
U
9
7
4
L
S
8 3
C
T
R
D
I V
1
6
C
T
=
0
2 +
G
11
-
G
2
C
3
3
D
1
C
T
=
1
5
2
C
T
=
0
1 5
3
1
2
1 0
6
9
7
5
1 2
4
1 3
1 1 1 4 U
1
0
7
4
1
9 3
1
2
3
U
1
1
: A
7
4
L
S
0 8
2345
1
U
1
2
: A
4
0
7 2
0 0 1 0
????
U
8
( O
E
1 )
q m
ACTIVIDADES COMPLEMENTARIAS

1. Obtenga el diagrama de estados y tabla de estados de cada uno de los
circuitos incluidos en esta gua

TABLA DE ESTADOS

TABLA DE EXITACION:

Qt Q(t+1) J K T D
0 0 0 X 0 0
0 1 1 X 1 1
1 0 X 1 1 0
1 1 X 0 0 1

Para llenar adecuadamente la tabla de estados se tiene que tener en cuenta diferenciar
las variables externas de las variables de realimentacin, tenerlo siempre presente.


ESTADOS X =0 X =1
T0 T0 T1
T1 T0 T2
T2 T0 T3
T3 T0 X



Como podemos observar en la figura, podemos notar que para: x=0 y x=1 se tienen
los estados correspondientes.


TABLA DE ESTADOS


ESTADO PRESENTE ESTADO SGTE. ENTRADAS FLIP FLOPS
X1 Q1 Q2 Q1 Q2 J1 K1 J2 K2
0 0 0 0 0 0 X 0 X
0 0 1 0 0 0 X X 1
0 1 0 0 0 X 1 0 X
0 1 1 0 0 X 1 X 1
1 0 0 0 1 X 0 1 X
1 0 1 1 0 1 X X 1
1 1 0 1 1 X 0 1 X
1 1 1 X X X X X X

2. Investigue sobre mquinas de estado finito: Mquina de Mealy y mquina
de Moore.
Teora de Mquinas de Estado (FSM)
La teora de mquinas de estado es el nombre con el que se conocen los
mtodos de Anlisis y Diseo de Circuitos Secuenciales Sincrnicos. Esta
leccin constituye una introduccin al tema del captulo, donde se definir lo que
son las mquinas de estado y los conceptos bsicos para entender la
metodologa de Anlisis y Diseo de Circuitos Secuenciales.
Las mquinas de estado son circuitos secuenciales que se encuentran
constituidos por una etapa combinacional y una etapa de memoria, relacionadas
de tal forma que conforman un sistema secuencial para algn propsito especial.
Los registros y contadores con entradas asincrnicas son ejemplos de este tipo
de sistemas secuenciales.
Mquinas de Estado de Mealy y Moore
Los circuitos secuenciales se clasifican dentro de una categora conocida como
mquinas de estado, de la cual se distinguen comnmente dos tipos:
Mquina de Mealy: En esta mquina de estados las salidas se encuentran
determinadas por el estado interno del sistema y por las entradas no
sincronizadas con el circuito donde se observa que las salidas del sistema
son tanto sincrnicas como asincrnicas.

Mquina de Moore: Las salidas solo dependen del estado interno y de
cualquier entrada sincronizada con el circuito donde las salidas del
sistema son nicamente sincrnicas. Un ejemplo de este tipo de mquinas
de estado son los contadores

Los circuitos secuenciales se caracterizan por tener una etapa combinacional y
otra de memoria conformada por flip-flops. Se puede observar un ejemplo
particular de este tipo de circuitos, el cual corresponde a una Maquina de estado
de Mealy. Observe que hay salidas que dependen de la etapa de memoria y hay
una salida que depende directamente de la etapa combinatoria.

Con base en el circuito de la figura 7.1.3. se dar una descripcin de las
herramientas bsicas que son empleadas para el Anlisis y Diseo de Circuitos
Secuenciales. Entre estas herramientas se encuentran las ecuaciones lgicas,
las los diagramas de estado, las tablas de estado, las tablas de transicin y los
mapas de Karnaugh.
Ecuaciones Lgicas
Las ecuaciones lgicas son funciones que definen la relacin existente entre los
estados de entrada y los estados de salida del sistema. Para determinar las
ecuaciones lgicas de la mquina de estados de la figura 7.3.1. Inicialmente se
deben identificar los estados siguientes. Estos estados corresponden a aquellos
que ocurren despus de una transicin en la seal de reloj de los flip-flops.
Recuerde que para los flip-flops tipo D el estado siguiente (Qi+1) es igual al estado
de la entrada D. Teniendo en cuenta lo anterior las ecuaciones lgicas para los
flip-flops A y B del circuito de la figura 7.1.3 seran las siguientes:
A = DA = AX + BX
B = DB= AX
La salida Y est dada por:
Y = (A + B)X
Observando esta ltima ecuacin se concluye que la salida (Y) es funcin del
estado presente del sistema (A y B) y de la entrada asincrnica (X).
Las ecuaciones lgicas en los circuitos secuenciales tienen una estructura
formada por dos clases de estados:
Los estados siguientes, los cuales se agrupan al lado izquierdo de la
expresin y representan las variables dependientes del sistema. El
estado de estas variables cambia en el momento que ocurra una
transicin en la seal de reloj.
Los estados actuales y entradas del sistema. Agrupados al lado derecho
de la exprexin, constituyen las variables inpendientes, las cuales
pueden o no cambiar en sincrona con el sistema.
Cuando las ecuaciones de estado contienen varios trminos, se pueden
simplificar empleando metodologas de reduccin de trminos como Algebra de
Boole, Mapas de Karnaugh, o mediante el Algoritmo de Quine-McCluskey
Tablas de Estado
Una tabla de estado es un listado que contiene la secuencia de los estados de
entradas, estados internos y salidas del sistema, considerando todas las posibles
combinaciones de estados actuales y entradas. Las tablas de estado por lo
general se dividen en tres partes: estados actuales, estados siguientes y salidas.
Estados actuales Entrada Estados siguientes Salida
A B X A B Y
0 0 0 0 0 0
0 0 1 0 1 0
0 1 0 0 0 0
0 1 1 1 1 1
1 0 0 0 0 0
1 0 1 1 0 1
1 1 0 0 0 0
1 1 1 1 0 1
La tabla de estado para un circuito secuencial con m flip-flops y n entradas tiene
2
m+n
filas. El estado siguiente tiene m columnas, y el nmero de columnas
depende del nmero de salidas.
Existe una forma ms conveniente de organizar la informacin en la tabla de
estado, la cual se muestra en la Tabla 7.1.2, donde los estados se agrupan de
tal modo que la tabla se puede traducir a un diagrama de estados. Al igual que
la tabla anterior esta tiene tres secciones: estados actuales, estado siguiente y
salidas, sin embargo los estados se agrupan dependiendo del valor de las
entradas. La seccin de estados actuales agrupa los estados que ocurren antes
de una transicin en la seal de reloj, la seccin de estados siguientes lista
aquellos que ocurren despus de la transicin del reloj y la seccin de salidas
rene los estados que se dan en el mismo instante de los estados actuales.
Estado Actual
Estado Siguiente Salida
X=0 X=1 X=0 X=1
AB AB AB Y Y
00 00 01 0 0
01 00 11 1 0
10 00 10 1 0
11 00 10 1 0
Haciendo un anlisis de la operacin del circuito de la figura 7.1.3. se puede
observar lo siguiente: Cuando la variable X=0 los estados actuales A y B cambian
a 0 despus de la transicin de reloj , y cuando X=1, los estados de las salidas
se comportan tal como se resume en la tabla. Se plantea como ejercicio verificar
la informacin de la tabla.
Diagramas de Estado
Un diagrama de estados es una representacin grfica que indica la secuencia
de los estados que se presentan en un circuito secuencial, teniendo en cuenta
las entradas y salidas. El diagrama se forma con crculos y lneas. Los circulos
representan los estados del circuito secuencial y cada uno de ellos contiene un
nmero que identifica su estado. Las lneas indican las transiciones entre
estados y se marcan con dos nmeros separados por un (/), estos dos nmeros
corresponden a la entrada y salida presentes antes de la transicin. A manera
de ejemplo observe la lnea que une los estados 00 y 01 en el diagrama de
estado. Esta lnea marcada como 1/0 indica que el circuito secuencial se
encuentra en el estado 00 mientras la entrada X=0 y la salida Y=0, y que despus
de que ocurra una transicin en la seal de reloj el estado cambia a 01.

Las lneas que salen y regresan al mismo crculo indican que no hay cambio en
el estado, cuando se presentan la entrada y salida indicadas.
Tablas de Transicin de flip-flops
Las tablas de transicin se usan en conjunto con las de estado y representan la
tabla de verdad de los flip-flops con los cuales se desea implementar el circuito
secuencial. La tabla contiene los estados actuales y siguientes segn el estado
de las entradas de los flip-flops. La tabla 7.1.3 corresponde a la tabla de
transicin del flip-flop JK.
Transiciones de Salida Entradas al flip-flop
Qi Qi+1 J K
0 0 0 X
0 1 1 X
1 0 X 1
1 1 X 0
En la tabla, Qi corresponde al estado actual y Qi+1 al estado siguiente, J y K son
las entradas de los flip-flops. La informacin sombreada en la tabla se interpreta
de la siguiente forma: cuando el estado presente de la salida Q=0 y las entradas
J=1 y K=X (X indica una condicin de no importa, 1 o 0), despus de un pulso de
reloj en el flip-flop la salida cambia al estado siguiente Q=1.
Mapas de Karnaugh
Generalmente las tablas de estado y de transicin de los flip-flops se fusionan
en una sola para agrupar la informacin de tal forma que permitan construir los
Mapas de Karnaugh para simplificar las funciones lgicas. La tabla corresponde
a una tabla de estado de un contador de tres bits con flip-flops JK. Observe que
esta tabla incluye las entradas J y K para cada una de la transiciones (estado
actual a estado siguiente). Las regiones sombreadas en la tabla indican que el
estado Qi cambia estando presentes las entradas Ji y Ki correspondientes
despus de una transicin del reloj.
Estado Actual Estado Siguiente Entradas de los flip-flop
Q2 Q1 Q0 Q2 Q1 Q0 J2 K2 J1 K1 J0 K0
0 0 0 0 0 1 0 X 0 X 1 X
0 0 1 0 1 0 0 X 1 X X 1
0 1 0 0 1 1 0 X X 0 1 X
0 1 1 1 0 0 1 X X 1 X 1
1 0 0 1 0 1 X 0 0 X 1 X
1 0 1 1 1 0 X 0 1 X X 1
1 1 0 1 1 1 X 0 X 0 1 X
1 1 1 0 0 0 X 1 X 1 X 1
Los Mapas de Karnaugh se emplean para definir la lgica de las entradas de
los flip-flops y se debe hacer uno para cada una de las entradas. La figura
corresponde al Mapa de karnaugh de la entrada J1. De la tabla de estado

Observe que cada celda en el mapa representa uno de los estados actuales de
la secuencia en la tabla de estado. Una vez asignados todos los estados posibles
a cada celda en el Mapa de Karnaugh se procede a simplicar y deducir las
exprexiones lgicas. En la figura 7.1.5 se observa que la expresin
correspondiente a la entrada J1 es:
J1 = Q0
Esta expresin indica que en el circuito lgico la salida Q0 debe ir conectada a la
entrada J1. En la siguiente leccin se explicara de una forma detallada el
procedimiento para el Diseno de Circuitos Secuenciales.
3. Qu operacin realiza este sistema digital?


El estado t0 nos indica que las operaciones en el circuito an
terminado, tambin es necesario colocarle un estado inicial por
medio de sus entradas asncronas.

El estado t1 es el siguiente estado al t0 este se activa presionando
el pulsador qm (pasa del estado 1 al estado 0)

El estado t2 es el que hace posible la activacin del registro, una
que se realiza las operaciones es el mismo, este cambia al estado
siguiente (t3)

El estado t3 activa el contador para realizar algn determinado
conteo descendente (segn programacin del contador), una vez
realizado todo la secuencia de estados secuenciales este regresa
al estado t0


4. Si B = 2, esto es, si usted coloca el #2 en el teclado cuya salida es el nmero
B

a. cul ser el nmero contenido en el registro A al final del estado T3 siendo
P =2?

Sera el 0100

b. cul ser el nmero contenido en el registro A al final del estado T3 siendo
P =1?

Sera el 0010

c. cul ser el contenido del registro A una vez terminada la operacin?

Sera el 0110 cuando p =1

d. Este sistema digital corresponde a un modelo de Moore o de Mealy?

Corresponde a un modelo de Mealy

5. Observaciones y conclusiones


Este sistema digital, realiza el cambio de un estado a otro, por ejemplo nos
lleva a determinado operacin que queremos realizar.

Anda mungkin juga menyukai