Anda di halaman 1dari 16

Multiplexores.

Los multiplexores son circuitos combinacionales con varias entradas y una nica salida de datos,
estn dotados de entradas de control capaces de seleccionar una, y slo una, de las entradas de
datos para permitir su transmisin desde la entrada seleccionada hacia dicha salida.
En el campo de la electrnica el multiplexor se utiliza como dispositivo que puede recibir varias
entradas y transmitirlas por un medio de transmisin compartido. Para ello lo que hace es dividir
el medio de transmisin en mltiples canales, para que varios nodos puedan comunicarse al
mismo tiempo.
Una seal que est multiplexada debe demultiplexarse en el otro extremo.
Segn la forma en que se realice esta divisin del medio de transmisin, existen varias clases de
multiplexacin:
Multiplexacin por divisin de frecuencia
Multiplexacin por divisin de tiempo
Multiplexacin por divisin de cdigo
Multiplexacin por divisin de longitud de onda

Los MULTIPLEXORES son circuitos combinacionales que tienen varias entradas, una sola salida y
varias lneas de seleccin. Su funcionamiento podra asemejarse a un conmutador de varias
posiciones que simularan las entradas y el terminal comn, la salida; la conmutacin se realizara
por medio de la lnea de seleccin, de tal modo que las seales presentes en las entradas
aparecern en la salida en el orden indicado por la lnea de seleccin; es decir, un multiplexor
permite el envo por una sola lnea de los datos presentes en varias lneas.
Tipos de multiplexores
Dentro de la gran variedad de multiplexores que existen en el mercado, hay varios tipos que
conviene destacar a causa de su gran utilidad en circuitos digitales, stos son:
Multiplexor de 8 entradas.

Multiplexor de 16 entradas.

Doble multiplexor de 4 entradas.
Dentro del primer tipo podemos hacer la distincin entre tener la entrada de strobe o no. La
tecnologa utilizada para su diseo es TTL, de alta integracin, y la potencia que disipan suele ser
de unos 150 mW. El tiempo de retardo tpico es de unos 25 nanosegundos y tienen un "fan - out"
de 10. Normalmente, estos circuitos suelen darnos dos tipos de salida: una afirmada y la otra
negada.


En cuanto al segundo tipo de multiplexores, sealaremos que se diferencian de los primeros en el
nmero de entradas, que es el doble, y que no existe la posibilidad de tener dos salidas, sino que
slo podemos optar por la negada y, en consecuencia, a la salida nicamente se tendrn los datos
de la entrada complementados. La potencia de disipacin para estos multiplexores viene a ser de
aproximadamente unos 200 mW. El tiempo de retardo y el "fan - out" son ms o menos iguales
que en el caso del multiplexor de 8 entradas.

Diagrama bsico de un multiplexor de 16 entradas y 2 seales de control
En la ilustracin correspondiente podemos ver un multiplexor de 16 entradas, donde, si hacemos 0
el strobe, en la salida se obtiene el dato negado de la entrada seleccionada mediante las cuatro
entradas de control.

En el ltimo de los tipos, dentro del mismo encapsulado del circuito integrado, tenemos dos
multiplexores de cuatro entradas de datos: dos de control y una seal de strobe cada uno.

Doble multiplexor de cuatro entradas donde las seales de control son comunes



Las entradas de control son comunes para ambos multiplexores, como podemos ver en el circuito
de la figura. Al igual que los anteriores, se suelen realizar con tecnologa TTL de alta integracin, y
tienen una disipacin media de unos 180 mW.
Con estos tres tipos de multiplexores trabajaremos habitualmente, incluso en el caso de tener que
emplear algn otro de orden superior, es decir, con mayor nmero de entradas. Para ello,
necesitaremos utilizar ms de un multiplexor de los descritos anteriormente.

Multiplexor de 32 entradas construido a partir de cuatro multiplexores de 8 entradas y uno de 4
entradas


La forma de conectarlos entre s depende de la aplicacin concreta de que se trate, pero siempre
habr que disponer de ms de una etapa de multiplexores, lo cual acarrea un tiempo de retardo.
As, por ejemplo, para seleccionar un dato de entre las 32 entradas de que disponemos,
deberemos disear un sistema anlogo al representado en la figura correspondiente.
El primer multiplexor de 8 entradas sita secuencialmente los datos de entrada I0 a I7 en la lnea
de salida de ste, a medida que el cdigo de las seales de control va variando. Anlogamente, el
segundo multiplexor, tambin de 8 entradas, transmitir los datos I8 a I15 a su lnea de salida,
dependiendo de las seales de control.

Diagrama de conexin de un circuito integrado que contiene un multiplexor de 8 entradas y seal
de <<strobe>>
Estas entradas de control estn unidas entre s de manera que cuando, por ejemplo, aparece en la
lnea de salida del primer multiplexor I1, en la salida del segundo estar I9, en la del tercero I17 y
en la del ltimo I25. Si queremos sacar a la salida del conjunto de multiplexores cualquiera de las
lneas de salida anteriormente citadas, necesitaremos utilizar un multiplexor de 4 entradas y, con
sus seales de control, activaremos la entrada que nosotros deseemos. As, por ejemplo, para
tener en la salida final la lnea de entrada I1, habra que poner en el ltimo multiplexor de 4
entradas la combinacin 00 en sus seales de control.
Por ltimo, destacaremos que los multiplexores, adems de seleccionar datos, tienen otras
aplicaciones importantes, a saber:
- La conversin paralelo - serie. Como puede ser conducir la salida en paralelo de un ordenador
hacia un terminal remoto a travs de una lnea de transmisin serie.
- La generacin de funciones para lgica combinatoria.

El multiplexor es el circuito lgico combinacional equivalente a un interruptor mecnico giratorio
de varias posiciones, tal como el componente que sirve para seleccionar las bandas de un receptor
de radio.
Permite dirigir la informacin binaria procedente de diversas fuentes a una nica lnea de salida,
para ser transmitida a travs de ella, a un destino comn.
Disponen de: hasta 2n lneas de entrada de datos, una nica de salida y n entradas de seleccin;
que habilitan y ponen en contacto uno de los terminales de entrada de datos con el de salida.
El circuito combinacional integrado multiplexor, suele tener: 8 entradas de datos (bits), 3 entradas
de seleccin (address) y una nica salida e datos.
Por ejemplo cuando en las entradas de seleccin est activa la combinacin 010 Equivalente a la
entrada de informacin nmero 2, en la salida aparecer el bit que en ese momento haya en la
entrada 2 es decir un 1, ya que esta es la entrada que hemos seleccionado para comunicarla con la
salida.




Se puede observar el conexionado en la figura siguiente , obtenida de su data sheet, del
multiplexor 74151, con las tres entradas de seleccin (S2, S1 y S0), las ocho entradas de datos (I7,
I6, I5, I4, I3, I2, I1 e I0), Una entrada de inhibicin () una salida () y otra salida ms que es la negada
de la anterior ()


El encapsulado de este circuito, es decir la forma comercial del circuito integrado que lo aloja, es la
de la imagen siguiente

Aplicaciones de los multiplexores: Implementacin de funciones lgicas con multiplexores
Una de las principales aplicaciones de los multiplexores es que permite implementar ecuaciones
correspondientes al funcionamiento de una funcin lgica, reemplazando con un solo chip gran
cantidad de cableado y de circuitos integrados.
Para lo que se debe seguir el siguiente protocolo:
Se conectan a las entradas de seleccin las variables de entrada del problema.
Se conectan las entradas de dato a 1 o a 0, segn convenga a la configuracin escogida con la
entrada de seleccin.
Cuando no disponemos de suficientes entradas de seleccin en un multiplexor para conectar con
las entradas del problema, podemos continuar emplendolos, estableciendo en las entradas de
dato los valores adecuados correspondientes con ayuda de lgica adicional y en funcin de la
variable que no conectamos a la entrada de seleccin.
Ejemplo: Empleo de multiplexores de igual nmero de entradas de control que de variables a
implementar.
Supongamos, por ejemplo, que queremos implementar la siguiente funcin:

La funcin tiene cuatro variables de entradas, A, B, C, D, con lo que existen combinadas, dan lugar
a 16 combinaciones posibles. Empleando un multiplexor de 4 entradas de control, se dispondrn
de 16 canales de datos, por lo tanto uno por cada posible combinacin de las variables de entrada
de la funcin lgica.
Cada trmino que constituye la funcin corresponde a las de cada combinacin de las variables de
entrada que hacen 1 dicha funcin, por lo que si aplicamos las variables de la funcin a las
entradas de seleccin y conectamos a 1 los canales de entrada que se corresponden con las
combinaciones que intervienen en la funcin, poniendo a 0 el resto de los canales, tendremos la
funcin implementada.
Ejemplo: Empleo de multiplexores en funciones con un nmero de entradas de control inferior en
una unidad al de variables de la funcin a implementar.
Es posible implementar funciones lgicas de n variables con multiplexores de n-1 entradas de
control, lo que producir el consiguiente ahorro econmico.
Con el ejemplo del apartado anterior, confeccionamos la siguiente tabla, donde se agrupan por
columnas todas las posibles combinaciones de tres de las variables de entrada B, C y D, dejando en
las filas las posibilidades de la variable que resta A.


Por tanto, la implementacin del circuito se consigue aplicando las variables b, c y d a las tres
entradas de seleccin del multiplexor y conectando las entradas de los canales de la siguiente
forma:
Canales 0 y 2 conectado a 0.
Canales 1, 4 y 6 conectado a 1.
Canales 3, 5 y 7 a travs de un inversor a la variable a, ya que su valor es siempre el contrario del
de dicha variable.
Ejemplo: Implemente utilizando un multiplexor:
Como primer paso se crea el mapa de Karnaugh correspondiente:



Las entradas de control se asignan las variables ms significativas de la funcin a implementar por
lo tanto el mapa puede ser dividido en 4 secciones correspondientes a los minitrminos formados
por las variables A y B. El minitrmino 0 corresponde al grupo superior izquierdo, en l se aprecian
un 1 y un 0, el 1 se encuentra donde C es 0 por lo tanto la entrada de datos del multiplexor
correspondiente al minitrmino 0 es igual a C'. El minitrmino 1 corresponde al grupo inferior
izquierdo, en l se encuentran dos 1 por lo tanto la entrada de datos del multiplexor
correspondiente al minitrmino 1 es 1. Para los minitrminos 2 y 3 las entradas de datos del
multiplexor son 0 y C respectivamente:



En general cualquier funcin Booleana de m variables puede ser implementada utilizando un
multiplexor de m-1 entradas de control.

Los multiplexores vistos anteriormente tambin son conocidos como multiplexores N:1 porque
tienen N entradas de datos y una salida de datos. Existe una variante de los multiplexores
conocida como demultiplexores o multiplexores 1:N que tienen 1 entrada de datos y N salidas de
datos. A continuacin se muestra un demultiplexor:




Dumultiplexor
En electrnica digital, un demultiplexor es un circuito combinacional que tiene una entrada de
informacin de datos d y n entradas de control que sirven para seleccionar una de las 2n salidas,
por la que ha de salir el dato que presente en la entrada. Esto se consigue aplicando a las entradas
de control la combinacin binaria correspondiente a la salida que se desea seleccionar. Por
ejemplo, si queremos que la informacin que tenemos en la entrada d, salga por la salida S4, en la
entrada de control se ha de poner, de acuerdo con el peso de la msma, el valor 100, que es el 4 en
binario.
En el campo de las telecomunicaciones el demultiplexor es un dispositivo que puede recibir a
travs de un medio de transmisin compartido una seal compleja multiplexada y separar las
distintas seales integrantes de la misma encaminndolas a las salidas correspondientes.
La seal compleja puede ser tanto analgica como digital y estar multiplexada en cualquiera de las
distintas formas posibles para cada una de ellas.
Diagrama lgico de un demultiplexor 1 a 4.
El demultiplexor, es un circuito combinacional que aunque la funcin bsica es la que hemos
explicado, puede utilizarse en muchos casos como decodificador y adopta cualquiera de las
funciones que un decodificador realiza.
Una aplicacin muy prctica de los demultiplexores utilizados como decodificadores, si lo
combinamos con una puerta NO-Y NAND, es la generacin de funciones lgicas, de modo, que si
nos dan la funcin lgica F=S3(2,4,5,7), las salidas correspondientes a los unos lgicos se
conectaran a la puerta NO-Y. En este caso la entrada de informacin se puede utilizar como
entrada inhibidora si mantenemos a cero lgico, y subindola a uno, cuando queremos inhibir la
generacin de la funcin.
Una de las funciones que realiza el decodificador hexadecimal como demultiplexor, es la funcin
de conectar, a sendos contadores, C0 a C15, que reciben los impulsos de una entrada comn a
todos. Cada uno posee una entrada de inhibicin que segn el estado en que se encuentra (0,1),
permite o no que se realice el contaje de los impulsos. Cada entrada de inhibicin se conecta a una
salida del demultiplexor.
LOS DEMULTIPLEXORES
Una de las aplicaciones ms caractersticas de los decodificadores era su transformacin en los
circuitos digitales denominados demultiplexores.

Un demultiplexor consta de una entrada de datos, varias seales de control y las lneas de salida
El demultiplexor es un circuito destinado a transmitir una seal binaria a una determinada lnea,
elegida mediante un seleccionador, de entre las diversas lneas existentes. El dispositivo mecnico
equivalente a un demultiplexor ser un conmutador rotativo unipolar, de tantas posiciones como
lneas queramos seleccionar. El seleccionador determina el ngulo de giro del brazo del
conmutador.

La analoga mecnica de un demultiplexor es un selector con una entrada y varias posiciones de
salida.
Un decodificador se convierte en un demultiplexor aadindole una seal ms a su circuitera
interna. Si se aplica esta seal, la salida ser el complemento de dicha seal, ya que la salida es 0 si
todas las entradas son 1, y aparecer nicamente en la lnea seleccionada.



Se puede aplicar a un demultiplexor una seal de habilitacin o "enable", conectndose en
cascada el decodificador con el circuito compuesto de una puerta AND y dos puertas NOT cuyas
entradas son la seal de habilitacin y el dato que queremos transmitir.
Si la entrada de habilitacin es 0, la salida ser el complemento del dato, es decir, que el dato
aparecer en la lnea con el cdigo deseado. Si la entrada de "enable" es 1, la salida ser 0, se
inhiben los datos en cualquier lnea y todas las entradas permanecen en 1.
Veamos, de otra manera, en qu consiste la funcin de un circuito demultiplexor. Estos son
circuitos que realizan una funcin contraria a la de los multiplexores, es decir, tienen una nica
entrada de datos que, mediante unas entradas de control, se pone en comunicacin con una de
entre varias salidas de datos. La salida concreta seleccionada depende de la combinacin de
valores lgicos presentada en las entradas de control.
De la definicin ya se desprende que cualquier decodificador que excite slo una salida entre
varias, y est provisto de entrada de inhibicin o "enable", puede utilizarse como demultiplexor,
ya que las entradas del cdigo se pueden emplear como entradas de control y la seal de
inhibicin como entrada de datos.
Por el contrario, los decodificadores del tipo BCD a 7 segmentos que dan varias de sus salidas para
cada combinacin de entrada, no pueden ser utilizados como demultiplexores.
En la prctica, no existen circuitos integrados demultiplexores, sino que se fabrican circuitos
decodificadores/demultiplexores, que en realidad son decodificadores con entrada de inhibicin
("enable" o "strobe"). En la figura se muestra la construccin mediante puertas lgicas de un
decodificador/demultiplexor de 2 a 4 lneas.

A continuacin, veremos el funcionamiento de un decodificador como
demultiplexor. Suponemos que se ha representado una combinacin de entradas,
como por ejemplo 1 0 1, es decir, A /B C, y con ellas se selecciona la salida
nmero 5. Cuando se ponga 1 en la entrada de "enable" se tendr 1 en la salida 5,
y cuando se ponga 0 en la seal de "strobe" aparecer 0 en 5, es decir, que la
salida sigue a la entrada de datos y sta es, precisamente, la funcin del
demultiplexor.
Dentro de los demultiplexores existen varios tipos caractersticos y utilizados
dentro de nuestro PC. Describamos algunos de ellos.
Demultiplexor de 4 a 16 lneas
Si un valor correspondiente a un nmero decimal que exceda de nueve se aplica a
las entradas de un demultiplexor, la orden queda rechazada, por lo tanto, las diez
salidas quedarn a 1. Si se desea seleccionar una de 16 lneas de salida, el sistema
se ampliar aadiendo seis puertas NAND ms y se emplearn los 16 cdigos
posibles con cuatro bit binarios.
El demultiplexor de 4 a 16 lneas tiene 4 lneas de seleccin, 16 de salida, una
entrada de "enable", una entrada de datos, una toma de tierra y otra para la
alimentacin, de modo que en total se precisa un encapsulado de 24 patillas.
Tambin existen demultiplexores de 2 a 4 y 3 a 8 lneas encapsulados e
integrados individuales.
Un demultiplexor de 1 a 2 lneas se forma con dos puertas NAND de otras tantas
entradas. La lnea de salida 0 proviene de la NAND, cuyas entradas son la de
datos y la lnea A; mientras que la salida 1 est conectada a la NAND, cuyas
entradas son la de datos y la seal A. Esta ltima entrada se denomina de control,
ya que si A es 0, en la lnea 0 aparecer el complemento del dato.
Demultiplexores de gran nmero de lneas
Si el nmero de salidas excede de 16 se emplean demultiplexores de 16, 8, 4 2
lneas, dispuestos formando una cascada para conseguir el nmero de salidas
deseado.

Para construir un demultiplexor superior a 16 lneas, es necesario combinar los
distintos tipos de multiplexor de 2, 4, 8 16 lneas. Este es el caso del
multiplexor de 32 lneas
Por ejemplo, para un demultiplexor de 32 lneas podemos emplear uno de cuatro
lneas del que se ramifican cuatro demultiplexores de 8 lneas, como se indica en
la figura correspondiente. Observemos que el nmero total de salidas es el
producto del nmero de lneas de los cuatro multiplexores por el nmero de ellos,
es decir, 4 * 8 = 32. Las lneas 0 a 7 se decodifican en el primer demultiplexor,
mientras que el segundo decodifica las ocho siguientes, y as sucesivamente.
Para el valor de las seales de control del demultiplexor de cuatro lneas igual a
01, las lneas 8 a 15 se decodifican secuencialmente a medida que las seales de
control A B C pasan desde 0 0 0 hasta 1 1 1. Por ejemplo, la lnea 12 se
decodificar con la seleccin de todas las seales de control de los
demultiplexores de cuatro y ocho lneas, con el siguiente resultado 0 1 1 0 0, que
no es ms que la representacin binaria del nmero decimal 12.

Puesto que en un encapsulado hay dos demultiplexores de 2 a 4 lneas, para el
sistema representado se necesitar el equivalente a 4,5 encapsulados. Este mismo
sistema se puede lograr con un demultiplexor de 8 lneas y ocho de 4 lneas o con
uno de 2 lneas y dos de 16. El diseo ms apropiado viene determinado por el
coste total.




Aplicaciones de los demultiplexores
La transferencia de informacin es una operacin bsica en cualquier sistema
digital. Aunque los detalles internos del registro, la forma en que se transfiere la
informacin desde el exterior al registro y cmo sale de ste hacia el exterior,
sern estudiados en su tema correspondiente, consideraremos en este caso la
utilizacin de multiplexores y demultiplexores en el proceso de transferencia
entre registros.

Una de las aplicaciones es la transferencia de datos desde un registro
Segn el valor de la seal de control, se selecciona qu entrada pasa a la salida
del multiplexor. Cuando se aplique el pulso de transferencia al registro, dicha
seal de salida pasa al registro.
Anlogamente, podemos plantearnos el circuito demultiplexor para varios bits.

Son circuitos integrados combinacionales que realizan la funcin opuesta a un
multiplexor. Es decir tiene una nica entrada de datos, n entradas de seleccin y
un nmeros de salidas <2n, de modo que segn introduzcamos una combinacin
u otra por las entradas de seleccin, as conseguimos comunicar la entrada de
datos con la salida seleccionada.

Los demultiplexores se pueden utilizar como decodificadores, de binario a
decimal o a hexadecimal. Si se coloca en las entradas de seleccin (address) un
nmero binario, se obtiene en la salida seleccionada el estado correspondiente
que tengamos en la entrada de datos

Anda mungkin juga menyukai