Anda di halaman 1dari 96

library ("JNTU_SEER90nm") {

define(driver_model,library,string);
define(def_sim_opt,library,string);
define(simulator,library,string);
technology("cmos");
delay_model : "table_lookup";
date : "2013";
revision : "1.0000000";
time_unit : "1ns";
leakage_power_unit : "1pW";
voltage_unit : "1V";
pulling_resistance_unit : "1kohm";
current_unit : "1uA";
capacitive_load_unit(1.000000, "pf");
nom_voltage : 1.800000;
nom_temperature : 25.000000;
nom_process : 1.000000;
input_threshold_pct_rise : 50.000000;
output_threshold_pct_rise : 50.000000;
input_threshold_pct_fall : 50.000000;
output_threshold_pct_fall : 50.000000;
slew_lower_threshold_pct_rise : 10.000000;
slew_upper_threshold_pct_rise : 90.000000;
slew_lower_threshold_pct_fall : 10.000000;
slew_upper_threshold_pct_fall : 90.000000;
slew_derate_from_library : 1.000000;
default_inout_pin_cap : 0.001218;
default_cell_leakage_power : 0.000000;
default_input_pin_cap : 0.001218;
default_output_pin_cap : 0.000000;
default_fanout_load : 1.000000;
default_max_transition : 0.724800;
default_leakage_power_density : 0.0;
library_features("report_delay_calculation");
default_wire_load_capacitance : 0.026724;
default_wire_load_resistance : 0.002067;
default_wire_load_area : 0.010000;
default_wire_load_mode : "enclosed";
driver_model : "snps_predriver";
simulator : " HSPICE -- C-2009.09-SP1 32-BIT (Nov 23 2009)";
def_sim_opt : "POST=1 PROBE POST_VERSION=2001 INGOLD=2 NOMOD NOPAGE NUMD
GT=10 MEASDGT=10 LIMPTS=500000 ICSWEEP=0 AUTOSTOP ALTCC=1 RUNLVL=5 ACCURATE=1 AB
SVAR=1.000000e-03 RELVAR=1.000000e-02";
voltage_map("V",1.800000);
voltage_map("VL",1.800000);
voltage_map("VSS",0.000000);
voltage_map("VDD",1.800000);
scaling_factors ("saed90nm_typ_average_factors") {
k_process_cell_fall : 0.162700;
k_process_cell_rise : 0.144700;
k_temp_cell_fall : 0.003600;
k_temp_cell_rise : 0.002700;
k_volt_cell_fall : -0.711500;
k_volt_cell_rise : -0.643200;
k_volt_rise_transition : 0.072800;
k_volt_fall_transition : -0.168600;
k_temp_rise_transition : 0.003900;
k_temp_fall_transition : 0.004100;
k_process_rise_transition : 0.711300;

k_process_fall_transition : 0.105300;
}
power_lut_template ("power_inputs_1") {
variable_1 : "input_transition_time";
index_1("1, 2, 3, 4");
}
lu_table_template ("template_mtc_0") {
variable_1 : "input_voltage";
variable_2 : "output_voltage";
}
operating_conditions (TYPICAL) {
process : 1.000000;
temperature : 125.000000;
voltage : 1.800000;
tree_type : "balanced_tree";
}
lu_table_template ("vio_4_4_1") {
variable_1 : "related_pin_transition";
index_1("1, 2, 3, 4");
variable_2 : "constrained_pin_transition";
index_2("1, 2, 3, 4");
}
lu_table_template ("del_1_4_4") {
variable_1 : "input_net_transition";
index_1("1, 2, 3, 4");
variable_2 : "total_output_net_capacitance";
index_2("1, 2, 3, 4");
}
power_lut_template ("power_outputs_1") {
variable_1 : "input_transition_time";
index_1("1, 2, 3, 4");
variable_2 : "total_output_net_capacitance";
index_2("1, 2, 3, 4");
}
lu_table_template ("driver_waveform_template") {
variable_1 : "input_net_transition";
index_1("1, 2");
variable_2 : "normalized_voltage";
index_2("1, 2");
}
normalized_driver_waveform ("driver_waveform_template") {
driver_waveform_name : "preDrv";
index_1("0.0160000, 0.0640000, 0.2560000, 1.0240000");
index_2("0.0000000, 0.1000000, 0.2580739, 0.3901924, 0.5025889,
0.6000000, 0.6860246, 0.7633975, 0.8341963, 0.9000000, 0.9620082, 1.0000000");
values("0.0000000e+00, 2.0000000e-03, 4.0000000e-03, 6.0000000e03, 8.0000000e-03, 1.0000000e-02, 1.2000000e-02, 1.4000000e-02, 1.6000000e-02, 1
.8000000e-02, 2.0000000e-02, 2.2000000e-02", "0.0000000e+00, 3.2000000e-02, 6.4
000000e-02, 9.6000000e-02, 1.2800000e-01, 1.6000000e-01, 1.9200000e-01, 2.240000
0e-01, 2.5600000e-01, 2.8800000e-01, 3.2000000e-01, 3.5200000e-01", "0.0000000e+
00, 6.4000000e-02, 1.2800000e-01, 1.9200000e-01, 2.5600000e-01, 3.2000000e-01,
3.8400000e-01, 4.4800000e-01, 5.1200000e-01, 5.7600000e-01, 6.4000000e-01, 7.04
00000e-01", "0.0000000e+00, 1.2800000e-01, 2.5600000e-01, 3.8400000e-01, 5.12000
00e-01, 6.4000000e-01, 7.6800000e-01, 8.9600000e-01, 1.0240000e+00, 1.1520000e+0
0, 1.2800000e+00, 1.4080000e+00")
}
cell(INVX0) {
pg_pin (VDD) {

voltage_name : "VDD";
pg_type : "primary_power";
}
pg_pin (VSS) {
voltage_name : "VSS";
pg_type : "primary_ground";
}
area : 5.530 ;
leakage_power() {
when : "IN'";
value : 2569.100;
}
leakage_power() {
when : "IN";
value : 16854.000;
}
cell_leakage_power : 16854.000 ;
pin(IN) {
direction : input;
capacitance : 0.00161;
fanout_load : 0.080;
rise_capacitance : 0.00174;
fall_capacitance : 0.00148;
related_power_pin : "VDD";
related_ground_pin : "VSS";
}
pin(QN) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
internal_power() {
rise_power(power_outputs_1) {
index_1("0.0160000, 0.0640000, 0.2560000,1.0240000");
index_2("1, 15, 52, 208");
values( " 0.0113, 0.00908, 0.00901, 0.00981"," 0.00973, 0.00821,
0.00882, 0.0100"," 0.00538, 0.00672, 0.00878, 0.00923"," 0.00461, 0.00612, 0.00
770, 0.00948");
}
fall_power(power_outputs_1) {
index_1("0.0160000, 0.0640000, 0.2560000,1.0240000");
index_2("1, 15, 52, 208");
values( " 0.00418, 0.00560, 0.00491, 0.00464"," 0.00242, 0.00456
, 0.00449, 0.00408"," 0.00253, 0.00377, 0.00345, 0.00328"," 0.00285, 0.00291, 0.
00304, 0.00278");
}
related_pin : "IN";
}
function : "(IN)'";
timing() {
related_pin
: "IN";
timing_sense
: negative_unate;
cell_fall(del_1_4_4) {
index_1("0.0160000, 0.0640000, 0.2560000,1.0240000");
index_2("1, 15, 52, 208");
values( " 0.013228, 0.025272, 0.036376, 0.05236"," 0.016
099, 0.027785, 0.038933, 0.054964"," 0.020501, 0.033453, 0.044525, 0.060491"," 0
.026505, 0.043081, 0.056135, 0.072190");
}
fall_transition(del_1_4_4) {

index_1("0.0160000, 0.0640000, 0.2560000,1.0240000");


index_2("1, 15, 52, 208");
values( " 0.015653, 0.030772, 0.046827, 0.070503"," 0.01
6934, 0.031531, 0.046933, 0.070529"," 0.021675, 0.035156, 0.049604, 0.071988","
0.031634, 0.045168, 0.058373, 0.079015");
}
cell_rise(del_1_4_4) {
index_1("0.0160000, 0.0640000, 0.2560000,1.0240000");
index_2("1, 15, 52, 208");
values( " 0.012873, 0.024872, 0.036041, 0.052177"," 0.01
5839, 0.027347, 0.038528, 0.054686"," 0.019691, 0.032993, 0.044036, 0.060072","
0.024393, 0.041702, 0.055159, 0.071550");
}
rise_transition(del_1_4_4) {
index_1("0.0160000, 0.0640000, 0.2560000,1.0240000");
index_2("1, 15, 52, 208");
values( " 0.019443, 0.037373, 0.056506, 0.084749"," 0.02
1514, 0.038502, 0.056989, 0.084711"," 0.026595, 0.042829, 0.060223, 0.086774","
0.036207, 0.053209, 0.069693, 0.094737");
}
}
}
}
/* cell(INVX0) */
cell(NOR2X0) {
area : 5.530 ;
pg_pin (VDD) {
voltage_name : "VDD";
pg_type : "primary_power";
}
pg_pin (VSS) {
voltage_name : "VSS";
pg_type : "primary_ground";
}
leakage_power() {
when : "(IN1'*IN2')";
value : 4477.600;
}
leakage_power() {
when : "(IN1*IN2')";
value : 24643.000;
}
leakage_power() {
when : "(IN1'*IN2)";
value : 16466.000;
}
leakage_power() {
when : "(IN1*IN2)";
value : 2871.500;
}
cell_leakage_power : 16466.000 ;
pin(IN1) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
internal_power() {
rise_power(power_inputs_1){
index_1("0.0160000, 0.0640000, 0.2560000, 1.0240000");
values( " 0.00134, 0.000994, 0.000844, 0.000736");
}
fall_power(power_inputs_1) {

index_1("0.0160000, 0.0640000, 0.2560000, 1.0240000");


values( " 0.00685, 0.00230, 0.00389, 0.00751");
}
when : "(IN2*QN')";
}
capacitance : 0.00175;
fanout_load : 0.087;
rise_capacitance : 0.00186;
fall_capacitance : 0.00163;
}
pin(IN2) {
direction : input;
related_power_pin : "VDD";
related_ground_pin : "VSS";
internal_power() {
rise_power(power_inputs_1) {
index_1("0.0160000, 0.0640000, 0.2560000, 1.0240000");
values( " 0.00439, 0.00514, 0.00684, 0.00640");
}
fall_power(power_inputs_1) {
index_1("0.0160000, 0.0640000, 0.2560000, 1.0240000");
values( " 0.00542, 0.00436, 0.00571, 0.00411");
}
when : "(IN1*QN')";
}
capacitance : 0.00198;
fanout_load : 0.099;
rise_capacitance : 0.00226;
fall_capacitance : 0.00170;
}
pin(QN) {
direction : output;
related_power_pin : "VDD";
related_ground_pin : "VSS";
internal_power() {
rise_power(power_outputs_1) {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values( " -0.00236, -0.000132, 0.000983, 0.00282"," 0.00158, 0.0
0332, 0.00492, 0.00732"," 0.000541, 0.00173, 0.00337, 0.00574", " -0.00301, -0.0
0155, 0.0000180, 0.00235");
}
fall_power(power_outputs_1) {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values( " 0.00490, 0.00362, 0.00336, 0.00300"," 0.00443, 0.00342
, 0.00292, 0.00292"," 0.00365, 0.00251, 0.00211, 0.00292"," 0.00252, 0.00234, 0.
00224, 0.00288");
}
related_pin : "IN1";
when : "IN2'";
}
internal_power() {
rise_power(power_outputs_1) {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values( " -0.000103, 0.00156, 0.00319, 0.00559"," 0.00292, 0.003
43, 0.00431, 0.00667"," 0.00279, 0.00261, 0.00353, 0.00532"," 0.00290, 0.00359,
0.00464, 0.00693");
}

fall_power(power_outputs_1) {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values( " 0.00109, 0.00420, 0.00232, 0.00426"," -0.000181, -0.00
0111, -0.00000200, 0.00195"," -0.00201, -0.00214, -0.00210, 0.000999"," 0.00241,
0.00229, -0.00177, -0.00177");
}
related_pin : "IN2";
when : "IN1'";
}
function : "(IN2+IN1)'";
timing() {
related_pin
: "IN1";
timing_sense
: negative_unate;
cell_fall(del_1_4_4) {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values( " 0.019296, 0.032757, 0.045506, 0.063873"," 0.02
1705, 0.035142, 0.047983, 0.066452"," 0.027091, 0.040626, 0.053373, 0.071836","
0.034482, 0.051533, 0.065078, 0.083251");
}
fall_transition(del_1_4_4) {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values( " 0.019235, 0.037205, 0.055678, 0.082844"," 0.01
9973, 0.037102, 0.055692, 0.082890"," 0.023692, 0.039679, 0.057087, 0.083419","
0.033492, 0.048605, 0.064375, 0.088884");
}
cell_rise(del_1_4_4) {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values( " 0.018640, 0.033509, 0.048138, 0.069328"," 0.02
0426, 0.035395, 0.050004, 0.071183"," 0.024593, 0.039977, 0.054369, 0.075427","
0.029382, 0.048563, 0.064268, 0.084919");
}
rise_transition(del_1_4_4) {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values( " 0.032022, 0.056840, 0.082218, 0.119504"," 0.03
3999, 0.057460, 0.082105, 0.119451"," 0.038588, 0.060998, 0.084694, 0.120529","
0.049707, 0.070419, 0.092945, 0.127206");
}
}
timing() {
related_pin
: "IN2";
timing_sense
: negative_unate;
cell_fall(del_1_4_4) {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values( " 0.027156, 0.040675, 0.053566, 0.072083"," 0.02
9498, 0.043086, 0.056016, 0.074574"," 0.035053, 0.048604, 0.061505, 0.080041","
0.046194, 0.060785, 0.073361, 0.091663");
}
fall_transition(del_1_4_4) {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values( " 0.030287, 0.048412, 0.066915, 0.094278"," 0.03
0749, 0.048488, 0.066996, 0.094314"," 0.033997, 0.050760, 0.068325, 0.094858","
0.043485, 0.059127, 0.075249, 0.100048");
}

cell_rise(del_1_4_4) {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values( " 0.025674, 0.040775, 0.055383, 0.076548"," 0.02
8735, 0.043626, 0.058155, 0.079293"," 0.031151, 0.046483, 0.061111, 0.082304","
0.032939, 0.050733, 0.066252, 0.087504");
}
rise_transition(del_1_4_4) {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values( " 0.031769, 0.057001, 0.082319, 0.119533"," 0.03
2759, 0.057233, 0.082329, 0.119498"," 0.035696, 0.059018, 0.083444, 0.120010","
0.043834, 0.065221, 0.088554, 0.123976");
}
}
}
}
/* cell(NOR2X0) */
cell (HADDX2_KIRAN) {
cell_footprint : "HADD_KIRAN";
area : 52.23 ;
cell_leakage_power : 29.8992e+06;
pg_pin (VDD) {
voltage_name : "VDD";
pg_type : "primary_power";
}
pg_pin (VSS) {
voltage_name : "VSS";
pg_type : "primary_ground";
}
leakage_power () {
when : "(A0'*B0)";
value : "29.231e+06";
}
leakage_power () {
when : "(A0'*B0')";
value : "83.374e+06";
}
leakage_power () {
when : "(A0*B0)";
value : "2.213e+06";
}
leakage_power () {
when : "(A0*B0')";
value : "41.779e+06";
}
pin (A0) {
fanout_load : 0.167;
related_power_pin : "VDD";
related_ground_pin : "VSS";
direction : "input";
rise_capacitance_range (3.763, 3.763 );
rise_capacitance : 3.763;
capacitance : 3.831;
fall_capacitance_range (5.142, 5.142 );
fall_capacitance : 5.142;
max_transition : 1.024000;
internal_power () {
when : "!B0";
fall_power ("power_inputs_1") {
index_1("0.0160000, 0.0640000, 0.2560000

, 1.0240000");
values("28.715, 28.557, 28.455, 28.615")
;
}
rise_power ("power_inputs_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("42.054, 41.887, 41.221, 40.337")
;
}
}
}
pin (B0) {
fanout_load : 0.150;
related_power_pin : "VDD";
related_ground_pin : "VSS";
direction : "input";
rise_capacitance_range (5.142, 5.142 );
rise_capacitance : 5.142;
capacitance : 5.180;
fall_capacitance_range (5.217, 5.217 );
fall_capacitance : 5.217;
max_transition : 1.024000;
internal_power () {
when : "!A0";
fall_power ("power_inputs_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("56.549, 56.524, 56.431, 56.366")
;
}
rise_power ("power_inputs_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("55.483, 55.436, 55.408, 53.520")
;
}
}
}
pin (SO) {
related_power_pin : "VDD";
related_ground_pin : "VSS";
power_down_function : "!VDD + VSS";
direction : "output";
function : "B0^A0";
max_capacitance : 416.000000;
max_transition : 1.365750;
internal_power () {
related_pin : "A0";
rise_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.04833, 0.04483, 0.01402, 0.014
03","0.04804, 0.4247, 0.00866, 0.00865","0.03177, 0.00744, 0.0686, 0.0679","0.22
856, 0.25104, 0.29186, 0.26384");
}
fall_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");

values("0.02104, 0.02512, 0.017913, 0.00


639","0.01706, 0.01401, 0.00478, 0.016282","0.03458, 0.04377, 0.06469, 0.07622",
"0.28748, 0.29725, 0.30717, 0.32673");
}
}
internal_power () {
related_pin : "B0";
rise_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.02212, 0.02212, 0.0221, 0.0220
3","0.000562, 0.000564, 0.000583, 0.0006478","0.060505, 0.060510, 0.06052, 0.059
798","0.28821, 0.28642, 0.28079, 0.25844");
}
fall_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.043243, 0.01778, 0.01027, 0.01
0251","0.037643, 0.00728, 0.012411, 0.012427","0.013176, 0.067372, 0.072352, 0.0
72368 ","0.26454, 0.31965, 0.32442, 0.32201");
}
}
timing () {
related_pin : "A0";
timing_sense : "non_unate";
cell_rise ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.2912, 0.02949, 0.07371, 0.1927
4","0.093502, 0.094447, 0.1450, 0.2912","0.28805, 0.34797, 0.32647, 0.39533","0.
2645137, 0.3245745, 0.4332922, 0.8480055");
}
rise_transition ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("2.1942, 1.1011, 1.3114, 2.1685",
"1.0825, 1.1367, 1.3408, 2.1942","1.1711, 1.2340, 1.4379, 2.2293","1.2601, 1.351
0, 1.6971, 2.6165");
}
cell_fall ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.18996, 0.03121, 0.056909, 0.10
441","0.11896, 0.12278, 0.14267, 0.18996","0.38131, 0.3918, 0.39233, 0.40884 ","
0.2057904, 0.2660248, 0.3717264, 0.7360019");
}
fall_transition ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("1.9419, 1.0285, 1.2194, 1.9963",
"0.93869, 0.98024, 1.1562, 1.19419","0.80905, 0.86585, 1.0467, 1.7841","0.35042,
0.41771, 0.80070, 1.5341");
}
}
timing () {
related_pin : "B0";
timing_sense : "non_unate";
cell_rise ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");

values("0.027849, 0.03348, 0.059642, 0.1


2644","0.097387, 0.097387, 0.11563, 0.22219","0.29272, 0.29115, 0.29987, 0.36544
","1.1186, 1.0791, 1.1226, 1.18059");
}
rise_transition ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("2.0502, 2.1015, 2.3116, 3.1099",
"2.1363, 2.0843, 2.3446, 3.1338","2.1723, 2.2229, 2.4279, 3.2157","2.4922, 2.550
1, 2.7735, 3.506");
}
cell_fall ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.024928, 0.026563, 0.0756669, 0
.12087","0.12646, 0.12583, 0.14391, 0.26721","0.40302, 0.40044, 0.40339, 0.45719
","1.6004, 1.6052, 1.6125, 1.6215");
}
fall_transition ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("1.9849, 2.0261, 2.2024, 2.9603",
"1.9741, 1.9328, 2.1528, 2.8972","1.7903, 1.8360, 2.0208, 2.7778","1.2231, 1.261
2, 1.4733, 2.5155");
}
}
}
pin (C1) {
related_power_pin : "VDD";
related_ground_pin : "VSS";
power_down_function : "!VDD + VSS";
direction : "output";
function : "B0*A0";
max_capacitance : 416.000000;
max_transition : 1.373051;
internal_power () {
related_pin : "A0";
rise_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.027487, 0.030725, 0.054149, 0.
01606","0.0048108, 0.008148, 0.0311471, 0.00662","0.05513, 0.051818, 0.028468, 0
.06656","0.30785, 0.30456, 0.28119, 0.31928");
}
fall_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.027483, 0.031430, 0.05401, 0.0
14202","0.0048083, 0.0081427, 0.031328, 0.008477","0.055132, 0.051835, 0.028612,
0.068417","0.30785, 0.30458, 0.28133, 0.32114");
}
}
internal_power () {
related_pin : "B0";
rise_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.075261, 0.062951, 0.022164, 0.
022127","0.052628, 0.040312, 0.00051622, 0.000554","0.007238, 0.019592, 0.060457
, 0.060494","0.25988, 0.27229, 0.31318, 0.31321");

}
fall_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.039607, 0.047721, 0.084465, 0.
063785","0.016935, 0.025051, 0.06119, 0.041125","0.042983, 0.03486, 0.028512, 0.
018785","0.29567, 0.28755, 0.24997, 0.27142");
}
}
timing () {
related_pin : "A0";
timing_sense : "positive_unate";
cell_rise ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("1.0024, 2.9643, 3.2509, 2.4642",
"0.9479, 2.8831, 0.15943, 0.1235","1.0594, 1.1278, 3.0154, 0.7646204","1.0316, 0
.2570019, 0.3708443, 0.7927963");
}
rise_transition ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.0014981, 0.91195, 0.0036669, 1
.6032","0.0011929, 1.1091, 1.2490, 1.88970","1.1563, 1.1568, 1.3116, 1.9598","1.
2481, 1.2724, 1.4715, 2.1336");
}
cell_fall ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("1.9864, 3.9559, 1.8480, 0.43452"
,"0.99986, 2.9959, 1.2026, 1.1087","0.99243, 1.0125, 1.195, 0.8158710","2.9642,
0.4284182, 0.5377168, 0.9035723");
}
fall_transition ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.98444, 1.8461, 1.1087, 1.6796"
,"0.96121, 0.97111, 1.0845, 1.6551","0.89389, 0.90045, 0.9850, 1.5681","0.58261,
0.57692, 0.80525, 1.4997");
}
}
timing () {
related_pin : "B0";
timing_sense : "positive_unate";
cell_rise ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("1.9602, 0.1771030, 0.13737, 0.42
267","2.0536, 0.11135, 0.16173, 0.43365","0.32949, 0.3006, 0.31625, 0.7953642","
1.0251, 0.98792, 0.89598, 0.8476464");
}
rise_transition ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("2.0587, 2.0890, 2.2419, 2.8707",
"2.0778, 2.1109, 2.2749, 2.8980","2.1293, 2.1644, 2.3328, 2.9665","2.3534, 2.389
9, 2.5453, 3.2129");
}
cell_fall ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");

index_2("1, 15, 52, 208");


values("1.9946, 0.2284585, 0.14046, 0.39
565","0.084723, 0.095583, 0.16145, 0.40979","0.27755, 0.27315, 0.31036, 0.800920
2","1.0251, 1.0902, 1.1079, 0.8786993");
}
fall_transition ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("1.9847, 2.0117, 2.1559, 2.7638",
"1.9624, 1.9857, 2.128, 2.7318","1.9056, 1.9301, 2.0684, 2.6680","1.6662, 1.6872
, 1.8277, 2.7644");
}
}
}
}
cell (DFFALS_SHARAN) {
cell_footprint : "DFFALS_SHARAN";
area : 37.33;
pin_opposite("QN", "Q");
cell_leakage_power : 5.221e-06;
ff (IQ,IQN) {
clocked_on : "CLK";
next_state : "D";
preset : "SETB'";
}
pg_pin (VDD) {
voltage_name : "VDD";
pg_type : "primary_power";
}
pg_pin (VSS) {
voltage_name : "VSS";
pg_type : "primary_ground";
}
leakage_power () {
when : "(D'*CLK*SETB')";
value : "6.464e-06";
}
leakage_power () {
when : "(D'*CLK'*SETB')";
value : "5.602e-06 ";
}
leakage_power () {
when : "(D'*CLK*SETB)";
value : "7.111e-06";
}
leakage_power () {
when : "(D*CLK*SETB)";
value : " 8.174e-07";
}
leakage_power () {
when : "(D*CLK'*SETB')";
value : " 5.978e-06 ";
}
leakage_power () {
when : "(D*CLK*SETB')";
value : "7.270e-06 ";
}
leakage_power () {

when : "(D'*CLK'*SETB)";
value : " 3.306e-06 ";
}
pin (D) {
fanout_load : 0.950;
related_power_pin : "VDD";
related_ground_pin : "VSS";
nextstate_type : "data";
direction : "input";
rise_capacitance_range (2.0010, 2.0010);
rise_capacitance : 2.0010;
capacitance : 1.7667;
fall_capacitance_range (1.5323, 1.5323 );
fall_capacitance : 1.5323;
max_transition : 1.024000;
timing () {
timing_type : "setup_rising";
related_pin : "CLK";
rise_constraint ("vio_4_4_1") {
index_1("0.0160000, 0.0640000, 0.2560000
,1.0240000");
index_2("1, 15, 52, 208");
values("0.1867515, 0.2164143, 0.2339662,
0.2582081","0.1687980, 0.1753853, 0.2127628, 0.2390111","0.1537788, 0.1446222,
0.1802501, 0.2114944","0.1765934, 0.1844026, 0.2024364, 0.2557636");
}
fall_constraint ("vio_4_4_1") {
index_1("0.0160000, 0.0640000, 0.256000
0,1.0240000");
index_2("1, 15, 52, 208");
values("0.0875832, 0.0914320, 0.1819379,
0.2534063", "0.0901158, 0.1111597, 0.1544761, 0.2245094", "0.0858280, 0.108836
0, 0.1581735,
0.2190739", "0.0844403, 0.0925677, 0.1222642, 0.
2286129");
}
}
timing () {
timing_type : "hold_rising";
related_pin : "CLK";
rise_constraint ("vio_4_4_1") {
index_1("0.0160000, 0.0640000, 0.256000
0,1.0240000");
index_2("1, 15, 52, 208");
values(" -0.0594794, -0.0665752, -0.1144
367, -0.1268316", "-0.0516832, -0.0587706, -0.1134876, -0.1230334", "-0.0625776
, -0.0658939, -0.1286334, -0.1521979", "-0.0970099, -0.1007122, -0.1624097, -0.1
844987");
}
fall_constraint ("vio_4_4_1") {
index_1("0.0160000, 0.0640000, 0.256000
0,1.0240000");
index_2("1, 15, 52, 208");
values("-0.0230776,-0.0464024,-0.0702220
,-0.1498116", "-0.0194764,-0.0229579,-0.0957510,-0.1490903", "0.0037073,-0.04318
46,-0.0825280 ,-0.1386787", "0.0075886, -0.0155527, -0.0392702,-0.1261026");
}
}
internal_power () {
when : "!CLK&!SETB&Q&!QN";

fall_power ("power_inputs_1") {
index_1("0.0160000,0.0640000,0.2560000,
1.0240000");
values("7.5875860, 7.3263564, 8.3523083,
15.1287092");
}
rise_power ("power_inputs_1") {
index_1("0.0160000,0.0640000,0.2560000,
1.0240000");
values("4.8499218,5.6017519, 7.7738817,
12.4009569");
}
}
}
pin(CLK){
related_power_pin : "VDD";
related_ground_pin : "VSS";
nextstate_type : "data";
direction : "input";
min_pulse_width_low : 0.34;
min_pulse_width_high : 0.25;
rise_capacitance_range (4.2573, 4.2573 );
rise_capacitance : 4.2573;
capacitance : 4.70;
fall_capacitance_range ( 4.7014, 4.7014 );
fall_capacitance : 4.7014;
max_transition : 1.024000;
internal_power () {
when : "!D&!SETB&Q&!QN";
fall_power ("power_inputs_1") {
index_1("0.0160000,0.0640000,0.2560000,
1.0240000");
values("16.0464820, 16.4038869, 18.43937
35, 22.8491934");
}
rise_power ("power_inputs_1") {
index_1("0.0160000,0.0640000,0.2560000,
1.0240000");
values("11.3420011,11.9017712,16.4038869
, 17.9832580");
}
}
}
pin (SETB)
{
related_power_pin : "VDD";
related_ground_pin : "VSS";
nextstate_type : "data";
direction : "input";
min_pulse_width_low : 0.35;
rise_capacitance_range ( 3.055, 3.055 );
rise_capacitance : 3.055 ;
capacitance : 3.408;
fall_capacitance_range (3.875,3.875 );
fall_capacitance : 3.875;
max_transition : 1.024000;
timing () {
timing_type : "recovery_rising";
related_pin : "CLK";

rise_constraint ("vio_4_4_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
index_2("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("-0.0465634, -0.0235146, 0.000861
6, 0.1338833", "-0.0786578, -0.0749534, 0.0164698, 0.0977364", "-0.1093909, -0
.0841814,-0.0371557, 0.0936367", "-0.0809203, -0.0918315, 0.0119738, 0.0897305")
;
}
}
timing () {
timing_type : "removal_rising";
related_pin : "CLK";
rise_constraint ("vio_4_4_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
index_2("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("0.1139905, 0.0910106, 0.0696911,
0.0300507", "0.1179016, 0.0738698, 0.0334793, -0.0222638", "0.1459021, 0.142297
0, 0.0628774, 0.0073703", "0.1380637, 0.1044126, 0.0724413, 0.0032283");
}
}
internal_power () {
when : "!D&!CLK&Q&!QN";
fall_power ("power_inputs_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("49.8475982, 69.8675745, 110.2892
758, 191.5144268");
}
rise_power ("power_inputs_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("2.8520713, 3.1538087, 4.8400735,
8.4954184");
}
}
}
pin (Q){
related_power_pin : "VDD";
related_ground_pin : "VSS";
power_down_function : "!VDD + VSS";
direction : "output";
function : "IQ";
max_capacitance : 208.000000;
max_transition : 1.339158;
internal_power () {
related_pin : "CLK";
rise_power ("power_outputs_1") {
index_1("0.0160000, 0.0640000, 0.256000
0,1.0240000");
index_2("1, 15, 52, 208");
values("-0.1149301, 1.9068391, 1.666665
8, 0.5300481", "-0.1220510, 1.8951403, 1.6399842, 0.5154423", "-0.1572391, 0.683
2823, 2.4749162, 1.3215678", "-0.1588804, 4.6470445, 6.3499437, 6.8780932");
}
fall_power ("power_outputs_1") {

index_1("0.0160000, 0.0640000, 0.256000


0,1.0240000");
index_2("1, 15, 52, 208");
values("-3.1650178, 11.0389348, 31.68678
05, 60.5588286", "-3.4847100, 10.9079680, 54.5077129, 61.0757390", "-4.4477279,
10.3013940, 21.3750398, 61.8122977", "-6.4939150, 9.0709866, 56.2569377, 63.36
85360");
}
}
internal_power () {
related_pin : "SETB";
rise_power ("power_outputs_1") {
index_1("0.0160000, 0.0640000, 0.256000
0,1.0240000");
index_2("1, 15, 52, 208");
values("0.0233340, 4.1065317, 4.2169251,
3.0507611", "0.0210330, 4.1565346, 4.2629374, 3.2438790","-0.0434075, 1.941897
0, 6.4293653, 5.7273876", "-0.0068223, 9.7062216, 10.9124586,10.7642126");
}
}
timing () {
timing_type : "rising_edge";
related_pin : "CLK";
cell_rise ("del_1_4_4") {
index_1("0.0160000, 0.0640000, 0.256000
0,1.0240000");
index_2("1, 15, 52, 208");
values("0.3260789, 0.3496055, 0.6105067,
0.8885682", "0.3566394, 0.5025875, 0.6416468, 0.9188650", "0.3646762, 0.5102451
, 0.6495027, 0.9273882", "0.3605330, 0.5058508, 0.6449581, 0.9217884");
}
rise_transition ("del_1_4_4") {
index_1("0.0160000, 0.0640000, 0.256000
0,1.0240000");
index_2("1, 15, 52, 208");
values("0.0638423, 0.3628408, 0.6825021
, 1.3389171", "0.0632645, 0.3632664, 0.6842731, 1.3391575", "0.0634100, 0.362847
2, 0.6842514, 1.3384097", "0.0639669, 0.1013686, 0.6855774, 1.3382960");
}
cell_fall ("del_1_4_4") {
index_1("0.0160000, 0.0640000, 0.2560000,1.0240
000");
index_2("1, 15, 52, 208");
values("0.3315704, 0.4567712, 0.5673625,
0.7869829","0.3640059, 0.3853234, 0.5997925, 0.8194298", "0.3719866, 0.3932413,
0.6078183, 0.8277545", "0.3696254, 0.3909587, 0.6054423, 0.8250944");
}
fall_transition ("del_1_4_4") {
index_1("0.0160000, 0.0640000, 0.2560000,1.0240
000");
index_2("1, 15, 52, 208");
values("0.0532826, 0.2593532, 0.4795220,
0.9268226", "0.0528117, 0.0791945, 0.1089120, 0.9267213", "0.0527795, 0.0789019
, 0.4795396, 0.9267546", "0.0533399, 0.2586802, 0.4800733, 0.9301257");
}
}
timing () {
timing_type : "preset";
timing_sense : "negative_unate";
related_pin : "SETB";

cell_rise ("del_1_4_4") {
index_1("0.0160000, 0.0640000, 0.256000
0,1.0240000");
index_2("1, 15, 52, 208");
values("0.3659761, 0.5122382, 0.6503185,
0.9278268", "0.3692887, 0.5155263, 0.6539009, 0.9313436", "0.4802243, 0.6262301
, 0.7646273, 1.0416839", "0.5711097, 0.7181599, 0.8564950, 1.1332872");
}
rise_transition ("del_1_4_4") {
index_1("0.0160000, 0.0640000, 0.256000
0,1.0240000");
index_2("1, 15, 52, 208");
values("0.0673420, 0.3642170, 0.6855504,
1.3328871", "0.0673638, 0.3640183, 0.6855017, 1.3316075", "0.0679527, 0.1041662
, 0.6854310, 1.3370099", "0.0687816, 0.1055536, 0.6893395, 1.3367566");
}
}
}
pin (QN){
related_power_pin : "VDD";
related_ground_pin : "VSS";
power_down_function : "!VDD + VSS";
direction : "output";
function : "IQN";
max_capacitance : 208.000000;
max_transition : 1.365352;
internal_power () {
related_pin : "CLK";
rise_power ("power_outputs_1") {
index_1("0.0160000, 0.0640000, 0.256000
0,1.0240000");
index_2("1, 15, 52, 208");
values("-5.7939434, -5.3149868, -8.63424
69, -11.2828846", "-6.1130330, -5.4558575, -8.1644576, -10.4963017", "-7.0782615
, -6.2173346, -7.6453061, -9.8577445", "-9.1632193, -5.4788167, -6.0956107, -8.0
023228");
}
fall_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256 , 1.024");
index_2("1, 15, 52, 208");
values("2.7745202, 18.1149189, 58.86608
30, 64.0994523", "2.7317646, 50.6608502, 59.5251835, 64.8619051", "2.7342498, 51
.8139852, 60.9347190, 66.4374483", "2.7641484, 54.4067651, 64.1350943, 69.974963
5");
}
}
internal_power () {
related_pin : "SETB";
fall_power ("power_outputs_1") {
index_1("0.0160000, 0.0640000, 0.256000
0,1.0240000");
index_2("1, 15, 52, 208");
values("2.8988108, 18.9601672, 61.544204
0, 66.8622145", "2.8931735,30.5361288, 40.7951801, 61.5222547", "2.8628173,18.9
638285, 40.8235324, 66.8993263", "44.8157073,57.7240445, 67.3360486, 72.8673523
");
}
rise_power (scalar) {
values("0.000000");

}
}
timing () {
timing_type : "rising_edge";
related_pin : "CLK";
cell_rise ("del_1_4_4") {
index_1("0.0160000, 0.0640000, 0.256000
0,1.0240000");
index_2("1, 15, 52, 208");
values("0.2549362,0.3071519, 0.5632227,
0.8423705", "0.2586596, 0.3450868, 0.4223262, 0.8466248", "0.3221585, 0.4591858,
0.6039672, 0.8834570", "0.2928127, 0.3794499, 0.4567815, 0.8796684");
}
rise_transition ("del_1_4_4") {
index_1("0.0160000, 0.0640000, 0.256000
0,1.0240000");
index_2("1, 15, 52, 208");
values("0.1053484, 0.1420765, 0.7244259,
1.3642119", "0.1038715, 0.2539932, 0.7242916, 1.3615034", "0.1420369, 0.4113224
, 0.7253056, 1.3649299", "0.1415885, 0.1861377, 0.4116590, 1.3653524");
}
cell_fall ("del_1_4_4") {
index_1("0.0160000, 0.0640000, 0.256000
0,1.0240000");
index_2("1, 15, 52, 208");
values("0.2467626, 0.2743527, 0.3000731,
0.6999999", "0.2572495, 0.3429449, 0.4080704, 0.7105437", "0.2775420, 0.363031
7, 0.4280522, 0.7304225", "0.2809763, 0.3084613, 0.5418048, 0.7334022");
}
fall_transition ("del_1_4_4") {
index_1("0.0160000, 0.0640000, 0.256000
0,1.0240000");
index_2("1, 15, 52, 208");
values("0.1257908, 0.3079977, 0.4769510,
0.8118741", "0.1258677, 0.2195268, 0.4765693, 0.8115831", "0.1256548, 0.1522903
, 0.4772239, 0.8094229", "0.1254658, 0.3078060, 0.4772518, 0.8083902");
}
}
timing () {
timing_type : "clear";
timing_sense : "positive_unate";
related_pin : "SETB";
cell_fall ("del_1_4_4") {
index_1("0.0160000, 0.0640000, 0.256000
0,1.0240000");
index_2("1, 15, 52, 208");
values( "0.2841139, 0.3408680,0.5451754,
0.7256082", "0.2906277, 0.3808134, 0.4465928, 0.7321198", "0.3950702, 0.4844195
, 0.6545127, 0.8347237", "0.4881173, 0.5763225, 0.6417730, 0.9280252");
}
fall_transition ("del_1_4_4") {
index_1("0.0160000, 0.0640000, 0.256000
0,1.0240000");
index_2("1, 15, 52, 208");
values("0.1479860, 0.2015763,0.4699622,
0.7858255", "0.1481383, 0.2370587, 0.3148370, 0.7853532", "0.1470740, 0.3145921,
0.4695463, 0.7869293", "0.1727105, 0.1974221, 0.4821825, 0.7989396");
}
}

}
}
cell (SDFFARX1) {
cell_footprint : "SDFFAR_abhi";
area : 68.78;
pin_opposite("QN", "Q");
cell_leakage_power : 4.1986E-05;
driver_waveform_rise : "preDrv";
driver_waveform_fall : "preDrv";
ff (IQ,IQN) {
clocked_on : "CLK";
next_state : "(D*SE')+(SI*SE)";
clear : "RSTB'";
}
test_cell () {
pin (D,CLK) {
direction : "input";
}
pin (SI) {
fanout_load : 0.076;
related_power_pin : "VDD";
related_ground_pin : "VSS";
direction : "input";
signal_type : "test_scan_in";
}
pin (RSTB) {
fanout_load : 0.172;
related_power_pin : "VDD";
related_ground_pin : "VSS";
direction : "input";
}
pin (SE) {
fanout_load : 0.112;
related_power_pin : "VDD";
related_ground_pin : "VSS";
direction : "input";
signal_type : "test_scan_enable";
}
ff (IQ,IQN) {
next_state : "D";
clocked_on : "CLK";
clear : "RSTB'";
}
pin (Q) {
related_power_pin : "VDD";
related_ground_pin : "VSS";
direction : "output";
function : "IQ";
signal_type : "test_scan_out";
}
pin (QN) {
related_power_pin : "VDD";
related_ground_pin : "VSS";
direction : "output";
function : "IQN";
signal_type : "test_scan_out_inverted";
}
}
test_cell () {
pin (D,CLK) {

direction : "input";
}
pin (SI) {
fanout_load : 0.076;
related_power_pin : "VDD";
related_ground_pin : "VSS";
direction : "input";
signal_type : "test_scan_in";
}
pin (RSTB) {
fanout_load : 0.172;
related_power_pin : "VDD";
related_ground_pin : "VSS";
direction : "input";
}
pin (SE) {
fanout_load : 0.112;
related_power_pin : "VDD";
related_ground_pin : "VSS";
direction : "input";
signal_type : "test_scan_enable";
}
ff (IQ,IQN) {
next_state : "D";
clocked_on : "CLK";
clear : "RSTB'";
}
pin (Q) {
related_power_pin : "VDD";
related_ground_pin : "VSS";
direction : "output";
function : "IQ";
signal_type : "test_scan_out";
}
pin (QN) {
related_power_pin : "VDD";
related_ground_pin : "VSS";
direction : "output";
signal_type : "test_scan_out_inverted";
}
}
pg_pin (VDD) {
voltage_name : "VDD";
pg_type : "primary_power";
}
pg_pin (VSS) {
voltage_name : "VSS";
pg_type : "primary_ground";
}
leakage_power () {
when : "(D*CLK*RSTB'*SE'*SI')";
value : "3.3211E-06";
}
leakage_power () {
when : "(D*CLK*RSTB'*SE'*SI)";
value : "3.1641E-06";
}
leakage_power () {
when : "(D'*CLK*RSTB'*SE*SI)";
value : "3.7000E-06";

}
leakage_power () {
when : "(D*CLK*RSTB'*SE*SI)";
value : "3.9746E-06";
}
leakage_power () {
when : "(D'*CLK*RSTB*SE'*SI')";
value : " 2.7305E-06";
}
leakage_power () {
when : "(D'*CLK'*RSTB'*SE'*SI')";
value : "2.8544E-06";
}
leakage_power () {
when : "(D'*CLK*RSTB'*SE'*SI')";
value : "3.7266E-06";
}
leakage_power () {
when : "(D'*CLK*RSTB*SE'*SI)";
value : "3.3972E-05";
}
leakage_power () {
when : "(D'*CLK'*RSTB'*SE'*SI)";
value : "2.3939E-06";
}
leakage_power () {
when : "(D'*CLK*RSTB'*SE'*SI)";
value : " 1.0994E-05 ";
}
leakage_power () {
when : "(D'*CLK*RSTB*SE*SI')";
value : "3.7264E-06";
}
leakage_power () {
when : "(D'*CLK'*RSTB'*SE*SI')";
value : "1.1647E-04";
}
leakage_power () {
when : "(D'*CLK*RSTB'*SE*SI')";
value : " 8.9728E-05";
}
leakage_power () {
when : "(D'*CLK*RSTB*SE*SI)";
value : "1.3558E-05";
}
leakage_power () {
when : "(D'*CLK'*RSTB'*SE*SI)";
value : " 3.2078E-06";
}
leakage_power () {
when : "(D*CLK*RSTB*SE'*SI')";
value : "8.8367E-05";
}
leakage_power () {
when : "(D*CLK'*RSTB'*SE'*SI')";
value : "2.9330E-06";
}
leakage_power () {
when : "(D*CLK*RSTB*SE'*SI)";
value : "6.0286E-06";

}
leakage_power () {
when : "(D*CLK'*RSTB'*SE'*SI)";
value : " 2.7039E-06 ";
}
leakage_power () {
when : "(D*CLK*RSTB*SE*SI')";
value : "1.7409E-04";
}
leakage_power () {
when : "(D*CLK'*RSTB'*SE*SI')";
value : "2.3931E-04";
}
leakage_power () {
when : "(D*CLK*RSTB'*SE*SI')";
value : "1.2150E-04";
}
leakage_power () {
when : "(D*CLK*RSTB*SE*SI)";
value : "8.5443E-05";
}
leakage_power () {
when : "(D*CLK'*RSTB'*SE*SI)";
value : " 2.9089E-05";
}
leakage_power () {
when : "(D'*CLK'*RSTB*SE'*SI')";
value : "2.6624E-06";
}
pin (D) {
fanout_load : 0.96;
related_power_pin : "VDD";
related_ground_pin : "VSS";
direction : "input";
rise_capacitance_range (1.734, 2.1591 );
rise_capacitance : 2.1591;
capacitance : 1.7813;
fall_capacitance_range (1.2187, 1.4273 );
fall_capacitance : 1.420;
max_transition : 1.8000;
timing () {
timing_type : "setup_rising";
related_pin : "CLK";
rise_constraint ("vio_4_4_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
index_2("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("0.2379617, 0.2574429, 0.3320695
, 0.3797845", "0.2343218, 0.2535830, 0.2815293, 0.3671785", "0.2233128, 0.231586
7, 0.2718384, 0.3538169", "0.2644220, 0.2820484, 0.3282862, 0.3753030");
}
fall_constraint ("vio_4_4_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
index_2("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("0.2458303, 0.2570269, 0.2720272,
0.4605451", "0.2419930, 0.2975936, 0.3490898, 0.4567483", "0.2376259, 0.2609324
, 0.2900048, 0.4494043", "0.2274189, 0.2314046, 0.3287878, 0.4440957");

}
}
timing () {
timing_type : "hold_rising";
related_pin : "CLK";
rise_constraint ("vio_4_4_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
index_2("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("-0.1256112, -0.1812958, -0.20979
43, -0.2437463", "-0.1219704, -0.1286576, -0.2089722, -0.2402600", "-0.1354707,
-0.1402416, -0.2262435, -0.2630007", "-0.1737812, -0.1781427, -0.2609208, -0.316
7044");
}
fall_constraint ("vio_4_4_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
index_2("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("-0.1168880, -0.1705665, -0.21867
08, -0.2858079", "-0.1086552, -0.1095864, -0.2084751, -0.2787086", "-0.1090555,
-0.1588534, -0.2040935, -0.2696405", "-0.1034869, -0.1070781, -0.2154219, -0.28
00922");
}
}
internal_power () {
when : "!CLK&!RSTB&!SE&!SI&Q&!QN";
fall_power ("power_inputs_1") {
index_1("0.0160000, 0.0640000, 0.2560000
,1.0240000");
values("407.3614604, 190.3615357, 158.05
59600, 158.8541463");
}
rise_power ("power_inputs_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("684.9048306, 714.2709501, 675.08
80529, 602.0272665");
}
}
}
pin (CLK) {
fanout_load : 2.52;
related_power_pin : "VDD";
related_ground_pin : "VSS";
direction : "input";
clock : true;
min_pulse_width_low : 1.0000;
min_pulse_width_high : 2.000;
rise_capacitance_range (4.6276, 4.7276);
rise_capacitance : 4.6276;
capacitance : 4.64864;
fall_capacitance_range (3.7562,5.5855);
fall_capacitance : 3.7562;
max_transition : 1.8000;
internal_power () {
when : "!D&!RSTB&!SE&!SI&Q&!QN";
fall_power ("power_inputs_1") {
index_1("0.0160000, 0.0640000, 0.2560000

, 1.0240000");
values("352.8984639, 175.4495879, 146.40
85170, 130.6047487");
}
rise_power ("power_inputs_1") {
index_1("0.0160000, 0.0640000, 0.2560000
,1.0240000");
values("158.2708135, 230.9837755, 150.71
47072, 165.9395973");
}
}
}
pin (RSTB) {
fanout_load : 2.3877;
related_power_pin : "VDD";
related_ground_pin : "VSS";
direction : "input";
min_pulse_width_low : 0.178315;
fall_capacitance_range (1.217029, 6.867029 );
fall_capacitance : 1.217029;
capacitance : 4.41539856;
rise_capacitance_range (1.412682, 1.962682 );
rise_capacitance : 1.418682;
max_transition : 1.024000;
timing () {
timing_type : "recovery_rising";
related_pin : "CLK";
rise_constraint ("vio_4_4_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
index_2("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("-0.1552783, -0.1991371, -0.24927
70, -0.3343652", "-0.1840885, -0.2274543, -0.2770320, -0.3679722", "-0.1873647,
-0.2275451, -0.2781896, -0.3609986", "-0.1678498, -0.2116674, -0.2587612, -0.341
0579");
}
}
timing () {
timing_type : "removal_rising";
related_pin : "CLK";
rise_constraint ("vio_4_4_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
index_2("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("0.1786404, 0.2164017, 0.2680690,
0.3470002", "0.2078444, 0.2453614, 0.2929006, 0.3774229", "0.2082815, 0.2462140
, 0.2945638, 0.3788838", "0.1933183, 0.2267123, 0.2785945, 0.3570377");
}
}
internal_power () {
when : "!D&!CLK&!SE&!SI&Q&!QN";
rise_power ("power_inputs_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("533.3178142, 260.6223669, 290.92
64645, 300.1108385");
}
fall_power ("power_inputs_1") {

index_1("0.0160000, 0.0640000, 0.2560000


, 1.0240000");
values("223.5090007, 270.2379903, 330.00
77003, 410.773805");
}
}
}
pin (SE) {
fanout_load : 5.199;
related_power_pin : "VDD";
related_ground_pin : "VSS";
nextstate_type : "scan_enable";
direction : "input";
rise_capacitance_range (8.125260, 9.435260 );
rise_capacitance : 8.3030;
capacitance : 9.410475;
fall_capacitance_range (1.06890, 1.408690 );
fall_capacitance : 1.408;
max_transition : 1.024000;
timing () {
timing_type : "setup_rising";
related_pin : "CLK";
rise_constraint ("vio_4_4_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
index_2("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("0.2311458, 0.2612263, 0.2855106,
0.3170276", "0.1961085, 0.2276850, 0.2529707, 0.2865613", "0.1947192, 0.2321927
, 0.2587068, 0.2753210", "0.2300071, 0.2637773, 0.2905461, 0.3051610");
}
fall_constraint ("vio_4_4_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
index_2("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("0.2545379, 0.2837160, 0.3170868,
0.3898521", "0.2281794, 0.2289317, 0.2878731, 0.3602366", "0.2247305, 0.2302988
, 0.3558688, 0.360000", "0.2244040, 0.2274099, 0.2296991, 0.3639739");
}
}
timing () {
timing_type : "hold_rising";
related_pin : "CLK";
rise_constraint ("vio_4_4_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
index_2("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("-0.1288348,-0.1556542, -0.177489
8, -0.1929142", "-0.1230329, -0.1523229, -0.1696734, -0.1904680", "-0.1366651, 0.1625455, -0.1855888, -0.2120637", "-0.1770984, -0.1994304, -0.2221058, -0.2446
983");
}
fall_constraint ("vio_4_4_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
index_2("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("-0.1315168, -0.1582788, -0.18550

72, -0.2365658", "-0.1141989, -0.1435179, -0.1718603, -0.2315046", "-0.1091519,


-0.1389300, -0.1727306, -0.2385416", "-0.1178762, -0.1440882, -0.1751667, -0.248
2369");
}
}
internal_power () {
when : "!D&!CLK&!RSTB&!SI&Q&!QN";
fall_power ("power_inputs_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("582.6052508, 434.3440516, 414.14
49321, 452.5273861");
}
rise_power ("power_inputs_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("400.4255713, 147.1474844, 103.10
36816, 306.3065894");
}
}
}
pin (SI) {
fanout_load : 1.009;
related_power_pin : "VDD";
related_ground_pin : "VSS";
nextstate_type : "scan_in";
direction : "input";
rise_capacitance_range (1.364688, 8.5914688 );
rise_capacitance : 8.534688;
capacitance : 1.828496;
fall_capacitance_range (1.530305, 1.742305 );
fall_capacitance : 1.530305;
max_transition : 1.024000;
timing () {
timing_type : "setup_rising";
related_pin : "CLK";
rise_constraint ("vio_4_4_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
index_2("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("0.2340448, 0.2901856, 0.3289569,
0.3749506", "0.2021592, 0.2564363, 0.2966898, 0.3451613", "0.2011978, 0.2477830
, 0.2941735, 0.3358487", "0.2236617, 0.2872715, 0.3199747, 0.3775090");
}
fall_constraint ("vio_4_4_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
index_2("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("0.2574984, 0.3100029, 0.3623355,
0.4663828", "0.2314257, 0.2807630, 0.3336165, 0.4381062", "0.2278067, 0.2768935
, 0.3332296, 0.4281268", "0.2376427, 0.2785132, 0.3400100, 0.4473497");
}
}
timing () {
timing_type : "hold_rising";
related_pin : "CLK";
rise_constraint ("vio_4_4_1") {
index_1("0.0160000, 0.0640000, 0.2560000

, 1.0240000");
index_2("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("-0.1316464, -0.1819089, -0.21708
93, -0.2511777", "-0.1294495, -0.1773667, -0.2136283, -0.2502033", "-0.1412152,
-0.1937660, -0.2302509, -0.2718588", "-0.1793820, -0.2310591, -0.2608620, -0.326
3946");
}
fall_constraint ("vio_4_4_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
index_2("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("-0.1227075, -0.1729207, -0.21778
88, -0.2894190", "-0.1110672, -0.1642671, -0.2140325, -0.2812754", "-0.1185659,
-0.1681976, -0.2147341, -0.2967742", "-0.1153436, -0.1692239, -0.2267806, -0.277
0872");
}
}
internal_power () {
when : "!D&!CLK&!RSTB&!SE&Q&!QN";
fall_power ("power_inputs_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("510.5332165, 423.2341823, 394.94
76719, 376.7661723");
}
rise_power ("power_inputs_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("661.1893253, 648.4888301, 635.78
28320, 610.9677945");
}
}
}
pin (Q) {
related_power_pin : "VDD";
related_ground_pin : "VSS";
power_down_function : "!VDD + VSS";
direction : "output";
function : "IQ";
max_capacitance : 208.000000;
max_transition : 1.02444847;
internal_power () {
related_pin : "CLK";
rise_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("-0.3757183, -1.4202909, -2.67652
66, -5.4763791", "-0.3836366, -1.4320548, -2.6937555, -5.4994291", "-0.4109304,1.3475708, -2.5062417,-5.1857704", "-0.5149924, 1.1485270, 2.0931284, 1.2426387"
);
}
fall_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("-1.2432505, 22.9302848, 44.74333
24, 60.5328780", "-0.9806114, 23.2383050, 45.0555665, 60.8631275", "-1.5052702,
23.0201604, 45.1549657, 61.1853342", "-4.4117373, 22.2479900, 46.2659268, 63.662
2318");

}
}
internal_power () {
related_pin : "RSTB";
fall_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("-11.4820105, 33.5452084, 43.1248
229, 49.3109877", "-11.2481898, 12.3219159, 33.7821494, 49.5396086", "-12.533189
8, 11.7564859, 33.6229469, 49.6931653", "-18.4358220, 10.2649457, 35.2767216, 53
.3007401");
}
rise_power (scalar) {
values("0.000000");
}
}
timing () {
timing_type : "rising_edge";
related_pin : "CLK";
cell_rise ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.2922761, 0.4449076, 0.5854555,
0.8637805", "0.3033247, 0.4558555, 0.5963292, 0.8748502", "0.3258557, 0.4783689
, 0.6189629, 0.8970633", "0.3357708, 0.4880987, 0.6286557, 0.9058739");
}
rise_transition ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.0665339, 0.3717512, 0.6940601
, 1.3438747", "0.0666949, 0.3726594, 0.6947125, 1.3409480", "0.0669345, 0.373409
4, 0.6933316, 1.3448275", "0.0677357, 0.3727104, 0.6954253, 1.3430466");
}
cell_fall ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.3213091, 0.4465853, 0.5552861,
0.7698228", "0.3434338, 0.4688600, 0.5775204, 0.7919749", "0.3564216, 0.481836
6, 0.5905519, 0.8050858", "0.3676296, 0.4930107, 0.6016810, 0.8159179");
}
fall_transition ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.0586536, 0.2603860, 0.4728756,
0.9075579", "0.0581937, 0.0840946, 0.4727934, 0.9079141", "0.0584963, 0.0837960
, 0.4745317, 0.9135139", "0.0585918, 0.0846218, 0.4742653, 0.9118428");
}
}
timing () {
timing_type : "clear";
timing_sense : "positive_unate";
related_pin : "RSTB";
cell_fall ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.0946302, 0.2204909, 0.3315762,
0.5471141", "0.1379536, 0.2646628, 0.3754822, 0.5908622", "0.1645796, 0.2949464
, 0.4060790, 0.6215084", "0.2004459, 0.3393555, 0.4522183, 0.6683951");
}
fall_transition ("del_1_4_4") {

index_1("0.016, 0.064, 0.256, 1.024");


index_2("1, 15, 52, 208");
values("0.0501263, 0.2605209, 0.4776092,
0.9155269", "0.0629887, 0.2655297, 0.4792971, 0.9156522", "0.0766486, 0.2744043
, 0.4858207, 0.9188915", "0.1026571, 0.2959473, 0.5007009, 0.9299042");
}
}
}
pin (QN) {
related_power_pin : "VDD";
related_ground_pin : "VSS";
power_down_function : "!VDD + VSS";
direction : "output";
function : "IQN";
max_capacitance : 208.000000;
max_transition : 1.024;
internal_power () {
related_pin : "CLK";
rise_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("-3.9362198, -5.9015370, -7.67241
15, -10.1913378", "-4.2065075, -5.5255314, -7.1434622, -9.7451381", "-5.1284449,
-5.2168405, -6.5850699, -8.8745381", "-7.1448260, -4.3530887, -4.8151184, -6.59
61764");
}
fall_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("2.3964937, 46.5502539, 55.252166
1, 60.7919584", "2.3421177, 47.1557176, 56.0100110, 61.6375107", "2.3381339, 48.
4449563, 57.5423861, 63.3355108", "2.3503640, 51.1696120, 60.8610216, 67.0230419
");
}
}
internal_power () {
related_pin : "RSTB";
rise_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("-14.0801703, -16.9124615, -18.48
08758, -20.6575906", "-15.1583211, -16.6808450, -17.9492790, -19.8746085", "-17.
1139901, -16.1884849, -16.8958980, -18.4221119", "-21.2036916, -14.4771215, -13.
8834486, -14.6890040");
}
fall_power (scalar) {
values("0.000000");
}
}
timing () {
timing_type : "rising_edge";
related_pin : "CLK";
cell_rise ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.2240952, 0.3773720, 0.5181254,
0.7959722", "0.2596412, 0.4129712, 0.5537895, 0.8311840", "0.2697497, 0.4231255
, 0.5638612, 0.8411449", "0.2706221, 0.4240422, 0.5647418, 0.8421256");
}
rise_transition ("del_1_4_4") {

index_1("0.016, 0.064, 0.256, 1.024");


index_2("1, 15, 52, 208");
values("0.0816592, 0.3877708, 0.7021367,
1.3469668", "0.0813663, 0.3872616, 0.7043296, 1.3409623", "0.0807606, 0.3878060
, 0.7032748, 1.3501271", "0.0801331, 0.3872011, 0.7077635, 1.3540079");
}
cell_fall ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.1966435,0.3415621, 0.4523740,
0.6464836", "0.2003508, 0.3452780, 0.4560902, 0.6501621", "0.2400504, 0.3846410,
0.4953377, 0.6894628", "0.2401575, 0.3843043, 0.4950746, 0.6891699");
}
fall_transition ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.0902714, 0.2857040, 0.4674903,
0.8263156", "0.0902708, 0.2859483, 0.4673272, 0.8257621", "0.0904155, 0.2860199
, 0.4672457, 0.8248159", "0.0918936, 0.2870915, 0.4674954, 0.8252949");
}
}
timing () {
timing_type : "preset";
timing_sense : "negative_unate";
related_pin : "RSTB";
cell_rise ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.2850260, 0.4408162, 0.5811571,
0.8602142", "0.2875066, 0.4434735, 0.5831797, 0.8615204", "0.3817349, 0.5374692
, 0.6778585, 0.9557445", "0.4610202, 0.6165379, 0.7559433, 1.0339005");
}
rise_transition ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.0712527, 0.3776913, 0.6925953,
1.3441263", "0.0712080, 0.3772396, 0.6947127, 1.3435555", "0.0722259, 0.3760659
, 0.6975128, 1.3425350", "0.0730970, 0.3799475, 0.7004385, 1.3437065");
}
}
}
}
cell (SDFFASX1) {
cell_footprint : "SDFFAS_arun";
area : 68.67 ;
pin_opposite("QN", "Q");
cell_leakage_power : 2.9467e+07;
driver_waveform_rise : "preDrv";
driver_waveform_fall : "preDrv";
ff (IQ,IQN) {
clocked_on : "CLK";
next_state : "(D*SE')+(SI*SE)";
preset : "SETB'";
}
test_cell () {
pin (D,CLK) {
direction : "input";
}
pin (SI) {
fanout_load : 0.081;

related_power_pin : "VDD";
related_ground_pin : "VSS";
direction : "input";
signal_type : "test_scan_in";
}
pin (SETB) {
fanout_load : 0.116;
related_power_pin : "VDD";
related_ground_pin : "VSS";
direction : "input";
}
pin (SE) {
fanout_load : 0.109;
related_power_pin : "VDD";
related_ground_pin : "VSS";
direction : "input";
signal_type : "test_scan_enable";
}
ff (IQ,IQN) {
next_state : "D";
clocked_on : "CLK";
preset : "SETB'";
}
pin (Q) {
related_power_pin : "VDD";
related_ground_pin : "VSS";
direction : "output";
function : "IQ";
signal_type : "test_scan_out";
}
pin (QN) {
related_power_pin : "VDD";
related_ground_pin : "VSS";
direction : "output";
function : "IQN";
signal_type : "test_scan_out_inverted";
}
}
test_cell () {
pin (D,CLK) {
direction : "input";
}
pin (SI) {
fanout_load : 0.081;
related_power_pin : "VDD";
related_ground_pin : "VSS";
direction : "input";
signal_type : "test_scan_in";
}
pin (SETB) {
fanout_load : 0.116;
related_power_pin : "VDD";
related_ground_pin : "VSS";
direction : "input";
}
pin (SE) {
fanout_load : 0.109;
related_power_pin : "VDD";
related_ground_pin : "VSS";
direction : "input";

signal_type : "test_scan_enable";
}
ff (IQ,IQN) {
next_state : "D";
clocked_on : "CLK";
preset : "SETB'";
}
pin (Q) {
related_power_pin : "VDD";
related_ground_pin : "VSS";
direction : "output";
function : "IQ";
signal_type : "test_scan_out";
}
pin (QN) {
related_power_pin : "VDD";
related_ground_pin : "VSS";
direction : "output";
signal_type : "test_scan_out_inverted";
}
}
pg_pin (VDD) {
voltage_name : "VDD";
pg_type : "primary_power";
}
pg_pin (VSS) {
voltage_name : "VSS";
pg_type : "primary_ground";
}
leakage_power () {
when : "(D'*CLK*SETB'*SE'*SI')";
value : "4.3775e+07";
}
leakage_power () {
when : "(D'*CLK*SETB'*SE*SI')";
value : "7.3804e+07";
}
leakage_power () {
when : "(D*CLK*SETB'*SE*SI')";
value : "4.3453e+07";
}
leakage_power () {
when : "(D'*CLK*SETB'*SE'*SI)";
value : "4.3048e+07";
}
leakage_power () {
when : "(D'*CLK'*SETB'*SE'*SI')";
value : "4.0097e+06";
}
leakage_power () {
when : "(D'*CLK*SETB*SE'*SI')";
value : "4.3053e+07";
}
leakage_power () {
when : "(D'*CLK'*SETB'*SE'*SI)";
value : "3.8152e+06";
}
leakage_power () {
when : "(D'*CLK*SETB*SE'*SI)";
value : "4.2824e+07";

}
leakage_power () {
when : "(D'*CLK'*SETB'*SE*SI')";
value : "4.2935e+07";
}
leakage_power () {
when : "(D'*CLK*SETB*SE*SI')";
value : "4.3225e+07";
}
leakage_power () {
when : "(D'*CLK*SETB*SE*SI)";
value : "5.3871e+07";
}
leakage_power () {
when : "(D'*CLK'*SETB'*SE*SI)";
value : "3.2405e+07";
}
leakage_power () {
when : "(D'*CLK*SETB'*SE*SI)";
value : "4.3426e+07";
}
leakage_power () {
when : "(D*CLK*SETB*SE'*SI')";
value : "4.3359e+07";
}
leakage_power () {
when : "(D*CLK'*SETB'*SE'*SI')";
value : "3.2404e+07";
}
leakage_power () {
when : "(D*CLK*SETB'*SE'*SI')";
value : "4.3678e+07";
}
leakage_power () {
when : "(D*CLK*SETB*SE'*SI)";
value : "4.2719e+07";
}
leakage_power () {
when : "(D*CLK'*SETB'*SE'*SI)";
value : "3.2596e+07";
}
leakage_power () {
when : "(D*CLK*SETB'*SE'*SI)";
value : "6.5522e+06";
}
leakage_power () {
when : "(D*CLK'*SETB'*SE*SI')";
value : "4.4884e+06";
}
leakage_power () {
when : "(D*CLK*SETB*SE*SI')";
value : "6.9616e+06";
}
leakage_power () {
when : "(D*CLK*SETB*SE*SI)";
value : "6.2736e+06";
}
leakage_power () {
when : "(D*CLK'*SETB'*SE*SI)";
value : "3.9508e+06";

}
leakage_power () {
when : "(D*CLK*SETB'*SE*SI)";
value : "6.2614e+06";
}
leakage_power () {
when : "(D'*CLK'*SETB*SE'*SI')";
value : "3.8000e+06";
}
pin (D) {
fanout_load : 0.898176;
related_power_pin : "VDD";
related_ground_pin : "VSS";
direction : "input";
rise_capacitance_range (1.3772, 1.625707 );
rise_capacitance : 1.3772;
capacitance : 1.6257;
fall_capacitance_range (1.625707, 1.874137 );
fall_capacitance : 1.8741;
max_transition : 1.024000;
timing () {
timing_type : "setup_rising";
related_pin : "CLK";
rise_constraint ("vio_4_4_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
index_2("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("0.2490518, 0.2600661, 0.2983666,
0.3792380", "0.2376733, 0.2487409, 0.2870334, 0.3541065", "0.2139049, 0.2282863
, 0.2689594, 0.3374118", "0.2624864, 0.2701491, 0.3076899, 0.3482958");
}
fall_constraint ("vio_4_4_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
index_2("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("0.2165197, 0.2219581, 0.2672059,
0.4152859", "0.2052874, 0.2136729, 0.2559803, 0.4090049", "0.1844206, 0.1958974
, 0.2383725, 0.3866744", "0.1875828, 0.2049024, 0.2470123, 0.3978290");
}
}
timing () {
timing_type : "hold_rising";
related_pin : "CLK";
rise_constraint ("vio_4_4_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
index_2("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("-0.1110239, -0.1222473, -0.16185
46, -0.2138714", "-0.1026823, -0.1170287, -0.1558353, -0.2087998", "-0.1117748,
-0.1194808, -0.1614250, -0.2138433", "-0.1646409, -0.1766958, -0.2169360, -0.286
5662");
}
fall_constraint ("vio_4_4_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
index_2("0.0160000, 0.0640000, 0.2560000
, 1.0240000");

values("-0.0963715, -0.1076429, -0.14627


55, -0.2561021", "-0.0911347, -0.1023161, -0.1411973, -0.2563452", "-0.0817237,
-0.0901037, -0.1324071, -0.2367979", "-0.0946454, -0.1026704, -0.1498208, -0.273
5579");
}
}
internal_power () {
when : "!CLK&!SETB&!SE&!SI&Q&!QN";
fall_power ("power_inputs_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("29.9696, 29.8230, 29.7938, 29.79
17");
}
rise_power ("power_inputs_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("29.9663, 29.8259, 29.7931, 29.79
91");
}
}
}
pin (CLK) {
fanout_load : 1.890;
related_power_pin : "VDD";
related_ground_pin : "VSS";
direction : "input";
clock : true;
min_pulse_width_low : 0.234534;
min_pulse_width_high : 0.151963;
rise_capacitance_range (3.422524, 3.422526 );
rise_capacitance : 3.422524;
capacitance : 3.422526;
fall_capacitance_range (3.422526, 3.422541 );
fall_capacitance : 3.422541;
max_transition : 1.024000;
internal_power () {
when : "!D&!SETB&!SE&!SI&Q&!QN";
fall_power ("power_inputs_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("3.1015, 3.3157, 5.5763, 7.0265")
;
}
rise_power ("power_inputs_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("3.1019, 3.3150, 5.5761, 7.0261")
;
}
}
}
pin (SETB) {
fanout_load : 2.088;
related_power_pin : "VDD";
related_ground_pin : "VSS";
direction : "input";
min_pulse_width_low : 0.257373;
rise_capacitance_range (3.683379, 3.782937 );
rise_capacitance : 3.683379;

capacitance : 3.782937;
fall_capacitance_range (3.782937, 3.882695 );
fall_capacitance : 3.882695;
max_transition : 1.024000;
timing () {
timing_type : "recovery_rising";
related_pin : "CLK";
rise_constraint ("vio_4_4_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
index_2("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("-0.0348557, -0.0268880, 0.012140
7, 0.1487608", "-0.0489788, -0.0381371, 0.0012873, 0.1353257", "-0.0700950, -0.0
591042, -0.0268016, 0.1133576", "-0.0714860, -0.0618184, -0.0214097, 0.1055476")
;
}
}
timing () {
timing_type : "removal_rising";
related_pin : "CLK";
rise_constraint ("vio_4_4_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
index_2("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("0.1051650, 0.0911476, 0.0581888,
-0.0315562", "0.1164424, 0.1054228, 0.0692594, -0.0299636", "0.1371522, 0.12301
42, 0.0916341, -0.0054092", "0.1262318, 0.1186491, 0.0932730, -0.0097504");
}
}
internal_power () {
when : "!D&!CLK&!SE&!SI&Q&!QN";
fall_power ("power_inputs_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("41.9174, 33.7464, 29.6882, 29.49
29");
}
rise_power ("power_inputs_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("29.4862, 31.0262, 30.8069, 30.79
33");
}
}
}
pin (SE) {
fanout_load : 0.439;
related_power_pin : "VDD";
related_ground_pin : "VSS";
nextstate_type : "scan_enable";
direction : "input";
rise_capacitance_range (0.242272, 0.795063 );
rise_capacitance : 0.242272;
capacitance : 0.795063;
fall_capacitance_range (0.795063, 1.347954 );
fall_capacitance : 1.347954;
max_transition : 1.024000;
timing () {

timing_type : "setup_rising";
related_pin : "CLK";
rise_constraint ("vio_4_4_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
index_2("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("0.2489712, 0.2541888, 0.2758461,
0.3187481", "0.2406353, 0.2430054, 0.2649042, 0.3078161", "0.2168070, 0.2251669
, 0.2490117, 0.2866456", "0.2653027, 0.2673473, 0.2812331, 0.2945282");
}
fall_constraint ("vio_4_4_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
index_2("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("0.2223541, 0.2278352, 0.2467390,
0.3547342", "0.2112330, 0.2165146, 0.2383238, 0.3422906", "0.1958745, 0.2006141
, 0.2255538, 0.3298089", "0.2117978, 0.2103817, 0.2340770, 0.3368945");
}
}
timing () {
timing_type : "hold_rising";
related_pin : "CLK";
rise_constraint ("vio_4_4_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
index_2("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("-0.1109646, -0.1193578, -0.14148
70, -0.1710956", "-0.1029010, -0.1141199, -0.1355828, -0.1659397", "-0.1112738,
-0.1165845, -0.1373371, -0.1760931", "-0.1677072, -0.1727766, -0.1910552, -0.234
9688");
}
fall_constraint ("vio_4_4_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
index_2("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("-0.1111154, -0.1166744, -0.13509
18, -0.2140142", "-0.1057145, -0.1113965, -0.1296782, -0.2085420", "-0.0936546,
-0.0987573, -0.1211663, -0.2101130", "-0.0975477, -0.1026276, -0.1238375, -0.226
9352");
}
}
internal_power () {
when : "!D&!CLK&!SETB&!SI&Q&!QN";
fall_power ("power_inputs_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("1.3417, 1.4798, 2.6335, 7.9981")
;
}
rise_power ("power_inputs_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("1.3418, 1.4793, 2.6335, 7.9980")
;
}
}

}
pin (SI) {
fanout_load : 0.064;
related_power_pin : "VDD";
related_ground_pin : "VSS";
nextstate_type : "scan_in";
direction : "input";
rise_capacitance_range (0.224533, 0.224533 );
rise_capacitance : 0.224533;
capacitance : 0.1159789;
fall_capacitance_range (0.115978, 0.741134 );
fall_capacitance : 0.741134;
max_transition : 1.024000;
timing () {
timing_type : "setup_rising";
related_pin : "CLK";
rise_constraint ("vio_4_4_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
index_2("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("0.2519627, 0.2629578, 0.3041443,
0.3863712", "0.2408123, 0.2516851, 0.2929424, 0.3598891", "0.2197746, 0.2341724
, 0.2712684, 0.3403053", "0.2702532, 0.2703301, 0.3113157, 0.3464336");
}
fall_constraint ("vio_4_4_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
index_2("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("0.2193694, 0.2278422, 0.2700814,
0.4226216", "0.2110704, 0.2166126, 0.2620102, 0.4113559", "0.1904138, 0.2045799
, 0.2445241, 0.3926241", "0.2022077, 0.2176067, 0.2526651, 0.4033874");
}
}
timing () {
timing_type : "hold_rising";
related_pin : "CLK";
rise_constraint ("vio_4_4_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
index_2("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("-0.1139706, -0.1252074, -0.16437
10, -0.2196745", "-0.1056928, -0.1197160, -0.1594413, -0.2111971", "-0.1140230,
-0.1225293, -0.1643919, -0.2196379", "-0.1706447, -0.1791203, -0.2258786, -0.292
4438");
}
fall_constraint ("vio_4_4_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
index_2("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("-0.0992929, -0.1076074, -0.14958
20, -0.2580932", "-0.0938745, -0.1022308, -0.1419187, -0.2549708", "-0.0878522,
-0.0928320, -0.1372489, -0.2389356", "-0.0974648, -0.1026431, -0.1529862, -0.277
1323");
}
}
internal_power () {

when : "!D&!CLK&!SETB&!SE&Q&!QN";
fall_power ("power_inputs_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("1.0127, 1.0824, 1.5223, 3.3251")
;
}
rise_power ("power_inputs_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("-1.0128, -1.0823, -1.5225, -3.32
50");
}
}
}
pin (Q) {
related_power_pin : "VDD";
related_ground_pin : "VSS";
power_down_function : "!VDD + VSS";
direction : "output";
function : "IQ";
max_capacitance : 208.000000;
max_transition : 1.339640;
internal_power () {
related_pin : "CLK";
rise_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("-0.0901808, 0.0901895, 0.0901819
, 0.0901821", "-0.0901814, 0.0901862, 0.0901834, 0.0901890", "-0.0901860, 0.0901
899, 0.0901814, 0.0901828", "-0.0901898, 0.0901858, 0.0901840, 0.0901837");
}
fall_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("-0.0170726, 0.0170704, 0.0170727
, 0.0170720", "0.0170732, 0.0170710, 0.0170776, 0.0170734", "-0.0170725, 0.01707
17, 0.0170708, 0.0170736", "-0.0170754, 0.0170796, 0.0170738, 0.0170728");
}
}
internal_power () {
related_pin : "SETB";
rise_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.182864, 0.182899, 0.182824, 0.
182851", "0.182826, 0.182800, 0.182838, 0.182872", "-0.182879, 0.182848, 0.18284
9, 0.182849", "0.182853, 0.182877, 0.182883, 0.182871");
}
fall_power (scalar) {
values("0.000000");
}
}
timing () {
timing_type : "rising_edge";
related_pin : "CLK";
cell_rise ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.3299518, 0.3762093, 0.4761012,

0.8927514", "0.3403947, 0.3867098, 0.4865615, 0.9040004", "0.3593819, 0.4055439


, 0.5060616, 0.9228405", "0.3618474, 0.4081153, 0.5079875, 0.9240848");
}
rise_transition ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.0650228, 0.1460623, 0.3642208,
1.3396396", "0.0648513, 0.1459121, 0.3633084, 1.3364233", "0.0650066, 0.1450284
, 0.3637350, 1.3375262", "0.0648121, 0.1460982, 0.3635949, 1.3374962");
}
cell_fall ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.3288397, 0.3711957, 0.4571636,
0.7953451", "0.3396284, 0.3819794, 0.4679633, 0.8065475", "0.3607903, 0.4031807
, 0.4893226, 0.8278387", "0.3655097, 0.4078241, 0.4938195, 0.8319413");
}
fall_transition ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.0545364, 0.1116471, 0.2654963,
0.9516936", "0.0541661, 0.1113745, 0.2657810, 0.9491187", "0.0542558, 0.1109887
, 0.2656349, 0.9524856", "0.0543675, 0.1111427, 0.2650804, 0.9532174");
}
}
timing () {
timing_type : "preset";
timing_sense : "negative_unate";
related_pin : "SETB";
cell_rise ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.3791570, 0.4261206, 0.5259658,
0.9417320", "0.3883324, 0.4353034, 0.5352099, 0.9507947", "0.4341496, 0.4808342
, 0.5807890, 0.9965511", "0.5836218, 0.6307546, 0.7307516, 1.1454850");
}
rise_transition ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.0683648, 0.1465389, 0.3653153,
1.3325588", "0.0681724, 0.1461661, 0.3651064, 1.3370430", "0.0686031, 0.1463984
, 0.3650044, 1.3293925", "0.0704138, 0.1482406, 0.3678059, 1.3309841");
}
}
}
pin (QN) {
related_power_pin : "VDD";
related_ground_pin : "VSS";
power_down_function : "!VDD + VSS";
direction : "output";
function : "IQN";
max_capacitance : 208.000000;
max_transition : 1.367413;
internal_power () {
related_pin : "CLK";
rise_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("-5.5585863, -5.6107705, -6.89678
23, -6.7464425", "-5.5588478, -5.6108146, -6.8966199, -6.7461229", "-5.5587307,

-5.6103298, -6.8965372, -6.7467472", "-5.5589449, -5.6106701, -6.8962909, -6.746


6029");
}
fall_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("95.185522, 94.769949, 71.629110,
85.286988", "95.188575, 94.769276, 71.622539, 85.281122", "95.188631, 94.769557
, 71.623274, 85.282159", "95.187008, 94.766195, 71.622032, 85.285042");
}
}
internal_power () {
related_pin : "SETB";
fall_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("50.180429, 49.7663877, 26.621721
8, 40.2811275", "50.180117, 49.7645335, 26.6290496, 40.2884700", "50.182402, 49.
761397, 26.627646, 40.288503", "29.107932, 50.184649, 49.7647264, 26.626654");
}
rise_power (scalar) {
values("0.000000");
}
}
timing () {
timing_type : "rising_edge";
related_pin : "CLK";
cell_rise ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.2494625, 0.3020140, 0.4143620,
0.8385936", "0.2598767, 0.3126014, 0.4250162, 0.8499877", "0.2805306, 0.3333312
, 0.4458948, 0.8708991", "0.2849629, 0.3376434, 0.4501231, 0.8741782");
}
rise_transition ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.1057383, 0.1882821, 0.4122820,
1.3660488", "0.1058652, 0.1882024, 0.4131845, 1.3643159", "0.1043203, 0.1875435
, 0.4135379, 1.3635476", "0.1053569, 0.1876552, 0.4129160, 1.3674134");
}
cell_fall ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.2476593, 0.3019277, 0.4005949,
0.7049699", "0.2583544, 0.3123636, 0.4111241, 0.7154351", "0.2773861, 0.3311728
, 0.4299540, 0.7341948", "0.2800683, 0.3336719, 0.4321042, 0.7362986");
}
fall_transition ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.1294692, 0.1848076, 0.3129495,
0.8161598", "0.1291033, 0.1844413, 0.3130794, 0.8155439", "0.1291669, 0.1842887
, 0.3127378, 0.8155621", "0.1289409, 0.1844700, 0.3129690, 0.8145510");
}
}
timing () {
timing_type : "clear";
timing_sense : "positive_unate";
related_pin : "SETB";

0.7344956",
, 0.5033567,

0.7900353",
, 0.3190097,

cell_fall ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.2920348, 0.3491815, 0.4488901,
"0.3013473, 0.3584022, 0.4580463, 0.7443532", "0.3469663, 0.4038875
0.7895232", "0.4983144, 0.5532595, 0.6525761, 0.9396443");
}
fall_transition ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.1526386, 0.2070226, 0.3191732,
"0.1521506, 0.2053410, 0.3186892, 0.7899001", "0.1514501, 0.2055970
0.7902292", "0.1757439, 0.2272327, 0.3361107, 0.8006376");
}
}
}

}
cell (MUX41X1) {
cell_footprint : "MUX41_GANESH";
area : 26.04 ;
cell_leakage_power : 5.283919e+05;
driver_waveform_rise : "preDrv";
driver_waveform_fall : "preDrv";
pg_pin (VDD) {
voltage_name : "VDD";
pg_type : "primary_power";
}
pg_pin (VSS) {
voltage_name : "VSS";
pg_type : "primary_ground";
}
leakage_power () {
when : "(IN1'*IN2'*IN3'*IN4'*S0'*S1')";
value : " 1.544e+06 ";
}
leakage_power () {
when : "(IN1'*IN2'*IN3'*IN4'*S0*S1)";
value : "9.746e+06";
}
leakage_power () {
when : "(IN1'*IN2'*IN3'*IN4*S0'*S1')";
value : "1.544e+06 ";
}
leakage_power () {
when : "(IN1'*IN2'*IN3'*IN4*S0'*S1)";
value : "9.741e+06";
}
leakage_power () {
when : "(IN1'*IN2'*IN3'*IN4*S0*S1')";
value : "1.747e+06";
}
leakage_power () {
when : "(IN1'*IN2'*IN3'*IN4*S0*S1)";
value : "8.327e+06";
}
leakage_power () {
when : "(IN1'*IN2'*IN3*IN4'*S0'*S1')";
value : "1.743e+06 ";
}

leakage_power () {
when : "(IN1'*IN2'*IN3*IN4'*S0'*S1)";
value : "8.323e+06";
}
leakage_power () {
when : "(IN1'*IN2'*IN3*IN4'*S0*S1')";
value : "1.548e+06";
}
leakage_power () {
when : "(IN1'*IN2'*IN3*IN4'*S0*S1)";
value : "9.746e+06";
}
leakage_power () {
when : "(IN1'*IN2'*IN3*IN4*S0'*S1')";
value : "1.743e+06 ";
}
leakage_power () {
when : "(IN1'*IN2'*IN3*IN4*S0'*S1)";
value : "8.323e+06";
}
leakage_power () {
when : "(IN1'*IN2'*IN3*IN4*S0*S1')";
value : "1.747e+06";
}
leakage_power () {
when : "(IN1'*IN2'*IN3*IN4*S0*S1)";
value : "8.327e+06";
}
leakage_power () {
when : "(IN1'*IN2*IN3'*IN4'*S0'*S1')";
value : "1.544e+06 ";
}
leakage_power () {
when : "(IN1'*IN2*IN3'*IN4'*S0'*S1)";
value : "9.741e+06 ";
}
leakage_power () {
when : "(IN1'*IN2*IN3'*IN4'*S0*S1')";
value : " 2.438e+06 ";
}
leakage_power () {
when : "(IN1'*IN2*IN3'*IN4'*S0*S1)";
value : "6.737e+06";
}
leakage_power () {
when : "(IN1'*IN2*IN3'*IN4*S0'*S1')";
value : "1.544e+06 ";
}
leakage_power () {
when : "(IN1'*IN2*IN3'*IN4*S0'*S1)";
value : "9.741e+06";
}
leakage_power () {
when : "(IN1'*IN2*IN3'*IN4*S0*S1')";
value : " 2.582e+06";
}
leakage_power () {
when : "(IN1'*IN2*IN3'*IN4*S0*S1)";
value : "5.360e+06";
}

leakage_power () {
when : "(IN1'*IN2*IN3*IN4'*S0'*S1')";
value : "1.866e+06 ";
}
leakage_power () {
when : "(IN1'*IN2*IN3*IN4'*S0'*S1)";
value : "8.065e+06";
}
leakage_power () {
when : "(IN1'*IN2*IN3*IN4'*S0*S1')";
value : "2.329e+06";
}
leakage_power () {
when : "(IN1'*IN2*IN3*IN4'*S0*S1)";
value : " 6.891e+06";
}
leakage_power () {
when : "(IN1'*IN2*IN3*IN4*S0'*S1')";
value : "1.833e+06 ";
}
leakage_power () {
when : "(IN1'*IN2*IN3*IN4*S0'*S1)";
value : "8.104e+06";
}
leakage_power () {
when : "(IN1'*IN2*IN3*IN4*S0*S1')";
value : "2.488e+06 ";
}
leakage_power () {
when : "(IN1'*IN2*IN3*IN4*S0*S1)";
value : "5.501e+06";
}
leakage_power () {
when : "(IN1*IN2'*IN3'*IN4'*S0'*S1')";
value : "2.434e+06 ";
}
leakage_power () {
when : "(IN1*IN2'*IN3'*IN4'*S0'*S1)";
value : "6.733e+06";
}
leakage_power () {
when : "(IN1*IN2'*IN3'*IN4'*S0*S1')";
value : "1.548e+06";
}
leakage_power () {
when : "(IN1*IN2'*IN3'*IN4'*S0*S1)";
value : " 9.746e+06";
}
leakage_power () {
when : "(IN1*IN2'*IN3'*IN4*S0'*S1')";
value : "2.326e+06 ";
}
leakage_power () {
when : "(IN1*IN2'*IN3'*IN4*S0'*S1)";
value : "6.887e+06";
}
leakage_power () {
when : "(IN1*IN2'*IN3'*IN4*S0*S1')";
value : " 1.870e+06";
}

leakage_power () {
when : "(IN1*IN2'*IN3'*IN4*S0*S1)";
value : " 8.070e+06";
}
leakage_power () {
when : "(IN1*IN2'*IN3*IN4'*S0'*S1')";
value : "2.578e+06";
}
leakage_power () {
when : "(IN1*IN2'*IN3*IN4'*S0'*S1)";
value : " 5.356e+06";
}
leakage_power () {
when : "(IN1*IN2'*IN3*IN4'*S0*S1')";
value : "1.548e+06";
}
leakage_power () {
when : "(IN1*IN2'*IN3*IN4'*S0*S1)";
value : " 9.745e+06";
}
leakage_power () {
when : "(IN1*IN2'*IN3*IN4*S0'*S1')";
value : "2.484e+06 ";
}
leakage_power () {
when : "(IN1*IN2'*IN3*IN4*S0'*S1)";
value : "5.497e+06";
}
leakage_power () {
when : "(IN1*IN2'*IN3*IN4*S0*S1')";
value : "1.836e+06";
}
leakage_power () {
when : "(IN1*IN2'*IN3*IN4*S0*S1)";
value : " 8.109e+06";
}
leakage_power () {
when : "(IN1*IN2*IN3'*IN4'*S0'*S1')";
value : " 2.434e+06 ";
}
leakage_power () {
when : "(IN1*IN2*IN3'*IN4'*S0'*S1)";
value : "6.733e+06";
}
leakage_power () {
when : "(IN1*IN2*IN3'*IN4'*S0*S1')";
value : "2.438e+06";
}
leakage_power () {
when : "(IN1*IN2*IN3'*IN4'*S0*S1)";
value : " 6.737e+06";
}
leakage_power () {
when : "(IN1*IN2*IN3'*IN4*S0'*S1')";
value : " 2.348e+06 ";
}
leakage_power () {
when : "(IN1*IN2*IN3'*IN4*S0'*S1)";
value : "6.853e+06";
}

leakage_power () {
when : "(IN1*IN2*IN3'*IN4*S0*S1')";
value : "2.675e+06";
}
leakage_power () {
when : "(IN1*IN2*IN3'*IN4*S0*S1)";
value : "5.232e+06";
}
leakage_power () {
when : "(IN1*IN2*IN3*IN4'*S0'*S1')";
value : "2.671e+06 ";
}
leakage_power () {
when : "(IN1*IN2*IN3*IN4'*S0'*S1)";
value : "5.228e+06";
}
leakage_power () {
when : "(IN1*IN2*IN3*IN4'*S0*S1')";
value : "2.352e+06";
}
leakage_power () {
when : "(IN1*IN2*IN3*IN4'*S0*S1)";
value : "6.857e+06";
}
leakage_power () {
when : "(IN1*IN2*IN3*IN4*S0'*S1')";
value : "2.577e+06 ";
}
leakage_power () {
when : "(IN1*IN2*IN3*IN4*S0'*S1)";
value : "5.355e+06 ";
}
leakage_power () {
when : "(IN1*IN2*IN3*IN4*S0*S1')";
value : "2.581e+06 ";
}
leakage_power () {
when : "(IN1*IN2*IN3*IN4*S0*S1)";
value : "5.359e+06";
}
pin (IN1) {
fanout_load : 0.084;
related_power_pin : "VDD";
related_ground_pin : "VSS";
direction : "input";
rise_capacitance_range (2.0258, 2.0258 );
rise_capacitance : 2.0258;
capacitance : 1.26026;
fall_capacitance_range (0.49472, 0.49472 );
fall_capacitance : 0.49472;
max_transition : 1.024000;
internal_power () {
when : "!IN2&!IN3&!IN4&!S0&S1";
rise_power ("power_inputs_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("0.0000001858, 0.00000011373, 0.0
000068670, 0.000033607");
}

fall_power ("power_inputs_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("0.00000014886, 0.00000010338, 0
.0000050019, 0.000024120");
}
}
}
pin (IN2) {
fanout_load : 0.087;
related_power_pin : "VDD";
related_ground_pin : "VSS";
direction : "input";
rise_capacitance_range (7.9562, 7.9562 );
rise_capacitance : 7.9562;
capacitance : 6.23105;
fall_capacitance_range (4.5059, 4.5059 );
fall_capacitance : 4.5059;
max_transition : 1.024000;
internal_power () {
when : "!IN1&!IN3&!IN4&!S0&!S1";
rise_power ("power_inputs_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("0.0000041494, 0.0000025055, 0.00
00014668, 0.000081702");
}
fall_power ("power_inputs_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("0.0000082237, 0.0000046999, 0.00
00023272, 0.000010865");
}
}
}
pin (IN3) {
fanout_load : 0.091;
related_power_pin : "VDD";
related_ground_pin : "VSS";
direction : "input";
rise_capacitance_range (6.9108, 6.9108 );
rise_capacitance : 6.9108;
capacitance : 6.68965;
fall_capacitance_range (6.4685, 6.4685 );
fall_capacitance : 6.4685;
max_transition : 1.024000;
internal_power () {
when : "!IN1&!IN2&!IN4&!S0&!S1";
rise_power ("power_inputs_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("0.0000079439, 0.000005394, 0.000
0029713, 0.0000012425");
}
fall_power ("power_inputs_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("0.0000027392, 0.0000018181, 0.00
00010670, 0.0000038051");
}

}
}
pin (IN4) {
fanout_load : 0.085;
related_power_pin : "VDD";
related_ground_pin : "VSS";
direction : "input";
rise_capacitance_range (6.4656, 6.4656 );
rise_capacitance : 6.4656;
capacitance : 6.68775;
fall_capacitance_range (6.9099, 6.9099 );
fall_capacitance : 6.9099;
max_transition : 1.024000;
internal_power () {
when : "!IN1&!IN2&!IN3&!S0&!S1";
rise_power ("power_inputs_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("0.0000074754, 0.0000049962, 0.00
00033094, 0.0000012422");
}
fall_power ("power_inputs_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("0.0000028993, 0.0000016721, 0.00
00010715, 0.0000048670");
}
}
}
pin (S0) {
fanout_load : 0.129;
related_power_pin : "VDD";
related_ground_pin : "VSS" ;
direction : "input";
rise_capacitance_range (2.2111, 2.2111 );
rise_capacitance : 2.2111 ;
capacitance : 1.6121 ;
fall_capacitance_range (1.0131, 1.0131 );
fall_capacitance : 1.0131 ;
max_transition : 1.024000 ;
internal_power () {
when : "!IN1&!IN2&!IN3&!IN4&!S1";
rise_power ("power_inputs_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("0.000002713, 0.0000022430, 0.000
0021152, 0.0000012191");
}
fall_power ("power_inputs_1") {
index_1("0.0160000, 0.0320000, 0.0640000
, 0.1280000");
values("0.00014617, 0.00016181, 0.000390
34, 0.000016338");
}
}
}
pin (S1) {
fanout_load : 0.245;
related_power_pin : "VDD";
related_ground_pin : "VSS";

direction : "input";
rise_capacitance_range (1.9201, 1.9201 );
rise_capacitance : 1.9201;
capacitance : 2.5666;
fall_capacitance_range (3.2131, 3.2131 );
fall_capacitance : 3.2131;
max_transition : 1.024000;
internal_power () {
when : "!IN1&!IN2&!IN3&!IN4&!S0";
rise_power ("power_inputs_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("0.0000011125, 0.0000094879, 0.00
0066057, 0.000036706");
}
fall_power ("power_inputs_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("0.00057046, 0.00059532, 0.000830
67, 0.000015710");
}
}
}
pin (Q) {
related_power_pin : "VDD";
related_ground_pin : "VSS";
power_down_function : "!VDD + VSS";
direction : "output";
function : "(IN1*S1'*S0')+(IN3*S1'*S0)+(IN4*S1*S0)+(IN2*
S1*S0')";
max_capacitance : 104.000000;
max_transition : 0.725997;
internal_power () {
related_pin : "IN1";
rise_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 7.5, 26, 104");
values("21.1617576, 20.3743310, 19.45772
44, 17.4701970","21.0194144, 20.1783105, 19.3136638, 17.3006610", "22.2350991, 1
1.3787075, 20.2863063, 18.1714423","33.9700537, 32.1826244, 29.7768272, 26.73883
50");
}
fall_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 7.5, 26, 104");
values("25.2206367, 23.6302317, 22.13336
13, 20.5120148", "25.2623826, 23.6605948, 22.1702805, 20.5632809", "26.3827785,
24.7181706, 23.0478867, 21.3548833","37.0560243, 34.9553792, 31.8390789, 28.8080
234");
}
}
internal_power () {
related_pin : "IN2";
rise_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 7.5, 26, 104");
values("18.0802362, 17.2093791, 16.27360
40, 4.3143114", "17.9423705, 17.0539233, 16.1390669, 14.1455867", "19.2152213, 1
8.2780298, 18.1815999, 16.0723516", "30.8361219, 29.1334501, 26.6712422, 23.7301
678");

}
fall_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 7.5, 26, 104");
values("21.1547168, 19.5591306, 18.10814
90, 16.5754741", "21.2028606, 19.5864268, 18.1294068, 16.6235618", "22.2986248,
20.6544871, 19.0826414, 17.4227745", "33.0932782, 30.9905596, 27.8666750, 24.856
7422");
}
}
internal_power () {
related_pin : "IN3";
rise_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 7.5, 26, 104");
values("16.8230418, 14.9771413, 15.07855
36, 13.1187804","13.6567302, 15.8060416, 14.9591238, 13.0555212", "17.9413481, 1
6.9801271, 15.9087315, 13.9486239", "29.6297554, 27.8951708, 25.5147372, 22.5573
997");
}
fall_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 7.5, 26, 104");
values("24.0812830, 22.5131073, 21.14726
51, 19.7381473", "24.1010596, 22.5485365, 21.1639254, 19.7561518", "25.2271936,
23.6038730, 22.1432354, 20.5702603", "36.0459187, 33.9405641, 30.9590081, 28.094
6014");
}
}
internal_power () {
related_pin : "IN4";
rise_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 7.5, 26, 104");
values("15.8732342, 14.9868026, 14.17156
19, 12.2696079", "15.7178155, 14.8909661, 13.9831991, 12.0113094", "17.1512167,
16.1885015, 15.0439952, 12.9599649", "28.7599650, 27.0500766, 24.7627217, 21.932
5161");
}
fall_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 7.5, 26, 104");
values("23.9419780,21.3936130, 21.090345
0, 9.7509899", "23.9683882, 22.4324712, 21.1314266, 19.7847813", "25.2032685, 23
.5850917, 21.1720383, 20.6638205", "36.2525013, 34.1567622, 32.1088395, 28.27553
58");
}
}
internal_power () {
related_pin : "S0";
rise_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 7.5, 26, 104");
values("11.5612849, 11.1513182, 10.71485
15, 9.3683843", "11.7027847, 11.2784243, 10.7901365, 9.8215607", "14.6646537, 13
.9154031, 13.0964737, 11.3571615", "30.7477641, 28.6807648, 26.4102778, 23.48690
14");
}
fall_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");

index_2("1, 7.5, 26, 104");


values("17.8595731, 16.8857394, 16.43339
03, 15.8986028", "18.0469551, 17.0518697, 16.5902627, 16.0859319","20.5528219, 1
9.3963751, 18.6854448, 17.9889572"," 35.1683769, 33.1618745, 30.6226323, 28.6250
049");
}
}
internal_power () {
related_pin : "S1";
rise_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 7.5, 26, 104");
values("17.3335025, 16.5121255, 15.73857
94, 14.0420729", "17.3247361, 16.6033433, 15.7515215, 14.3413331", "20.7309269,
19.7886624, 18.6438737, 16.7176975", "41.1218658, 40.2307021, 37.7576030, 34.421
2198");
}
fall_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 7.5, 26, 104");
values("31.7930938, 30.2634407, 28.93505
18, 27.5226923", "29.1321202, 27.5645953, 26.2318671, 23.8349252", "32.2941512,
30.6482751, 29.1397261, 27.5108913", "51.5343773, 49.3450478, 46.1173407, 39.300
7046");
}
}
timing () {
related_pin : "IN1";
timing_sense : "positive_unate";
cell_rise ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 7.5, 26, 104");
values("0.1951881, 0.2515269, 0.2918763,
0.5276431", "0.2104350, 0.2467010, 0.2969601, 0.5129325", "0.2355542, 0.27163
52, 0.3217770, 0.5370909", "0.2874215, 0.3363418, 0.3904992, 0.6190913");
}
rise_transition ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 7.5, 26, 104");
values("0.038611, 0.059512 0.018091 0.07
0142 ", "0.038611 0.059512 0.018091 0.70142", "0.038611 0.059512 0.18091 0.70142
", "0.038611 0.018091 0.18091 0.70142");
}
cell_fall ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 7.5, 26, 104");
values("0.2296878, 0.2785230, 0.3281846,
0.4956451", "0.2243285, 0.3131663, 0.3227732, 0.4903505", "0.2416646, 0.2806124
, 0.3398646, 0.5276290", "0.2991033, 0.3703983, 0.3938431, 0.5969005");
}
fall_transition ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 7.5, 26, 104");
values("0.041451, 0.071550 0.021929 0.08
2009", "0.041451 0.071550 0.021929 0.82009 ", "0.041451 0.071550 0.21929 0.8200
9 ", "0.041451 0.071550 0.21929 0.82009 ");
}
}

timing () {
related_pin : "IN2";
timing_sense : "positive_unate";
cell_rise ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 7.5, 26, 104");
values("0.2721307, 0.2839083, 0.3175741,
0.5742932", "0.2774816, 0.3037438, 0.3639859, 0.5799013", "0.29937633, 0.29984
51, 0.3899085, 0.5949470", "0.3762406, 0.3959716, 0.4693005, 0.6877319");
}
rise_transition ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 7.5, 26, 104");
values("0.1777680, 0.2185210, 0.2984558,
0.6980004", "0.1780331, 0.2185912, 0.3284347, 0.7964868", "0.1827987, 0.1902625
, 0.3301206, 0.8002833","0.1910690, 0.2531287, 0.3622928, 0.8225537");
}
cell_fall ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 7.5, 26, 104");
values("0.3006482, 0.2995851, 0.3891433,
0.5765664", "0.2952665, 0.3337480, 0.5936928, 0.6811818", "0.2917947, 0.8507085
, 0.8100346, 0.5978977", "0.4770174, 0.4079577, 0.4713656, 0.6639794");
}
fall_transition ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 7.5, 26, 104");
values("0.0961141, 0.266858, 0.2040627,
0.5221689", "0.0959298, 0.1263331, 0.2028241, 0.5237284", "0.1000279, 0.1298512,
0.2060330, 0.5236066", "0.1292118, 0.1591817, 0.2339998, 0.5447347");
}
}
timing () {
related_pin : "IN3";
timing_sense : "positive_unate";
cell_rise ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 7.5, 26, 104");
values("0.4663240, 0.3924907, 0.4530042,
0.6682446", "0.2717063, 0.4978306, 0.2584961, 0.4731281", "0.1971612, 0.2230465
, 0.4829212, 0.4978263", "0.4677538, 0.2970947, 0.3610681, 0.6791490");
}
rise_transition ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 7.5, 26, 104");
values("0.0755162, 0.1165130, 0.2267040,
0.6979798", "0.1758213, 0.2162207, 0.3264406, 0.6994801", "0.1798952, 0.2187485
, 0.3282705, 0.6997699", "0.2076918, 0.3474692, 0.4593557, 0.8220025");
}
cell_fall ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 7.5, 26, 104");
values("0.2953576, 0.3232902, 0.3817653,
0.5677319", "0.3003962, 0.4284739, 0.3868165, 0.5728071", "0.3171129, 0.3451830
, 0.434752, 0.7895610", "0.8724095, 0.9027492, 0.9657096, 0.8571497");
}
fall_transition ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 7.5, 26, 104");

values("0.0930178, 0.1228900, 0.2000717,


0.5183207", "0.9930723, 0.2231524, 0.4000210, 0.6185579", "0.3972237, 0.2271203
, 0.3015772, 0.6199668", "0.2277136, 0.2564650, 0.3313422, 0.7411497");
}
}
timing () {
related_pin : "IN4";
timing_sense : "positive_unate";
cell_rise ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 7.5, 26, 104");
values("0.1600839, 0.1859391, 0.2461226,
0.4606540", "0.3653949, 0.2913769, 0.3514031, 0.5664394", "0.2913741, 0.3172175
, 0.3768772, 0.6916496", "0.1620768, 0.3907166, 0.4542403, 0.6726041");
}
rise_transition ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 7.5, 26, 104");
values("0.1751039, 0.2149268, 0.3251829,
0.7981598", "0.2749856, 0.2149836, 0.3251263, 0.8967870", "0.1780997, 0.1969193
, 0.2968360, 0.9994748", "0.8084104, 0.9464590, 0.8579695, 0.8259972");
}
cell_fall ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 7.5, 26, 104");
values("0.2913750, 0.3193955, 0.6774669,
0.8631074", "0.2957235, 0.2933410, 0.3815469, 0.5672147", "0.2821984, 0.2902047
, 0.3983504, 0.5840201", "0.2967134, 0.3972037, 0.3994831, 0.7505818");
}
fall_transition ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 7.5, 26, 104");
values("0.2922722, 0.3222387, 0.2983763,
0.6182879", "0.1915712, 0.1910580, 0.1983593, 0.5185419", "0.1961065, 0.225040
3, 0.4005764, 0.6189025", "0.2269392, 0.2566233, 0.3295854, 0.6416907");
}
}
timing () {
related_pin : "S0";
timing_sense : "non_unate";
cell_rise ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 7.5, 26, 104");
values("0.1746342, 0.3005375, 0.2607860,
0.4761901", "0.0864519, 0.1121627, 0.1720638, 0.4876902", "0.2961679, 0.541538
1, 0.3005701, 0.5156749", "0.3444568, 0.3757946, 0.3413894, 0.5625171");
}
rise_transition ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 7.5, 26, 104");
values("0.1594645, 0.2016269, 0.3157969,
0.7940175", "0.1591865, 0.2614540, 0.3163776, 0.8061940", "0.1708135, 0.280231
7, 0.3225530, 0.7962582", "0.2202869, 0.3563922, 0.4624875, 0.8259329");
}
cell_fall ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 7.5, 26, 104");
values("0.0991510, 0.1243556, 0.1796277,
0.3643383", "0.2103297, 0.2353427, 0.3904065, 0.4751714", "0.3488151, 0.272964

1, 0.3272330, 0.5112468", "0.3383725, 0.3655043, 0.4204539, 0.6056721");


}
fall_transition ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 7.5, 26, 104");
values("0.1632107, 0.2951149, 0.3779399,
0.6093971", "0.1626711, 0.2946307, 0.2774070, 0.6095732", "0.2702762, 0.199925
4, 0.2803753, 0.6104718", "0.2055074, 0.2324269, 0.3049632, 0.6258007");
}
}
timing () {
related_pin : "S1";
timing_sense : "non_unate";
cell_rise ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 7.5, 26, 104");
values("0.2425084, 0.2685634, 0.2290785
, 0.4440027", "0.4533517, 0.3798442, 0.3398810, 0.5551986", "0.2968630, 0.322849
7, 0.3824553, 0.5968056", "0.3928243, 0.4222210, 0.4861202, 0.7026563");
}
rise_transition ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 7.5, 26, 104");
values("0.1736967, 0.2134736, 0.4240415,
0.7966466", "0.1738904, 0.2138424, 0.3246572, 0.8104879", "0.1798936, 0.2282483
, 0.3281950, 0.7988790", "0.2202007, 0.3562406, 0.3615643, 0.8230774");
}
cell_fall ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 7.5, 26, 104");
values("0.2750176, 0.3030909, 0.4614651,
0.5477011", "0.2836654, 0.3117366, 0.3702920, 0.5564322", "0.3212658, 0.3491885
, 0.4073655, 0.5934238", "0.4077422, 0.4377577, 0.4999127, 0.6918792");
}
fall_transition ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 7.5, 26, 104");
values("0.1923446, 0.2226862, 0.3993176,
0.6177785", "0.1921396, 0.2223564, 0.3993176, 0.6183600", "0.1981865, 0.2271002
, 0.3026238, 0.6198182", "0.2313846, 0.2608174, 0.3345109, 0.6417894");
}
}
}
}
cell (FADDX1) {
cell_footprint : "FADD_naresh";
area : 39.912 ;
cell_leakage_power : 1.0087e+09;
driver_waveform_rise : "preDrv";
driver_waveform_fall : "preDrv";
pg_pin (VDD) {
voltage_name : "VDD";
pg_type : "primary_power";
}
pg_pin (VSS) {
voltage_name : "VSS";
pg_type : "primary_ground";
}

leakage_power () {
when : "(A'*B'*CI)";
value : "1.2164e+09";
}
leakage_power () {
when : "(A'*B'*CI')";
value : "6.1555e+08";
}
leakage_power () {
when : "(A'*B*CI)";
value : "1.8095e+09";
}
leakage_power () {
when : "(A'*B*CI')";
value : "1.2923e+09";
}
leakage_power () {
when : "(A*B'*CI)";
value : "3.7944e+08";
}
leakage_power () {
when : "(A*B'*CI')";
value : "8.8985e+08";
}
leakage_power () {
when : "(A*B*CI)";
value : "1.2904e+09";
}
leakage_power () {
when : "(A*B*CI')";
value : "5.7648e+08";
}
pin (A) {
fanout_load : 0.1514;
related_power_pin : "VDD";
related_ground_pin : "VSS";
direction : "input";
rise_capacitance_range (1.2269, 5.0594 );
rise_capacitance : 5.0594;
capacitance : 1.2269;
fall_capacitance_range (1.2269, 2.4487);
fall_capacitance : 2.4487;
max_transition : 1.024;
internal_power () {
when : "!B&!CI";
fall_power ("power_inputs_1") {
index_1("0.016, 0.064, 0.256, 1.024")
;
values("1.1980, 1.3655, 2.4652, 5.7998")
;
}
rise_power ("power_inputs_1") {
index_1("0.016, 0.064, 0.256, 1.0240000"
);
values("1.1980, 1.3655, 2.4652, 5.7998"
);
}
}
}
pin (B) {

fanout_load : 0.1233;
related_power_pin : "VDD";
related_ground_pin : "VSS";
direction : "input";
rise_capacitance_range (1.5066, 4.9551);
rise_capacitance : 4.9551;
capacitance : 1.5066;
fall_capacitance_range (1.5066, 3.0082 );
fall_capacitance : 3.0082;
max_transition : 1.024;
internal_power () {
when : "!A&!CI";
fall_power ("power_inputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
values("9.7637, 1.0035, 1.2538, 1.6022")
;
}
rise_power ("power_inputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
values ("9.7637, 1.0035, 1.2538, 1.6022"
);
}
}
}
pin (CI) {
fanout_load : 0.361;
related_power_pin : "VDD";
related_ground_pin : "VSS";
direction : "input";
rise_capacitance_range (0.1373, 7.6814 );
rise_capacitance : 7.6814;
capacitance : 0.1373;
fall_capacitance_range (0.1373, 2.6983 );
fall_capacitance : 2.6983;
max_transition : 1.024000;
internal_power () {
when : "!A&!B";
fall_power ("power_inputs_1") {
index_1("0.016, 0.064, 0.2560000, 1.0240
000");
values("1.5912, 1.6408, 2.0413, 1.8839")
;
}
rise_power ("power_inputs_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("1.5912, 1.6408, 2.0413, 1.8839")
;
}
}
}
pin (S) {
related_power_pin : "VDD";
related_ground_pin : "VSS";
power_down_function : "!VDD + VSS";
direction : "output";
function : "CI^B^A";
max_capacitance : 208.000000;
max_transition : 1.361140;
internal_power () {

related_pin : "A";
rise_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("6.4841, 7.0485, 9.1855, 1.7536",
"6.3215, 7.1892, 5.4886, 2.0056", "5.0193, 6.1660, 4.5212, 5.4646", "7.0671, 5.
4661, 6.1554, 5.1447");
}
fall_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("15.140, 16.3568, 16.5191, 16.533
81", "49.886, 48.5279, 46.4196, 45.7279", "54.2934, 52.2459, 51.1509, 50.8683",
"72.4469, 72.4784, 71.8769, 70.3895");
}
}
internal_power () {
related_pin : "B";
rise_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("1.0876, 1.1431, 1.3571, 2.1975",
"1.785, 1.9240, 2.4264, 2.7846", "2.3785, 2.0249, 2.8769, 3.2793", "3.6672, 3.3
0208, 2.7308, 3.1387");
}
fall_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("-9.4123, -9.8448, -1.1654, -1.94
82", "1.3177, 1.3154, 2.5824, 2.7021", "2.8062, 2.9606, 2.9148, 3.2507", "2.8134
, 2.6996, 3.9645, 3.4975");
}
}
internal_power () {
related_pin : "CI";
rise_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("1.5454, 1.5976, 1.8102, 2.5666",
"2.1584, 2.07149, 2.2851, 2.2598", "1.9823, 1.8307, 1.4973, 1.1430", "2.1878, 2
.4611, 2.9545, 3.9005");
}
fall_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("-1.4867, -1.5277, -1.7101, -1.48
67", "1.9560, 1.8468, 2.0069, 2.1865", "4.3566, 4.1225, 3.1262, 2.1525", "3.2716
, 3.1526, 2.9579, 2.6548");
}
}
timing () {
related_pin : "A";
timing_sense : "non_unate";
cell_rise ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.1248107, 0.1491915, 0.4175022,
0.6970394", "0.1274534, 0.1516823, 0.4205808, 0.6990949", "0.1760894, 0.2015034
, 0.4709511, 0.7504979", "0.2021323, 0.2296326, 0.5058357, 0.7836462");
}

rise_transition ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.0649720, 0.1046035, 0.6921811,
1.3440693", "0.0638286, 0.1042977, 0.6907215, 1.3444050", "0.0786752, 0.1181692
, 0.6988322, 1.3469441", "0.1005801, 0.1414480, 0.7171881, 1.3611404");
}
cell_fall ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.1893158, 0.2141518, 0.4283727,
0.6211245", "0.1911985, 0.2159107, 0.4302079, 0.6229602", "0.2124969, 0.2379865
, 0.4550608, 0.6479042", "0.2432450, 0.2709487, 0.4965522, 0.6917138");
}
fall_transition ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.0777674, 0.1050804, 0.4488795,
0.8236979", "0.0779948, 0.1048858, 0.4488953, 0.8234720", "0.0896357, 0.1166560
, 0.4558649, 0.8278296", "0.1130067, 0.1399674, 0.4724038, 0.8408250");
}
}
timing () {
related_pin : "B";
timing_sense : "non_unate";
cell_rise ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.1151745, 0.1385268, 0.4040955,
0.6826849", "0.1182186, 0.1416458, 0.4079096, 0.6854206", "0.1614264, 0.1861434
, 0.4531775, 0.7315494", "0.1765639, 0.2030301, 0.4765567, 0.7548106");
}
rise_transition ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.0575332, 0.0986075, 0.6857191,
1.3342427", "0.0583057, 0.0973428, 0.6871802, 1.3411419", "0.0733107, 0.1119575
, 0.6935432, 1.3444561", "0.0919603, 0.1312547, 0.7111109, 1.3540531");
}
cell_fall ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.1894581, 0.2145669, 0.4290913,
0.6218566", "0.1902446, 0.2151916, 0.4300196, 0.6230043", "0.2381006, 0.2641591
, 0.4833935, 0.6773609", "0.2946617, 0.3218053, 0.5459587, 0.7419125");
}
fall_transition ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.0787696, 0.1057207, 0.4491956,
0.8260677", "0.0787756, 0.1053885 0.4497394, 0.8236898", "0.0929541, 0.1198641,
0.4575838, 0.8305940", "0.1111665, 0.1382552, 0.4722849, 0.8445222");
}
}
timing () {
related_pin : "CI";
timing_sense : "non_unate";
cell_rise ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");

values("0.0993064, 0.1224936, 0.3890922,


0.6676901", "0.1022367, 0.1255080, 0.3920139, 0.6706076", "0.1381034, 0.1623645
, 0.4319857, 0.7099843", "0.1432611, 0.1689184, 0.4458891, 0.7255661");
}
rise_transition ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.0556196, 0.0950925, 0.6863390,
1.3558500", "0.0554983, 0.0954023, 0.6869967, 1.3459469", "0.0674895, 0.1091318
, 0.6961562, 1.3438796", "0.0869308, 0.1271278, 0.7113660, 1.3581430");
}
cell_fall ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.1643826, 0.1893752, 0.4038212,
0.5966374", "0.1659324, 0.1909633, 0.4054125, 0.5979215", "0.2530049, 0.2781295
, 0.4945417, 0.6880546", "0.3303235, 0.3589335, 0.5777997, 0.7724031");
}
fall_transition ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.0781686, 0.1052924, 0.4491685,
0.8212130", "0.0790756, 0.1050477, 0.4486799, 0.8234189", "0.0908688, 0.1177723
, 0.4555741, 0.8258941", "0.1096540, 0.1357433, 0.4672861, 0.8381487");
}
}
}
pin (CO) {
related_power_pin : "VDD";
related_ground_pin : "VSS";
power_down_function : "!VDD + VSS";
direction : "output";
function : "((CI*B)+(CI*A)+(B*A))";
max_capacitance : 208;
max_transition : 1.368778;
internal_power () {
related_pin : "A";
rise_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("6.3257, 6.5788, 7.9062, 1.2621",
"6.5723, 6.6304, 5.9088, 4.446", "1.6221, 3.4456, 3.6456, 2.663", "6.3257, 6.57
88, 7.9062, 1.2621");
}
fall_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("-5.4630, -5.6466, -6.9134, -1.21
79", "-5.3044, -6.3345, -2.0988, -1.9986", "-6.3491, -4.5667, -2.792, -6.3417",
"-5.4630, -5.6466, -6.9134, -1.2179 ");
}
}
internal_power () {
related_pin : "B";
rise_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("1.0757, 1.1055, 1.2405, 1.8227",
"1.0955, 1.1270, 1.2670, 1.8420", "1.1460, 1.1779, 1.3220, 1.8843", "1.9643, 1.
3092, 1.2789, 1.9899");

}
fall_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("-9.8113, -1.0088, -1.1447, -1.70
26", "-9.4386, -9.7094, -1.1090, -1.6644", "-8.8793, -9.2058, -1.0330, -1.5154",
"-1.7655, -6.1164, -2.9506, -3.2616");
}
}
internal_power () {
related_pin : "CI";
rise_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256, 1.024, ");
index_2("1, 15, 52, 208");
values("1.0633, 1.1178, 1.8359, 2.1683",
"1.1037, 1.1575, 1.8739, 2.2181", "1.1904, 1.7357, 1.9575, 2.3053", "1.3457, 1.
7566, 1.8544, 2.0480");
}
fall_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("-1.4868, -1.5309, -1.7287, -2.57
35", "-1.4390, -1.4838, -1.6804, -2.5087", "-1.3133, -1.359, -1.5564, -2.3834",
"-1.1778, -7.4218, -7.5681, -7.3047");
}
}
timing () {
related_pin : "A";
timing_sense : "positive_unate";
cell_rise ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.1323645, 0.1571928, 0.4319082,
0.7117902", "0.1345303, 0.1593010, 0.4340668, 0.7140147", "0.1895965, 0.2156807
, 0.4914015, 0.7700164", "0.2279524, 0.2563195, 0.5389105, 0.8172695");
}
rise_transition ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.0648496, 0.1069214, 0.7002921,
1.3448910", "0.0660193, 0.1060588, 0.7002193, 1.3433935", "0.0811313, 0.1195803
, 0.7062121, 1.3522174", "0.1008986, 0.1403909, 0.7242798, 1.3661039");
}
cell_fall ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.1518917, 0.1759887, 0.3912686,
0.5896450", "0.1535013, 0.1777463, 0.3929655, 0.5913106", "0.1904756, 0.2159264
, 0.4347828, 0.6333128", "0.2193667, 0.2467335, 0.4737584, 0.6736419");
}
fall_transition ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.0682144, 0.0948126, 0.4539981,
0.8467106", "0.0678853, 0.0950197, 0.4543486, 0.8474368", "0.0824056, 0.1100167
, 0.4619188, 0.8509511", "0.1057039, 0.1332354, 0.4770464, 0.8633760");
}
}
timing () {
related_pin : "B";

0.6980917",
, 0.4698984,

1.3353403",
, 0.7059576,

0.5866285",
, 0.4723341,

0.8485330",
, 0.4630515,

0.6952329",
, 0.5027033,

1.3478515",
, 0.7064044,

0.5770854",
, 0.4556085,

0.8481286",
, 0.4646407,

timing_sense : "positive_unate";
cell_rise ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.1183907, 0.1430010, 0.4180465,
"0.1207149, 0.1452543, 0.4203155, 0.7002952", "0.1652472, 0.1909734
0.7488873", "0.1878673, 0.2156489, 0.5026776, 0.7828923");
}
rise_transition ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.0620483, 0.1027857, 0.6992445,
"0.0620222, 0.1026847, 0.7000071, 1.3412473", "0.0751562, 0.1164479
1.3504171", "0.0962745, 0.1354902, 0.7253960, 1.3687779");
}
cell_fall ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.1489080, 0.1732850, 0.3883573,
"0.1508712, 0.1750726, 0.3902756, 0.5885513", "0.2279466, 0.2533528
0.6707519", "0.2859395, 0.3124339, 0.5357966, 0.7356254");
}
fall_transition ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.0677790, 0.0951564, 0.4547307,
"0.0677374, 0.0949446, 0.4542337, 0.8490479", "0.0866368, 0.1133549
0.8524056", "0.1075520, 0.1334809, 0.4766539, 0.8643917");
}
}
timing () {
related_pin : "CI";
timing_sense : "positive_unate";
cell_rise ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.1161783, 0.1407491, 0.4157915,
"0.1192875, 0.1440032, 0.4189990, 0.6990373", "0.2018399, 0.2277436
0.7811765", "0.2528949, 0.2817759, 0.5626220, 0.8407279");
}
rise_transition ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.0630588, 0.1032252, 0.6983000,
"0.0630253, 0.1033615, 0.6990511, 1.3416889", "0.0856304, 0.1234887
1.3527465", "0.1117638, 0.1494628, 0.7255048, 1.3664437");
}
cell_fall ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.1393157, 0.1631157, 0.3785877,
"0.1414649, 0.1653586, 0.3808218, 0.5793334", "0.2106732, 0.2359336
0.6543174", "0.2577973, 0.2850139, 0.5110469, 0.7115020");
}
fall_transition ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.0653975, 0.0919602, 0.4537888,
"0.0657199, 0.0921783, 0.4535853, 0.8477744", "0.0859842, 0.1120322
0.8553725", "0.1105065, 0.1370958, 0.4783625, 0.8670274");

}
}
}
}
cell (LNANDX1) {
cell_footprint : "LNAND_PrasannaKumar";
area : 13.02 ;
cell_leakage_power : 6.219e+05;
driver_waveform_rise : "preDrv";
driver_waveform_fall : "preDrv";
latch (IQ,IQN) {
clear : "RIN'";
preset : "SIN'";
clear_preset_var2 : "L";
clear_preset_var1 : "L";
}
pg_pin (VDD) {
voltage_name : "VDD";
pg_type : "primary_power";
}
pg_pin (VSS) {
voltage_name : "VSS";
pg_type : "primary_ground";
}
leakage_power () {
when : "(SIN'*RIN')";
value : "2.407e-05";
}
leakage_power () {
when : "(SIN*RIN')";
value : "8.282e-05";
}
leakage_power () {
when : "(SIN'*RIN)";
value : "1.290e-05";
}
leakage_power () {
when : "(SIN*RIN)";
value : "1.285e-05";
}
pin (SIN) {
fanout_load : 0.053;
direction : "input";
min_pulse_width_low : 0.564819 ;
fall_capacitance_range (6.498e-17, 6.498e-17 );
fall_capacitance : 6.498e-17;
capacitance : 3.348e-17;
rise_capacitance_range (1.974e-18, 1.974e-18 );
rise_capacitance : 1.974e-18;
max_transition : 1.024000;
related_power_pin : "VDD";
related_ground_pin : "VSS";
timing () {
related_pin : "RIN";
timing_type : "non_seq_setup_rising";
rise_constraint ("vio_4_4_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
index_2("0.0160000, 0.0640000, 0.2560000
, 1.0240000");

values("0.0787968, 0.0795156, 0.0696191,


0.0815689", "0.0692379, 0.0758471, 0.0629127, 0.0807353", "0.0706608, 0.0772591
, 0.0641737, 0.0762016", "0.0732988, 0.0709672, 0.0610445, 0.0758467");
}
}
timing () {
related_pin : "RIN";
timing_type : "non_seq_setup_falling";
rise_constraint ("vio_4_4_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
index_2("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("1.3183301, 1.3104227, 1.2946678,
1.2651016", "1.3232767, 1.3154091, 1.3025768, 1.2730763", "1.3365069, 1.3283692
, 1.3155598, 1.2860828", "1.3656779, 1.3577457, 1.3420135, 1.3157888");
}
}
internal_power () {
when : "!RIN&Q&!QN";
fall_power ("power_inputs_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("1.126, 9.848, 3.913, 2.987");
}
rise_power ("power_inputs_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("3.811, 2.347, 1.095, 8.293");
}
}
/* Copied from non_seq_setup_rising arc in pin RIN group
*/
timing () {
related_pin : "RIN";
timing_type : "non_seq_hold_rising";
rise_constraint ("vio_4_4_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
index_2("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("0.0787345, 0.0750404, 0.0706445,
0.0730869", "0.0825247, 0.0758552, 0.0743114, 0.0709666", "0.0696171, 0.0629076
, 0.0641604, 0.0610604", "0.0815705, 0.0807044, 0.0761120, 0.0731779");
}
}
}
pin (RIN) {
fanout_load : 0.053;
direction : "input";
min_pulse_width_low : 0.563063;
fall_capacitance_range (3.614e-17, 3.614e-17 );
fall_capacitance : 3.614e-17;
capacitance : 1.862e-17;
rise_capacitance_range (1.093e-18, 1.093e-18 );
rise_capacitance : 1.093e-18;
max_transition : 1.024000;
related_power_pin : "VDD";
related_ground_pin : "VSS";
timing () {

related_pin : "SIN";
timing_type : "non_seq_setup_rising";
rise_constraint ("vio_4_4_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
index_2("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("0.0787345, 0.0825247, 0.0696171,
0.0815705", "0.0750404, 0.0758552, 0.0629076, 0.0807044", "0.0706445, 0.0743114
, 0.0641604, 0.0761120", "0.0730869, 0.0709666, 0.0610604, 0.0731779");
}
}
timing () {
related_pin : "SIN";
timing_type : "non_seq_setup_falling";
rise_constraint ("vio_4_4_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
index_2("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("1.3153406, 1.3104354, 1.2942444,
1.2650937", "1.3234417, 1.3154039, 1.3022702, 1.2730883", "1.3365212, 1.3283867
, 1.3151281, 1.2860376", "1.3656440, 1.3577527, 1.3419817, 1.3159398");
}
}
internal_power () {
when : "SIN&Q&!QN";
fall_power ("power_inputs_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("1.081, 9.598, 3.910, 2.683");
}
rise_power ("power_inputs_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("-1.17, -1.010, -3.910, -3.344");
}
}
/* Copied from non_seq_setup_rising arc in pin SIN group
*/
timing () {
related_pin : "SIN";
timing_type : "non_seq_hold_rising";
rise_constraint ("vio_4_4_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
index_2("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("0.0787968, 0.0692379, 0.0706608,
0.0732988", "0.0795156, 0.0758471, 0.0772591, 0.0709672", "0.0696191, 0.0629127
, 0.0641737, 0.0610445", "0.0815689, 0.0807353, 0.0762016, 0.0758467");
}
}
}
pin (Q) {
power_down_function : "!VDD + VSS";
direction : "output";
function : "IQ";
max_capacitance : 208.000000;
max_transition : 3.069275;

related_power_pin : "VDD";
related_ground_pin : "VSS";
internal_power () {
related_pin : "RIN";
fall_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("13, 26, 52, 104");
values("-1.245, 9.484, 2.325, 7.996", "5.749, 6.534, 2.600, 6.249", "-4.691, 8.871, 8.981, 3.887", "-6.268, 2.786, 1.99
6, 3.439");
}
rise_power (scalar) {
values("0.000000");
}
}
internal_power () {
related_pin : "SIN";
rise_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("13, 26, 52, 104");
values("7.289, 3.603, 4.966, 8.639", "3.
479, 1.433, 2.449, 5.123", "1.579, 6.054, 2.085, 1.079", "7.296, 1.402, 2.427, 8
.622");
}
fall_power (scalar) {
values("0.000000");
}
}
timing () {
related_pin : "RIN";
timing_type : "clear";
timing_sense : "positive_unate";
cell_fall ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("13, 26, 52, 1048");
values("5.008, 5.031, 5.126, 5.503", "5.
008, 5.032, 5.127, 5.507", "5.008, 5.032, 5.127, 5.501", "5.008, 5.032, 5.128, 5
.511");
}
fall_transition ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("13, 26, 52, 104");
values("5.043, 5.055, 5.221, 5.832", "5.
014, 5.055, 5.217, 5.829", "5.014, 5.055, 5.211, 5.827", "5.014, 5.050, 5.207, 5
.825");
}
}
timing () {
related_pin : "SIN";
timing_type : "preset";
timing_sense : "negative_unate";
cell_rise ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("13, 26, 52, 104");
values("2.508, 2.531, 2.626, 2.268", "2.
508, 2.532, 2.627, 3.007", "2.508, 3.072, 2.627, 0.000", "2.508, 2.315, 3.350, 5
.968");
}
rise_transition ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");

index_2("13, 26, 52, 104");


values("1.302, 1.316, 1.332, 0.000", "1.
322, 1.319, 1.334, 0.000", "1.553, 1.556, 1.571, 0.000", "1.525, 1.525, 1.529, 1
.575");
}
}
}
pin (QN) {
power_down_function : "!VDD + VSS";
direction : "output";
function : "IQN";
max_capacitance : 208.000000;
max_transition : 3.070009;
related_power_pin : "VDD";
related_ground_pin : "VSS";
internal_power () {
related_pin : "RIN";
rise_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("13, 26, 52, 104");
values("-1.766, -1.894, -3.800, -4.258",
"-1.805, -2.004, -3.157, -4.365", "-1.825, -8.562, -6.031, -4.379", "-1.827, -1
.689, -6.318, -4.220");
}
fall_power (scalar) {
values("0.000000");
}
}
internal_power () {
related_pin : "SIN";
fall_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("13, 26, 52, 104");
values("1.766, 1.894, 2.762, 3.611", "1.
805, 2.004, 2.691, 3.681", "1.825, 2.559, 2.589, 3.732", "1.826, 2.861, 2.326, 3
.744");
}
rise_power (scalar) {
values("0.000000");
}
}
timing () {
related_pin : "RIN";
timing_type : "preset";
timing_sense : "negative_unate";
cell_rise ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("13, 26, 52, 104");
values("5.106, 5.064, 5.256, 6.024", "5.
016, 5.032, 5.256, 6.024", "5.016, 5.064, 5.256, 6.024", "5.016, 5.064, 5.256, 6
.024");
}
rise_transition ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("13, 26, 52, 104");
values("2.528, 2.541, 2.655, 5.739", "2.
532, 2.542, 2.673, 3.418", "2.536, 2.542, 5.287, 5.827", "2.539, 2.543, 2.742, 5
.499");
}
}

timing () {
related_pin : "SIN";
timing_type : "clear";
timing_sense : "positive_unate";
cell_fall ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("13, 26, 52, 104");
values("2.582, 1.032, 4.113 8.556", "2.5
61, 1.026, 2.628, 3.012", "2.493, 7.582, 2.628, 0.000", "2.574, 7.586, 7.768, 9.
027");
}
fall_transition ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("13, 26, 52, 208");
values("0.000, 0.000, 0.000, 0.000", "0.
000, 0.000, 1.029, 6.991", "1.222, 4.407, 5.071, 0.000", "1.120, 3.974, 2.997, 7
.999");
}
}
}
}
cell (LARX1) {
cell_footprint : "LAR_GOPI";
area : 24.0520 ;
pin_opposite("QN","Q");
cell_leakage_power : 2.146e+06;
driver_waveform_rise : "preDrv";
driver_waveform_fall : "preDrv";
latch (IQ,IQN) {
enable : "CLK";
data_in : "D";
clear : "RSTB'";
}
pg_pin (VDD) {
voltage_name : "VDD";
pg_type : "primary_power";
}
pg_pin (VSS) {
voltage_name : "VSS";
pg_type : "primary_ground";
}
leakage_power () {
when : "(D*CLK*RSTB)";
value : "2.146e+06";
}
leakage_power () {
when : "(D'*CLK*RSTB)";
value : "1.390e+06";
}
leakage_power () {
when : "(D*CLK*RSTB')";
value : "1.471e+06";
}
leakage_power () {
when : "(D'*CLK'*RSTB')";
value : "1.173e+06";
}
leakage_power () {
when : "(D*CLK'*RSTB')";
value : "1.391e+06";

}
leakage_power () {
when : "(D'*CLK*RSTB')";
value : "1.277e+06";
}
leakage_power () {
when : "(D'*CLK'*RSTB)";
value : "1.524e+06";
}
pin (D) {
fanout_load : 1.703175457;
related_power_pin : "VDD";
related_ground_pin : "VSS";
direction : "input";
fall_capacitance_range (3.0845, 3.0845 );
fall_capacitance : 3.0845;
capacitance : 3.1645;
rise_capacitance_range (3.2445, 3.2445 );
rise_capacitance : 3.2445;
max_transition : 1.024000;
timing () {
timing_type : "setup_falling";
related_pin : "CLK";
rise_constraint ("vio_4_4_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
index_2("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("0.04737, 0.07261, 0.09334, 0.135
91","0.04616, 0.04166, 0.08096, 0.10384","0.00190, 0.01051, 0.03068, 0.07650","0.10535, -0.10613, -0.07805, -0.04408");
}
fall_constraint ("vio_4_4_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
index_2("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("0.10004, 0.10818, 0.10286, 0.207
41","0.10684, 0.11721, 0.13950, 0.20189","0.06611, 0.08337, 0.10995, 0.20126","0.01067, -0.00786, 0.03034, 0.10550");
}
}
timing () {
timing_type : "hold_falling";
related_pin : "CLK";
rise_constraint ("vio_4_4_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
index_2("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("-0.04052, -0.05290, -0.07502, -0
.10593","-0.03881, -0.04375, -0.06765, -0.10435","0.00856, 0.00422, -0.02061, -0
.07590","0.10760, 0.10481, 0.10154, 0.06027");
}
fall_constraint ("vio_4_4_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
index_2("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("-0.11027, -0.12202, -0.16070, -0

.20461", "-0.09970, -0.11412, -0.15275, -0.20583","-0.06072, -0.08079, -0.10104,


-0.20576", "0.02595, 0.01577, -0.02346, -0.10211");
}
}
internal_power () {
when : "!CLK&!RSTB&Q&!QN";
fall_power ("power_inputs_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("0.03547, 0.03916, 0.05848, 0.097
69");
}
rise_power ("power_inputs_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("-0.05252, -0.05956, -0.09007, -0
.2371");
}
}
}
pin (CLK) {
fanout_load : 0.057;
related_power_pin : "VDD";
related_ground_pin : "VSS";
direction : "input";
min_pulse_width_high : 0.9219;
/*is the minimum clock pulse of the design*/
rise_capacitance_range (1.451103, 1.451103 );
rise_capacitance : 1.451103;
capacitance : 1.401040;
fall_capacitance_range (1.350978, 1.350978 );
fall_capacitance : 1.350978;
max_transition : 1.024000;
internal_power () {
when : "!D&!RSTB&Q&!QN";
fall_power ("power_inputs_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("6.8090388, 6.4073653, 7.6231176,
13.9522293");
}
rise_power ("power_inputs_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("4.4086768, 3.9954475, 5.1454090,
11.2260415");
}
}
}
pin (RSTB) {
fanout_load : 0.152;
related_power_pin : "VDD";
related_ground_pin : "VSS";
direction : "input";
min_pulse_width_low : 0.9219;
/*is the minimum reset pulse of the design*/
fall_capacitance_range (3.161025, 3.161025 );
fall_capacitance : 3.161025;
capacitance : 3.162357;
rise_capacitance_range (3.163690, 3.163690 );

rise_capacitance : 3.163690;
max_transition : 1.024000;
timing () {
timing_type : "recovery_falling";
related_pin : "CLK";
rise_constraint ("vio_4_4_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
index_2("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("0.04736, 0.04542, 0.10183, 0.150
65","0.04304, 0.06143, 0.10080, 0.10266","0.00405, 0.01101, 0.05412, 0.10192","0.10601, -0.10949, -0.05016, 0.01065");
}
}
timing () {
timing_type : "removal_falling";
related_pin : "CLK";
rise_constraint ("vio_4_4_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
index_2("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("-0.04577, -0.05591, -0.09282, -0
.10334", "-0.03866, -0.04679, -0.08860, -0.10498","0.00943, 0.00138, -0.04348, 0.10435","0.10889, 0.10482, 0.07203, 0.25001");
}
}
internal_power () {
when : "!D&!CLK&Q&!QN";
fall_power ("power_inputs_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("0.02246, 0.2280, 0.02735, 0.0484
8");
}
rise_power ("power_inputs_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("-0.009265, -0.009233, -0.009100,
-0.008661");
}
}
}
pin (Q) {
related_power_pin : "VDD";
related_ground_pin : "VSS";
power_down_function : "!VDD + VSS";
direction : "output";
function : "IQ";
max_capacitance : 208.000000;
max_transition : 1.357671;
internal_power () {
related_pin : "D";
rise_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("-0.06064, -0.06535, -0.09837, -0
.2619","-0.06064, -0.06535, -0.09837, -0.2619", "-0.06064, -0.06535, -0.09837, 0.2619","-0.06064, -0.06535, -0.09837, -0.2619");

}
fall_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.06234, 0.06751, 0.1004, 0.2732
","0.06234, 0.06751, 0.1004, 0.2732","0.06234, 0.06751, 0.1004, 0.2732","0.06234
, 0.06751, 0.1004, 0.2732");
}
}
internal_power () {
related_pin : "CLK";
rise_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("-0.03538, -0.03749, -0.05075, -0
.09559","-0.03538, -0.03749, -0.05075, -0.09559","-0.03538, -0.03749, -0.05075,
-0.09559","-0.03538, -0.03749, -0.05075, -0.09559");
}
fall_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.03262, 0.03498, 0.05596, 0.116
6","0.03262, 0.03498, 0.05596, 0.1166","0.03262, 0.03498, 0.05596, 0.1166","0.03
262, 0.03498, 0.05596, 0.1166");
}
}
internal_power () {
related_pin : "RSTB";
rise_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("-0.01858, -0.01960, -0.02782, -0
.06144","-0.01858, -0.01960, -0.02782, -0.06144","-0.01858, -0.01960, -0.02782,
-0.06144","-0.01858, -0.01960, -0.02782, -0.06144");
}
fall_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("-0.02741, 0.02985, 0.04172, 0.08
644","-0.02741, 0.02985, 0.04172, 0.08644","-0.02741, 0.02985, 0.04172, 0.08644"
,"-0.02741, 0.02985, 0.04172, 0.08644");
}
}
timing () {
related_pin : "D";
timing_sense : "positive_unate";
cell_rise ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.04645, 0.04797, 0.06089, 0.086
58","0.04645, 0.04797, 0.06089, 0.08658","0.04645, 0.04797, 0.06089, 0.08658","0
.04645, 0.04797, 0.06089, 0.08658");
}
rise_transition ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.03815, 0.04286, 0.05273, 0.115
3","0.03815, 0.04286, 0.05273, 0.1153","0.03815, 0.04286, 0.05273, 0.1153","0.03
815, 0.04286, 0.05273, 0.1153");
}

cell_fall ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.03180, 0.03214, 0.04889, 0.064
62","0.03180, 0.03214, 0.04889, 0.06462","0.03180, 0.03214, 0.04889, 0.06462","0
.03180, 0.03214, 0.04889, 0.06462");
}
fall_transition ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.07238, 0.07913, 0.09309, 0.133
4","0.07238, 0.07913, 0.09309, 0.1334","0.07238, 0.07913, 0.09309, 0.1334","0.07
238, 0.07913, 0.09309, 0.1334");
}
}
timing () {
timing_type : "rising_edge";
related_pin : "CLK";
cell_rise ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.03061, 0.03469, 0.04491, 0.649
11","0.03169, 0.03519, 0.04548, 0.87511","0.03217, 0.03645, 0.04780, 0.88781","0
.03070, 0.03573, 0.04578, 0.87108");
}
rise_transition ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.06140, 0.04486, 0.03660, 0.134
30","0.06172, 0.04478, 0.05630, 0.13315","0.06050, 0.04148, 0.05347, 0.14349","0
.06096, 0.04863, 0.053157, 0.14197");
}
cell_fall ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.03180, 0.03214, 0.04889, 0.064
62","0.03180, 0.03214, 0.04889, 0.06462","0.03180, 0.03214, 0.04889, 0.06462","0
.03180, 0.03214, 0.04889, 0.06462");;
}
fall_transition ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.07238, 0.07913, 0.09309, 0.133
4","0.07238, 0.07913, 0.09309, 0.1334","0.07238, 0.07913, 0.09309, 0.1334","0.07
238, 0.07913, 0.09309, 0.1334");
}
}
timing () {
timing_type : "clear";
timing_sense : "positive_unate";
related_pin : "RSTB";
cell_rise ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.04345, 0.04345, 0.04345, 0.046
54", "0.04345, 0.04345, 0.04345, 0.04654","0.04345, 0.04345, 0.04345, 0.04654","
0.04345, 0.04345, 0.04345, 0.04654");
}
rise_transition ("del_1_4_4") {

index_1("0.016, 0.064, 0.256, 1.024");


index_2("1, 15, 52, 208");
values("0.04196, 0.10440, 0.30705, 1.307
02","0.04138, 0.10485, 0.30639, 1.30307", "0.04092, 0.10445, 0.30645, 1.30347","
0.04640, 0.10011, 0.30203, 1.30383");
}
cell_fall ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.04236, 0.04649, 0.04406, 0.045
28","0.04334, 0.04746, 0.05045, 0.05385", "0.04758, 0.04166, 0.05915, 0.07883","
0.04855, 0.04206, 0.05999, 0.06798");
}
fall_transition ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.04236, 0.05102, 0.06745, 0.081
50","0.04238, 0.05002, 0.06673, 0.08675","0.04089, 0.05848, 0.06073, 0.08143","0
.06254, 0.06271, 0.06192, 0.08174");
}
}
}
pin (QN) {
related_power_pin : "VDD";
related_ground_pin : "VSS";
power_down_function : "!VDD + VSS";
direction : "output";
function : "IQN";
max_capacitance : 208.000000;
max_transition : 1.352209;
internal_power () {
related_pin : "D";
rise_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("-0.05252, -0.05956, -0.09007, -0
.2371", "-0.06064, -0.06535, -0.09837, -0.2619","-0.06064, -0.06535, -0.09837, 0.2619","-0.06064, -0.06535, -0.09837, -0.2619");
}
fall_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.06234, 0.06751, 0.1004, 0.0273
2", "0.06234, 0.06751, 0.1004, 0.02732", "0.06234, 0.06751, 0.1004, 0.02732","0.
06234, 0.06751, 0.1004, 0.02732");
}
}
internal_power () {
related_pin : "CLK";
rise_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("-0.03262, -0.03498, -0.05596, -0
.01166","-0.03262, -0.03498, -0.05596, -0.01166","-0.03262, -0.03498, -0.05596,
-0.01166","-0.03262, -0.03498, -0.05596, -0.01166");
}
fall_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.03538, 0.03749, 0.05075, 0.955

9", "0.03538, 0.03749, 0.05075, 0.9559", "0.03538, 0.03749, 0.05075, 0.9559","0.


03538, 0.03749, 0.05075, 0.9559");
}
}
internal_power () {
related_pin : "RSTB";
rise_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("-0.02741, -0.02985, -0.04172, -0
.08644", "-0.02741, -0.02985, -0.04172, -0.08644", "-0.02741, -0.02985, -0.04172
, -0.08644", "-0.02741, -0.02985, -0.04172, -0.08644");
}
fall_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("-0.01858, -0.01960, -0.02782, -0
.06144", "-0.01858, -0.01960, -0.02782, -0.06144", "-0.01858, -0.01960, -0.02782
, -0.06144","-0.01858, -0.01960, -0.02782, -0.06144");
}
}
timing () {
related_pin : "D";
timing_sense : "negative_unate";
cell_rise ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.07619, 0.07801, 0.09122, 0.120
8","0.07619, 0.07801, 0.09122, 0.1208", "0.07619, 0.07801, 0.09122, 0.1208", "0
.07619, 0.07801, 0.09122, 0.1208");
}
rise_transition ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.03912, 0.04093, 0.06929, 0.105
9","0.03912, 0.04093, 0.06929, 0.1059","0.03912, 0.04093, 0.06929, 0.1059", "0.
03912, 0.04093, 0.06929, 0.1059");
}
cell_fall ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.04994, 0.05682, 0.06840, 0.010
33", "0.04994, 0.05682, 0.06840, 0.01033", "0.04994, 0.05682, 0.06840, 0.01033",
"0.04994, 0.05682, 0.06840, 0.01033");
}
fall_transition ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.04653, 0.04661, 0.04748, 0.061
67","0.04653, 0.04661, 0.04748, 0.06167", "0.04653, 0.04661, 0.04748, 0.06167","
0.04653, 0.04661, 0.04748, 0.06167");
}
}
timing () {
timing_type : "rising_edge";
related_pin : "CLK";
cell_rise ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.04056, 0.04319, 0.04231, 0.048
43","0.04028, 0.04290, 0.34204, 0.05810", "0.04396, 0.04631, 0.05553, 0.07144",

"0.04156, 0.04431, 0.04348, 0.05928");


}
rise_transition ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.03035, 0.04056, 0.04637, 0.056
2","0.03033, 0.04056, 0.04640, 0.05666","0.03028, 0.04040, 0.04662, 0.05767","0.
03959, 0.04102, 0.04694, 0.05492");
}
cell_fall ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.02100, 0.02478, 0.03230, 0.061
17", "0.02195, 0.02572, 0.03325, 0.06214", "0.02318, 0.02695, 0.03448, 0.06336"
,"0.02181, 0.02557, 0.03310, 0.06199");
}
fall_transition ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.04654, 0.09709, 0.22989, 0.813
25", "0.04652, 0.09721, 0.22985, 0.81478", "0.04624, 0.09686, 0.23003, 0.81265",
"0.04625, 0.09694, 0.22986, 0.81581");
}
}
timing () {
timing_type : "preset";
timing_sense : "negative_unate";
related_pin : "RSTB";
cell_rise ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.18618, 0.22870, 0.32668, 0.742
25", "0.19628, 0.23879, 0.33671, 0.75238", "0.23392, 0.27623, 0.37437, 0.78984"
, "0.31860, 0.36174, 0.45935, 0.87383");
}
rise_transition ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.04072, 0.13100, 0.35447, 0.835
", "0.04081, 0.13108, 0.35478, 0.83370", "0.04203, 0.13127, 0.35479, 0.83520", "
0.04804, 0.13688, 0.35802, 0.93340");
}
cell_fall ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.18448, 0.22223, 0.29746, 0.586
23", "0.19490, 0.23256, 0.30792, 0.59670", "0.23119, 0.26907, 0.34432, 0.63308",
"0.30614, 0.34434, 0.41967, 0.70871");
}
fall_transition ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.04647, 0.09731, 0.22987, 0.813
93", "0.04660, 0.09698, 0.22989, 0.81223", "0.04701, 0.09745, 0.23038, 0.81243",
"0.05141, 0.10541, 0.23262, 0.81506");
}
}
}
}
cell (DFFNSSX1) {

cell_footprint : "DFFNSS_sattar";
area : 63.67 ;
pin_opposite("QN", "Q");
cell_leakage_power : 1.064e+06;
driver_waveform_rise : "preDrv";
driver_waveform_fall : "preDrv";
ff (IQ,IQN) {
clocked_on : "CLK";
next_state : "((D)+(!SETB))";
}
pg_pin (VDD) {
voltage_name : "VDD";
pg_type : "primary_power";
}
pg_pin (VSS) {
voltage_name : "VSS";
pg_type : "primary_ground";
}
leakage_power () {
when : "(CLK'*D'*SETB')";
value : "4.629e+06";
}
leakage_power () {
when : "(CLK'*D'*SETB)";
value : "6.702e+05";
}
leakage_power () {
when : "(CLK'*D*SETB')";
value : "2.740e+05";
}
leakage_power () {
when : "(CLK'*D*SETB)";
value : "5.302e+05";
}
leakage_power () {
when : "(CLK*D'*SETB')";
value : "4.313e+05";
}
leakage_power () {
when : "(CLK*D'*SETB)";
value : "2.919e+05";
}
leakage_power () {
when : "(CLK*D*SETB')";
value : "2.267e+05";
}
leakage_power () {
when : "(CLK*D*SETB)";
value : "5.329e+05";
}
pin (CLK) {
fanout_load : 2.444;
related_power_pin : "VDD";
related_ground_pin : "VSS";
direction : "input";
clock : true;
min_pulse_width_low : 0.218723;

min_pulse_width_high : 0.213312;
rise_capacitance_range (2.981, 2.981);
rise_capacitance : 2.981;
capacitance : 4.541;
fall_capacitance_range (6.101, 6.101);
fall_capacitance : 6.101;
max_transition : 1.024000;
internal_power () {
when : "!D&!SETB&Q&!QN";
fall_power ("power_inputs_1") {
index_1("0.0160000, 0.0640000, 0.2560000, 1.0240
000");
values("11.27111, 11.3222, 13.2422, 26.6722");
}
rise_power ("power_inputs_1") {
index_1("0.0160000, 0.0640000, 0.2560000, 1.0240
000");
values("59.358, 63.443, 81.822, 156.179 ");
}
}
}
pin (D) {
fanout_load : 1.0581;
related_power_pin : "VDD";
related_ground_pin : "VSS";
nextstate_type : "data";
direction : "input";
rise_capacitance_range (1.333788, 1.333788);
rise_capacitance : 1.333788;
capacitance : 1.333788;
fall_capacitance_range (1.28744, 1.28744);
fall_capacitance : 1.28744;
max_transition : 1.024000;
timing () {
timing_type : "setup_rising";
related_pin : "CLK";
rise_constraint ("vio_4_4_1") {
index_1("0.0160000,0.0640000, 0.2560000, 1.02400
00");
index_2("0.0160000,0.0640000, 0.2560000, 1.02400
00");
values("0.1520169, 0.1633963, 0.2024935, 0.26546
25","0.1436635, 0.1551495, 0.1931457, 0.2572428","0.1262354, 0.1371099, 0.171625
4, 0.2416713","0.173687,0.1812383, 0.2205394, 0.2757270");
}
fall_constraint ("vio_4_4_1") {
index_1("0.0160000, 0.0640000, 0.2560000, 1.0240
000");
index_2("0.0160000, 0.0640000, 0.2560000, 1.0240
000");
values("0.8941, 0.7935, 0.8914, 0.9865","0.7882,
0.7875, 0.6855, 0.9834","0.8721, 0.8714, 0.8688, 0.9675","0.8042, 0.8034, 0.702
0, 0.8985");
}
}
timing () {
timing_type : "hold_rising";
related_pin : "CLK";

rise_constraint ("vio_4_4_1") {
index_1("0.0160000,0.0640000, 0.2560000, 1.02400
00");
index_2("0.0160000,0.0640000, 0.2560000, 1.02400
00");
values("-0.0877243, -0.0990710, -0.1335102, -0.1
750672","-0.0824870, -0.0937076, -0.1283241, -0.1729568","-0.0818472, -0.0926722
, -0.1315809, -0.177270","-0.1328809, -0.1428030, -0.1830082, -0.2365763");
}
fall_constraint ("vio_4_4_1") {
index_1("0.0160000, 0.0640000, 0.2560000, 1.0240
000");
index_2("0.0160000, 0.0640000, 0.2560000, 1.0240
000");
values("-0.0816876, -0.0928938, -0.1349100, -0.2
495410","-0.0764375, -0.0876279, -0.1256471, -0.2500196","-0.0638451, -0.0748968
, -0.1174522, -0.2264983","-0.0618815, -0.0695238, -0.1171696, -0.2643332");
}
}
internal_power () {
when : "!CLK&!SETB&Q&!QN";
fall_power ("power_inputs_1") {
index_1("0.0160000, 0.0640000, 0.2560000, 1.0240
000");
values("1.98123, 2.7077, 1.5966, 8.95621");
}
rise_power ("power_inputs_1") {
index_1("0.0160000, 0.0640000, 0.2560000, 1.0240
000");
values("91.29583, 91.4890, 99.3500, 123.3222");
}
}
}
pin (SETB) {
fanout_load : 1.0581;
related_power_pin : "VDD";
related_ground_pin : "VSS";
nextstate_type : "data";
direction : "input";
rise_capacitance_range (1.648, 1.648);
rise_capacitance : 1.648;
capacitance : 1.648;
fall_capacitance_range (2.2844, 2.2844);
fall_capacitance : 2.2844;
max_transition : 1.024000;
timing () {
timing_type : "setup_rising";
related_pin : "CLK";
rise_constraint ("vio_4_4_1") {
index_1("0.0160000, 0.0640000, 0.2560000, 1.0240
000");
index_2("0.0160000, 0.0640000, 0.2560000, 1.0240
000");
values("0.2223789, 0.2397874, 0.2432971, 0.23189
29","0.2111011, 0.2224898, 0.2344132, 0.2263540","0.1960117, 0.2048240, 0.217028
9, 0.2009846","0.2006575, 0.2086976, 0.2141141, 0.2088392");
}
fall_constraint ("vio_4_4_1") {
index_1("0.0160000, 0.0640000, 0.2560000, 1.0240

000");
index_2("0.0160000, 0.0640000, 0.2560000, 1.0240
000");
values("0.2340905, 0.2455155, 0.2785564, 0.37911
00","0.2228260, 0.2341124, 0.2702088, 0.3647816","0.2049682, 0.2158606, 0.249425
6, 0.3486558","0.2532117, 0.2570509, 0.2906151, 0.3878269");
}
}
timing () {
timing_type : "hold_rising";
related_pin : "CLK";
rise_constraint ("vio_4_4_1") {
index_1("0.0160000, 0.0640000, 0.2560000, 1.0240
000");
index_2("0.0160000, 0.0640000, 0.2560000, 1.0240
000");
values("-0.1387724, -0.1599071, -0.1335102, -0.
1750672","-0.1882487, 0.1893707, -0.1628324, -0.1617295","-0.1981472,-0.1826722,
-0.1315809, -0.1677270","-0.1328809, -0.1428030, -0.1801082, -2.1835763");
}
fall_constraint ("vio_4_4_1") {
index_1("0.0160000, 0.0640000, 0.2560000, 1.0240
000");
index_2("0.0160000, 0.0640000, 0.2560000, 1.0240
000");
values("-0.1599991, -0.1640187, -0.1712815, -0.1
861084","-0.2046547, -0.2385028 -0.1885028 -0.1385028","-0.2592919, -0.2861755,
-0.1353458 -0.1640187", "-0.2123291, -0.2146499, -0.2230634, -0.238280");
}
}
internal_power () {
when : "!CLK&!D&Q&!QN";
fall_power ("power_inputs_1") {
index_1("0.0160000, 0.0640000, 0.2560000, 1.0240
000");
values("4.7322, 2.551, 5.186, 14.779");
}
rise_power ("power_inputs_1") {
index_1("0.0160000, 0.0640000, 0.2560000, 1.0240
000");
values("101.766, 102.4433, 115.2171, 183.0532");
}
}
}
pin (Q) {
related_power_pin : "VDD";
related_ground_pin : "VSS";
power_down_function : "!VDD + VSS";
direction : "output";
function : "IQ";
max_capacitance : 208.000000;
max_transition : 1.336927;
internal_power () {
related_pin : "CLK";
rise_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("-2.692e, -2.970, -3.649, -2.726","-5.184e, -4.901, -3.875, -2.738"

,"-5.931, -5.402, -3.886, -2.729","-6.542, -5.862, -3.686, -2.766");


}
fall_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("2.692, 2.970, 3.649, 2.726","5.184, 4.901, 3.875, 2.738","5.931, 5
.402, 3.886, 2.729","6.542e, 5.862, 3.686, 2.766");
}
}
timing () {
timing_type : "rising_edge";
related_pin : "CLK";
cell_rise ("del_1_4_4") {
index_1("0.016, 0.064,0.256,1.024");
index_2("1, 15, 52, 208");
values("5.077, 5.037, 4.873, 4.155","5.2
17, 5.178, 5.000, 4.292","5.591, 5.551, 5.375, 4.658","7.123, 7.117, 6.916, 6.24
4");
}
rise_transition ("del_1_4_4") {
index_1("0.016, 0.064,0.256,1.024");
index_2("1, 15, 52, 208");
values("0.04014, 0.04065, 0.04435, 0.05387","0.1
592, 0.1555, 0.1585, 0.2004","0.4756, 0.4732, 0.4709, 0.4849","0.3819, 0.3820, 0
.3819, 0.3809");
}
cell_fall ("del_1_4_4") {
index_1("0.016, 0.064,0.256,1.024");
index_2("1, 15, 52, 208");
values("0.9941, 0.9935, 0.9914, 0.9865",
"0.9882, 0.9875, 0.9855, 0.9834","0.9721, 0.9714, 0.8688, 0.9675","0.9042, 0.903
4, 0.9020, 0.8985");
}
fall_transition ("del_1_4_4") {
index_1("0.016, 0.064,0.256,1.024");
index_2("1, 15, 52, 208");
values("0.04499,0.04484,0.05175,0.04737","0.2347
, 0.2347, 0.02331, 0.2327","0.7436, 0.7380, 0.7493, 0.7429","0.2890, 0.2903, 0.2
897, 0.2955");
}
}
}
pin (QN) {
related_power_pin : "VDD";
related_ground_pin : "VSS";
power_down_function : "!VDD + VSS";
direction : "output";
function : "IQN";
max_capacitance : 208.000000;
max_transition : 1.360483;
internal_power () {
related_pin : "CLK";
rise_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("-29.692, -20.970, -31.649, -22.726","-51.184e, -41.901, -32.875,
-21.738","-53.931, -51.402, -31.886, -22.729","-61.542, -51.862, -37.686, -22.76
6");
}

fall_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("2.692, 2.970, 3.649, 2.726","5.184, 4.901, 3.875, 2.73
8","5.931, 5.402, 3.886, 2.729","6.542e, 5.862, 3.686, 2.766");
}
}
timing () {
timing_type : "rising_edge";
related_pin : "CLK";
cell_rise ("del_1_4_4") {
index_1("0.016, 0.064,0.256,1.024");
index_2("1, 15, 52, 208");
values("0.5049, 0.5008, 0.4845, 0.4128","0.5108, 0.5068,
0.4892, 0.4186","0.5271, 0.5232, 0.5055, 0.4351","0.5964, 0.5910, 0.5693, 0.502
5");
}
rise_transition ("del_1_4_4") {
index_1("0.016, 0.064,0.256,1.024");
index_2("1, 15, 52, 208");
values("0.04583,0.04717,0.06092,0.05995","0.1570
, 0.1571, 0.1604, 0.1640","0.4674, 0.4663, 0.4672, 0.4734"," 1.783, 1.779, 1.800
, 1.783");
}
cell_fall ("del_1_4_4") {
index_1("0.016, 0.064,0.256,1.024");
index_2("1, 15, 52, 208");
values("0.912,0.906,0.885,0.836 ","0.757, 0.755, 0.733,
0.7122 ","0.359, 0.343, 0.314, 0.316","0.700, 0.691, 0.673, 0.652");
}
fall_transition ("del_1_4_4") {
index_1("0.016, 0.064,0.256,1.024");
index_2("1, 15, 52, 208");
values("0.04755, 0.04752, 0.04810, 0.05117","0.2434, 0.2414, 0.2447, 0.2
447","0.7558, 0.7652, 0.7722, 0.7623","0.3702, 0.3703, 0.3701, 0.3693");
}
}
}
}
cell (LASX1) {
cell_footprint : "LAS_sravani";
area : 37.24 ;
pin_opposite("QN", "Q");
cell_leakage_power : 1.169e+08;
driver_waveform_rise : "preDrv";
driver_waveform_fall : "preDrv";
latch (IQ,IQN) {
enable : "CLK";
data_in : "D";
preset : "SETB'";
}
pg_pin (VDD) {
voltage_name : "VDD";
pg_type : "primary_power";
}

pg_pin (VSS) {
voltage_name : "VSS";
pg_type : "primary_ground";
}
leakage_power () {
when : "(D*CLK*SETB)";
value : "5.059e+07";
}
leakage_power () {
when : "(D'*CLK*SETB')";
value : "1.181e+08";
}
leakage_power () {
when : "(D'*CLK*SETB)";
value : "7.517e+07";
}
leakage_power () {
when : "(D'*CLK'*SETB')";
value : "1.624e+07";
}
leakage_power () {
when : "(D*CLK'*SETB')";
value : "2.099e+06";
}
leakage_power () {
when : "(D'*CLK'*SETB)";
value : "5.897e+07";
}
pin (D) {
fanout_load : 3.3675;
related_power_pin : "VDD";
related_ground_pin : "VSS";
direction : "input";
fall_capacitance_range (1.411, 1.411 );
fall_capacitance : 1.411;
capacitance : 1.411;
rise_capacitance_range (1.11, 1.11 );
rise_capacitance : 1.11;
max_transition : 1.024000;
timing () {
timing_type : "setup_falling";
related_pin : "CLK";
rise_constraint ("vio_4_4_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("0.016, 0.064, 0.256, 1.024");
values("0.0380717, 0.0491447, 0.0749164,
0.1014049", "0.0238598, 0.0353197, 0.0614965, 0.0900950", "-0.0256832, -0.01840
84, 0.0136403, 0.0575191", "-0.1626748, -0.1564870, -0.1335954, -0.0878893");
}
fall_constraint ("vio_4_4_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("0.016, 0.064, 0.256, 1.024");
values("0.1172196, 0.1281741, 0.1731192,
0.2868565", "0.1091471, 0.1201425, 0.1622293, 0.2751116", "0.0758271, 0.0837526
, 0.1299501, 0.2390668", "-0.0141537, -0.0059207, 0.0386752, 0.1534375");
}
}
timing () {
timing_type : "hold_falling";
related_pin : "CLK";

rise_constraint ("vio_4_4_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("0.016, 0.064, 0.256, 1.024");
values("-0.0114760, -0.0257915, -0.05838
33, -0.0832737", "-0.0034002, -0.0148778, -0.0445903, -0.0721606", "0.0436013, 0
.0362429, 0.0063645, -0.0307911", "0.1814906, 0.1712282, 0.1475623, 0.1071110");
}
fall_constraint ("vio_4_4_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("0.016, 0.064, 0.256, 1.024");
values("-0.1110401, -0.1222223, -0.17066
13, -0.2795393", "-0.0996715, -0.1141040, -0.1553928, -0.2739644", "-0.0699899,
-0.0806996, -0.1239704, -0.2379034", "0.0219346, 0.0149199, -0.0317227, -0.14993
83");
}
}
internal_power () {
when : "!CLK&!SETB&Q&!QN";
fall_power ("power_inputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
values("6.217, 6.2159, 5.729, 6.224");
}
rise_power ("power_inputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
values("6.217, 6.2159, 5.729, 6.224");
}
}
}
pin (CLK) {
fanout_load : 0.611;
related_power_pin : "VDD";
related_ground_pin : "VSS";
direction : "input";
min_pulse_width_high : 0.101015;
rise_capacitance_range (1.414, 1.414 );
rise_capacitance : 1.414;
capacitance : 1.414;
fall_capacitance_range (8.488, 8.488 );
fall_capacitance : 8.488;
max_transition : 1.024000;
internal_power () {
when : "!D&!SETB&Q&!QN";
fall_power ("power_inputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
values("5.46, 5.47, 6.52, 7.9892");
}
rise_power ("power_inputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
values("5.46, 5.47, 6.52, 7.9892");
}
}
}
pin (SETB) {
fanout_load : 4.106;
related_power_pin : "VDD";
related_ground_pin : "VSS";
direction : "input";
min_pulse_width_low : 0.155477;
rise_capacitance_range (1.27, 1.27 );
rise_capacitance : 1.27;

capacitance : 1.399;
fall_capacitance_range (1.399, 1.399 );
fall_capacitance : 1.399;
max_transition : 1.024000;
timing () {
timing_type : "recovery_falling";
related_pin : "CLK";
rise_constraint ("vio_4_4_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("0.016, 0.064, 0.256, 1.024");
values("-0.0262781, -0.0179746, 0.012226
1, 0.0840736", "-0.0372610, -0.0295545, 0.0011907, 0.0728522", "-0.0792553, -0.0
710041, -0.0483544, 0.0249419", "-0.2090048, -0.1993583, -0.1774687, -0.1115946"
);
}
}
timing () {
timing_type : "removal_falling";
related_pin : "CLK";
rise_constraint ("vio_4_4_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("0.016, 0.064, 0.256, 1.024");
values("0.0409514, 0.0299996, -0.0008579
, -0.0692452", "0.0523055, 0.0409783, 0.0082506, -0.0586076", "0.0969130, 0.0824
664, 0.0557726, -0.0100678", "0.2307595, 0.2161185, 0.1925916, 0.1247403");
}
}
internal_power () {
when : "!D&!CLK&Q&!QN";
fall_power ("power_inputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
values("6.218, 6.234, 6.3644, 8.0336");
}
rise_power ("power_inputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
values("6.218, 6.234, 6.3644, 8.0336");
}
}
}
pin (Q) {
related_power_pin : "VDD";
related_ground_pin : "VSS";
power_down_function : "!VDD + VSS";
direction : "output";
function : "IQ";
max_capacitance : 208.000000;
max_transition : 1.348923;
internal_power () {
related_pin : "D";
rise_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("-0.5696979, -4.4419150, -8.54681
98, -13.5090826", "-0.5803066, -4.4324055, -8.5048902, -13.4289532", "-0.5795498
, -4.0006231, -7.6745440, -11.6625099", "-0.5141764, -1.1997546, -2.4618009, -4.
9808954");
}
fall_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");

values("0.2130754, 21.8130241, 41.027044


3, 54.9710307", "0.4203568, 22.0620067, 41.3126382, 55.3333044", "-0.3708646, 21
.7221023, 41.3941350, 55.7419881", "-4.2454055, 20.4938968, 42.6088563, 58.73064
96");
}
}
internal_power () {
related_pin : "CLK";
rise_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("-0.4664131, -3.1458059, -6.17298
61, -10.2394421", "-0.4773673, -3.1055601, -6.0836237, -9.7833226", "-0.4803045,
-2.7294661, -5.3581399, -8.6912472", "-0.4827125, -0.5280652, -1.1659651, -3.10
26002");
}
fall_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("-1.3144806, 20.4116058, 39.74675
27, 53.7677779", "-1.0771675, 20.6906749, 40.0458778, 54.1296723", "-1.7093933,
20.4244990, 40.1250568, 54.4721393", "-5.1049479, 19.2968270, 41.0001592, 56.741
0315");
}
}
internal_power () {
related_pin : "SETB";
rise_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("-0.7562434, -6.5180589, -12.3240
044, -18.5532156", "-0.7655392, -6.5237433, -12.3204963, -18.5457197", "-0.75022
99, -5.9322285, -11.2458791, -16.8126990", "-0.6239316, -2.3993110, -4.8067075,
-8.0752468");
}
fall_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("2.3846074, 21.1704611, 37.830438
5, 49.9208861", "2.6898295, 21.4822516, 38.1399497, 50.2641430", "2.8198545, 22.
1986116, 39.3977248, 51.9064127", "2.5537568, 25.7801799, 46.3027667, 61.1178761
");
}
}
timing () {
related_pin : "D";
timing_sense : "positive_unate";
cell_rise ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.2374125, 0.2791713, 0.3772664,
0.7934593", "0.2482145, 0.2898957, 0.3877525, 0.8031895", "0.2726772, 0.3142911
, 0.4126802, 0.8285584", "0.2974896, 0.3393120, 0.4370931, 0.8527334");
}
rise_transition ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.0507601, 0.1328003, 0.3581329,
1.3332464", "0.0511762, 0.1325522, 0.3582264, 1.3346643", "0.0514700, 0.1323451
, 0.3580257, 1.3464279", "0.0518791, 0.1331797, 0.3578981, 1.3398040");

0.6612573",
, 0.4593155,

0.7185670",
, 0.2132284,

0.8421985",
, 0.4481243,

1.3409533",
, 0.3579909,

0.6479296",
, 0.4155668,

0.7197676",
, 0.2137517,

0.7184010",
, 0.3493572,

}
cell_fall ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.3021345, 0.3384129, 0.4071961,
"0.3124698, 0.3486414, 0.4176436, 0.6720656", "0.3541215, 0.3903022
0.7137685", "0.4714347, 0.5074302, 0.5765891, 0.8307849");
}
fall_transition ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.0533981, 0.0987788, 0.2136076,
"0.0535644, 0.0985929, 0.2135566, 0.7215032", "0.0537657, 0.0985470
0.7200592", "0.0540268, 0.0989424, 0.2135710, 0.7200383");
}
}
timing () {
timing_type : "rising_edge";
related_pin : "CLK";
cell_rise ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.2868221, 0.3280884, 0.4262831,
"0.2960401, 0.3377316, 0.4357109, 0.8514527", "0.3083094, 0.3499969
0.8638646", "0.2949150, 0.3365587, 0.4344742, 0.8497647");
}
rise_transition ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.0513908, 0.1324121, 0.3573865,
"0.0510057, 0.1329033, 0.3579883, 1.3485376", "0.0516853, 0.1321810
1.3478157", "0.0512435, 0.1327838, 0.3580659, 1.3409525");
}
cell_fall ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.2886343, 0.3246236, 0.3937735,
"0.2981496, 0.3344147, 0.4032872, 0.6575973", "0.3103717, 0.3467122
0.6699733", "0.2969035, 0.3328413, 0.4020123, 0.6563644");
}
fall_transition ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.0534619, 0.0984462, 0.2131112,
"0.0536000, 0.0988296, 0.2131617, 0.7209650", "0.0535970, 0.0987360
0.7211766", "0.0532407, 0.0984438, 0.2132380, 0.7190818");
}
}
timing () {
timing_type : "preset";
timing_sense : "negative_unate";
related_pin : "SETB";
cell_rise ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.1627506, 0.2044390, 0.3027482,
"0.1728159, 0.2141307, 0.3123787, 0.7296960", "0.2096843, 0.2512230
0.7667211", "0.2881919, 0.3320701, 0.4294216, 0.8443071");
}
rise_transition ("del_1_4_4") {

1.3351082",
, 0.3581634,

0.5404583",
, 0.3348128,

0.7200983",
, 0.2130322,

index_1("0.016, 0.064, 0.256, 1.024");


index_2("1, 15, 52, 208");
values("0.0505417, 0.1320197, 0.3580987,
"0.0507332, 0.1312476, 0.3579105, 1.3359587", "0.0513965, 0.1326366
1.3398527", "0.0592374, 0.1390281, 0.3606651, 1.3397261");
}
cell_fall ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.1810026, 0.2169947, 0.2861639,
"0.1915471, 0.2278848, 0.2967406, 0.5509034", "0.2297459, 0.2657934
0.5889876", "0.3105324, 0.3473214, 0.4171209, 0.6715701");
}
fall_transition ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.0531658, 0.0982292, 0.2124989,
"0.0532068, 0.0986237, 0.2132322, 0.7186672", "0.0538405, 0.0987776
0.7190156", "0.0601855, 0.1037592, 0.2171300, 0.7203388");
}
}
}

pin (QN) {
related_power_pin : "VDD";
related_ground_pin : "VSS";
power_down_function : "!VDD + VSS";
direction : "output";
function : "IQN";
max_capacitance : 208.000000;
max_transition : 1.357074;
internal_power () {
related_pin : "D";
rise_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("-2.5447107, -5.6162998, -9.04483
90, -13.1483647", "-2.3352801, -5.3534404, -8.7457114, -12.5357529", "-3.125943
5, -5.6958226, -8.7037920, -12.2408226", "-7.0074101, -6.8681476, -7.4608645, -9
.8405726");
}
fall_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("2.1804110, 22.9665059, 41.491509
6, 55.0496915", "2.1685943, 22.9930375, 41.5435457, 55.1282112", "2.1741832, 23.
4225241, 42.3734063, 56.2447148", "2.3173898, 26.2808976, 47.5611062, 63.1277684
");
}
}
internal_power () {
related_pin : "CLK";
rise_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("-4.0721783, -7.0111393, -10.3257
743, -14.5493574", "-3.8342333, -6.7442602, -10.0340634, -14.2352766", "-4.46494
13, -7.0047579, -9.9231647, -13.9688619", "-7.9012538, -8.1653405, -9.0777960, 11.4034290");
}
fall_power ("power_outputs_1") {

index_1("0.016, 0.064, 0.256, 1.024");


index_2("1, 15, 52, 208");
values("2.2773837, 24.2804324, 43.907232
6, 58.2063392", "2.2669284, 24.3102992, 43.9956802, 58.3482410", "2.2651561, 24.
6906488, 44.7051001, 59.3166348", "2.3373803, 26.9159282, 48.8712339, 64.9176784
");
}
}
internal_power () {
related_pin : "SETB";
rise_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("-0.3874270, -6.2965956, -12.2365
471, -17.9621188", "-0.0766471, -5.9590894, -11.9161775, -18.5297218", "0.080493
0, -5.1554499, -10.8040337, -16.8233312", "-0.1542388, -1.4774908, -4.5363679, 9.5338225");
}
fall_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("1.9841618, 20.8696911, 37.753035
5, 50.0718297", "1.9741734, 20.8817793, 37.7549730, 50.1528790", "2.0142034, 21.
5227319, 38.7384340, 51.2809861", "2.2797274, 25.3007822, 44.6972404, 58.3179211
");
}
}
timing () {
related_pin : "D";
timing_sense : "negative_unate";
cell_rise ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.2113456, 0.2598732, 0.3639720,
0.7800101", "0.2216610, 0.2702954, 0.3742981, 0.7902846", "0.2639359, 0.3123491
, 0.4164074, 0.8323803", "0.3799357, 0.4291208, 0.5329577, 0.9482036");
}
rise_transition ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.0604657, 0.1434850, 0.3661870,
1.3367800", "0.0590035, 0.1438190, 0.3662245, 1.3570740", "0.0597630, 0.1437058
, 0.3661266, 1.3568434", "0.0645083, 0.1486265, 0.3683089, 1.3314619");
}
cell_fall ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.1796390, 0.2256972, 0.3139210,
0.6433336", "0.1900928, 0.2362044, 0.3245018, 0.6538527", "0.2148556, 0.2609832
, 0.3492687, 0.6786626", "0.2401650, 0.2862794, 0.3746802, 0.7038764");
}
fall_transition ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.0591011, 0.1180175, 0.2668047,
0.9291945", "0.0592382, 0.1180191, 0.2671057, 0.9300674", "0.0596191, 0.1183103
, 0.2670031, 0.9284942", "0.0639490, 0.1212623, 0.2690503, 0.9318689");
}
}
timing () {

0.7666854",
, 0.3725979,

1.3383028",
, 0.3652064,

0.6925170",
, 0.3851483,

0.9280481",
, 0.2672164,

0.6588480",
, 0.2898222,

1.3377027",
, 0.3693451,

0.5671181",
, 0.2867585,

timing_type : "rising_edge";
related_pin : "CLK";
cell_rise ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.1982016, 0.2466802, 0.3508130,
"0.2077565, 0.2562348, 0.3603845, 0.7763258", "0.2200967, 0.2684498
0.7890855", "0.2063875, 0.2547693, 0.3588733, 0.7746989");
}
rise_transition ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.0579555, 0.1421796, 0.3655962,
"0.0586047, 0.1421631, 0.3655858, 1.3374045", "0.0577370, 0.1417541
1.3327021", "0.0579438, 0.1423065, 0.3671117, 1.3383974");
}
cell_fall ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.2290696, 0.2750922, 0.3633118,
"0.2384523, 0.2845586, 0.3727330, 0.7020428", "0.2508640, 0.2968771
0.7144400", "0.2371455, 0.2833322, 0.3714904, 0.7008266");
}
fall_transition ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.0594987, 0.1183560, 0.2669394,
"0.0598381, 0.1183232, 0.2672334, 0.9278920", "0.0595940, 0.1181253
0.9271872", "0.0595471, 0.1183219, 0.2672796, 0.9317996");
}
}
timing () {
timing_type : "clear";
timing_sense : "positive_unate";
related_pin : "SETB";
cell_rise ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.0905695, 0.1387181, 0.2428211,
"0.1010009, 0.1492170, 0.2530775, 0.6696997", "0.1385060, 0.1867956
0.7051808", "0.2083894, 0.2633831, 0.3704406, 0.7842682");
}
rise_transition ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.0548259, 0.1403665, 0.3642867,
"0.0545728, 0.1400448, 0.3642917, 1.3299107", "0.0649570, 0.1489413
1.3311779", "0.1078700, 0.1883189, 0.3963888, 1.3489777");
}
cell_fall ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.1045661, 0.1501980, 0.2380684,
"0.1145493, 0.1601425, 0.2480816, 0.5772051", "0.1526941, 0.1988367
0.6153590", "0.2304075, 0.2829012, 0.3769675, 0.7078269");
}
fall_transition ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("1, 15, 52, 208");
values("0.0551081, 0.114645, 0.2649017,

0.9297502", "0.0548951, 0.1147493, 0.2649265, 0.9283294", "0.0661795, 0.1229240,


0.2692499, 0.9312243", "0.1075478, 0.1620007, 0.2991971, 0.9419586");
}
}
}
}
/*Neg edge DFF with sync low active reset-john */
cell (DFFNSRX1) {
cell_footprint : "DFFNSR_SOMESH";
area : 35.042 ;
pin_opposite("QN","Q");
cell_leakage_power : 1.5928e+05;
driver_waveform_rise : "preDrv";
driver_waveform_fall : "preDrv";
ff (IQ,IQN) {
clocked_on : "CLK";
next_state : "(RSTB*D)";
}
pg_pin (VDD) {
voltage_name : "VDD";
pg_type : "primary_power";
}
pg_pin (VSS) {
voltage_name : "VSS";
pg_type : "primary_ground";
}
leakage_power () {
when : "(CLK'*D'*RSTB')";
value : "1.809e-05";
}
leakage_power () {
when : "(CLK'*D'*RSTB)";
value : "1.822e-05";
}
leakage_power () {
when : "(CLK'*D*RSTB')";
value : "9.725e-06";
}
leakage_power () {
when : "(CLK'*D*RSTB)";
value : "1.919e-05";
}
leakage_power () {
when : "(CLK*D'*RSTB')";
value : "9.668e-06";
}
leakage_power () {
when : "(CLK*D'*RSTB)";
value : "9.725e-06";
}
leakage_power () {
when : "(CLK*D*RSTB')";
value : "4.094e-06";
}
leakage_power () {
when : "(CLK*D*RSTB)";
value : "5.658e-06";
}
pin (CLK) {

fanout_load : 0.065;
related_power_pin : "VDD";
related_ground_pin : "VSS";
direction : "input";
clock : true;
min_pulse_width_low : 0.218723;
min_pulse_width_high : 0.113312;
rise_capacitance_range (1.501812, 1.501812 );
rise_capacitance : 1.501812;
capacitance : 1.444669;
fall_capacitance_range (1.387527, 1.387527 );
fall_capacitance : 1.387527;
max_transition : 1.024000;
internal_power () {
when : "!D&!RSTB&Q&!QN";
fall_power ("power_inputs_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("11.8573492, 11.5362363, 11.19490
23, 11.9949649");
}
rise_power ("power_inputs_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("57.4635081, 58.9722395, 62.83873
99, 68.3925546");
}
}
}
pin (D) {
fanout_load : 0.064;
related_power_pin : "VDD";
related_ground_pin : "VSS";
nextstate_type : "data";
direction : "input";
rise_capacitance_range (1.333788, 1.333788 );
rise_capacitance : 1.333788;
capacitance : 1.270354;
fall_capacitance_range (1.206920, 1.206920 );
fall_capacitance : 1.206920;
max_transition : 1.024000;
timing () {
timing_type : "setup_rising";
related_pin : "CLK";
rise_constraint ("vio_4_4_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
index_2("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("0.1540322, 0.1722195, 0.2187997,
0.265472", "0.1444208, 0.1610955, 0.2074412, 0.2672428", "0.1279182, 0.1441947,
0.1862612, 0.2516713", "0.1751658, 0.1991579, 0.2405394, 0.27587270");
}
fall_constraint ("vio_4_4_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
index_2("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("0.1796241, 0.1819069, 0.2350761,
0.3795848", "0.1592697, 0.1796596, 0.2153536, 0.3681967", "0.1516943, 0.14859

90, 0.1963954, 0.3366340", "0.1481335, 0.1592457, 0.1995343, 0.3522169");


}
}
timing () {
timing_type : "hold_rising";
related_pin : "CLK";
rise_constraint ("vio_4_4_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
index_2("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("-0.0879243, -0.0999710, -0.13351
92, -0.1756672", "-0.0824660, -0.0933676, -0.1266241, -0.1726598", "-0.0819472,
-0.0926723, -0.1335839, -0.1772367", "-0.1328939, -0.1428960, -0.1836082, -0.239
5763");
}
fall_constraint ("vio_4_4_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
index_2("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("-0.0813676, -0.0926338, -0.13431
60, -0.2495410", "-0.0734335, -0.0876236, -0.1253431, -0.25300196", "-0.0633451,
-0.0743368, -0.1134522, -0.2264933", "-0.0618315, -0.0695233, -0.1171396, -0.26
43632");
}
}
internal_power () {
when : "!CLK&!RSTB&Q&!QN";
fall_power ("power_inputs_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("1.2948729, 0.4218851, -0.4624948
, -0.5595153");
}
rise_power ("power_inputs_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("91.3101993, 91.2093512, 91.85669
28, 93.7180509");
}
}
}
pin (RSTB) {
fanout_load : 0.083;
related_power_pin : "VDD";
related_ground_pin : "VSS";
nextstate_type : "data";
direction : "input";
rise_capacitance_range (2.231362, 2.231362 );
rise_capacitance : 2.231362;
capacitance : 2.108054;
fall_capacitance_range (1.984745, 1.984745 );
fall_capacitance : 1.984745;
max_transition : 1.024000;
timing () {
timing_type : "setup_rising";
related_pin : "CLK";
rise_constraint ("vio_4_4_1") {
index_1("0.0160000, 0.0640000, 0.2560000

, 1.0240000");
index_2("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("0.1669359, 0.1751999, 0.1991532,
0.2296574", "0.1594670, 0.1619792, 0.1866552, 0.2191402", "0.1395006, 0.1495373
, 0.1695758, 0.1975599", "0.1829499, 0.1919370, 0.2093429, 0.2399362");
}
fall_constraint ("vio_4_4_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
index_2("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("0.2096793, 0.2196385, 0.2692369,
0.4311992", "0.1969374, 0.2198930, 0.2559115, 0.4399462", "0.1913916, 0.1961102
, 0.2399297, 0.3991529", "0.1994983, 0.1964256, 0.2431932, 0.4039384");
}
}
timing () {
timing_type : "hold_rising";
related_pin : "CLK";
rise_constraint ("vio_4_4_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
index_2("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("-0.0993167, -0.1033383, -0.12301
23, -0.1313420", "-0.0933670, -0.1053334, -0.1205668, -0.1361538", "-0.0939393,
-0.1013184, -0.1246033, -0.1412391", "-0.1434146, -0.1516382, -0.1763039, -0.210
9802");
}
fall_constraint ("vio_4_4_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
index_2("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("-0.1168615, -0.1254634, -0.16945
26, -0.3039676", "-0.1099718, -0.1196439, -0.1636940, -0.2994521", "-0.0996487,
-0.1094346, -0.1531983, -0.3030410", "-0.1034926, -0.1095971, -0.1539657, -0.312
3672");
}
}
internal_power () {
when : "!CLK&!D&Q&!QN";
fall_power ("power_inputs_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("0.8120882, 0.4413040, 0.0672693,
-0.1783132");
}
rise_power ("power_inputs_1") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
values("101.5500552, 102.6030782, 105.55
81622, 107.3513629");
}
}
}
pin (Q) {
related_power_pin : "VDD";
related_ground_pin : "VSS";

power_down_function : "!VDD + VSS";


direction : "output";
function : "IQ";
max_capacitance : 208.000000;
max_transition : 1.336927;
internal_power () {
related_pin : "CLK";
rise_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("15, 26, 52, 104");
values("-0.5175320, -0.5608280, -0.97438
00, -4.2892846", "-0.5551138,-0.5973739, -0.9871752, -4.2310911", "-0.6519213, 0.4014442, -0.4895107, -3.5861335", "-1.0584498, 1.6557559, 3.4118484, 2.1233141
");
}
fall_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("15, 26, 52, 104");
values("-26.6976474, -2.6451984, 19.1982
850, 34.4898149", "-29.4178753, -5.3352715, 16.5160229, 1.8181282", "-38.2771485
, -13.8713183, 8.2726449, 23.8048135", "-76.1204550, -49.5922640,-25.5348850, -8
.6093501");
}
}
timing () {
timing_type : "rising_edge";
related_pin : "CLK";
cell_rise ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("15, 26, 52, 104");
values("0.2578697, 0.2998478, 0.3983487,
0.8152088", "0.2686401, 0.3107677, 0.4095214, 0.8259239", "0.2912143, 0.3329304
, 0.4319046, 0.8486378", "0.2989785, 0.3410262, 0.4396084, 0.8556560");
}
rise_transition ("del_1_4_4") {
index_1("0.0160000, 0.0640000, 0.2560000
, 1.0240000");
index_2("15, 26, 52, 104");
values("0.0507827, 0.1315146, 0.3558024,
1.3356058", "0.0508792, 0.1314445, 0.3557761, 1.3359119", "0.0508962, 0.1312430
, 0.3546954, 1.3353310", "0.0515124, 0.1312629, 0.3559877, 1.3369266");
}
cell_fall ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("15, 26, 52, 104");
values("0.2686965, 0.3032761, 0.3742331,
0.6571350", "0.2796021, 0.3141507, 0.3852225, 0.6681397", "0.3024288, 0.3371116
, 0.4081331, 0.6911836", "0.3111784, 0.3457183, 0.4167874, 0.6996865");
}
fall_transition ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("15, 26, 52, 104");
values("0.0401417, 0.0886235, 0.2189958,
0.7967637", "0.0403668, 0.0886630, 0.2187770, 0.7948026", "0.0404509, 0.0887242
, 0.2187047, 0.7965912", "0.0402018, 0.0885780, 0.2185614, 0.7975815");
}
}
}
pin (QN) {
related_power_pin : "VDD";

related_ground_pin : "VSS";
power_down_function : "!VDD + VSS";
direction : "output";
function : "IQN";
max_capacitance : 208.000000;
max_transition : 1.360483;
internal_power () {
related_pin : "CLK";
rise_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("15, 26, 52, 104");
values("-29.2315758, -29.6596642, -31.77
35508, -37.0353164", "-31.9574559, -32.3594571, -34.4553661, -40.2081583", "-40.
8108864, -40.9058273, -42.7188452, -47.4178395", "-78.8074179, -76.7089347, -76.
4847132, -79.8672002");
}
fall_power ("power_outputs_1") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("15, 26, 52, 104");
values("2.2339123, 27.3409944, 48.063910
8, 61.8253808", "2.1966312, 27.3318087, 48.0969883, 61.9232145", "2.0990355, 27.
5446496, 48.5441956, 62.5214234", "1.8613391, 29.4986198, 52.5107905, 67.8002090
");
}
}
timing () {
timing_type : "rising_edge";
related_pin : "CLK";
cell_rise ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("15, 26, 52, 104");
values("0.2155043, 0.2628648, 0.3681386,
0.7834078", "0.2263102, 0.2738799, 0.3791542, 0.7954374", "0.2492129, 0.2967974
, 0.4020679, 0.8171558", "0.2578814, 0.3054509, 0.4106647, 0.8252744");
}
rise_transition ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("15, 26, 52, 104");
values("0.0832604, 0.1652999, 0.3853042,
1.3498889", "0.0831410, 0.1654346, 0.3848894, 1.3434767", "0.0825424, 0.1651273
, 0.3850424, 1.3589307", "0.0816797, 0.1640291, 0.3844561, 1.3497988");
}
cell_fall ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("15, 26, 52, 104");
values("0.2096661, 0.2587809, 0.3494931,
0.6306861", "0.2206194, 0.2696932, 0.3603788, 0.6416421", "0.2426399, 0.2917366
, 0.3822230, 0.6634663", "0.2510897, 0.2999956, 0.3903836, 0.6716160");
}
fall_transition ("del_1_4_4") {
index_1("0.016, 0.064, 0.256, 1.024");
index_2("15, 26, 52, 104");
values("0.0955164, 0.1502121, 0.2732901,
0.7666057", "0.0955038, 0.1500825, 0.2734781, 0.7692593", "0.0962692, 0.1501789
, 0.2736737,0.7698569", "0.0969763, 0.1515389,0.2747853,0.7695420");
}
}
}
}
}

Anda mungkin juga menyukai