Anda di halaman 1dari 7

COMPONENTE PRCTICO DE SISTEMAS

SECUENCIALES
PRACTICA: 1
Cristian Camilo Ortiz
ccoa627@hotmail.com
Diana Katherine Martnez Vega
dianak-martinez85@hotmail.com
Edward Triana Moreno
trianamorenoe1983@hotmail.com
Alexander Villamil Poveda.
veneno83@hotmail.com
Alejandro Contreras
alejosoft1@hotmail.com
UNIVERSIDAD NACIONAL ABIERTA Y A DISTANCIA - UNAD
Escuela de Ciencias Bsicas, Tecnologa e Ingeniera
Bogot Colombia

Abstract
Build a vehicle driven by two DC motors
capable of detecting an obstacle from a
switch on the front impact and sends a
signal to the motors so that they rotate in
opposite the obstacle by certain times and
then continues advancing. The vehicle
should start moving in reverse for two
seconds and then must continue its forward
march.
Resumen
Construir un vehculo impulsado por dos
motores D.C. capaz de detectar un
obstculo a partir de un switch impactando
en la parte frontal, enviando una seal a los
motores para que estos giren en lado
opuesto al obstculo por determinados
tiempos, para luego continuar el avance. El
vehculo debe iniciar la marcha en reversa
durante dos segundos y luego debe seguir
su marcha hacia adelante.
Introduccin

En este trabajo aplicamos los conocimientos


de circuitera combinacional y circuitos
secuenciales de la primera unidad, para
desarrollar un vehculo que al encontrar un
obstculo retroceda y cambie la direccin.
Los sensores son los directamente
encargados de que el carro pueda
comunicarse con el entorno.
Este trabajo nos suministra la oportunidad
de ampliar los conocimientos sobre la
primera unidad del curso y debatir con los
compaeros de grupo de trabajo con el fin
de concluir el consolidado final.
OBJETIVO GENERAL

Disear e implementar un carro


evasor de obstculos utilizando
conceptos de flip flop, compuertas,
puente H, temporizador 555 entre
otros.
OBJETIVOS ESPECIFICOS

Utilizar el microprocesador 555 para


controlar el tiempo de reversa del
carro
Disear un diagrama convencional
del funcionamiento del carro evasor
de obstculos.
Desarrollar un carro que se mueva
constantemente hacia adelante, de
manera que cuando encuentre un
obstculo de reversa y cambie de
direccin.
Comprender el funcionamiento de
los Flip-flop JK.
Controlar el direccionamiento en dos
sentidos de motores a travs de un
Puente H.
Identificar los diferentes tipos de
compuertas
lgicas
y
su
configuracin.
Manejar el simulador VHDL de
manera gil y concreta.

Palabras claves

Compuertas,
motor,
puente
microprocesador 555,flip flop,

H,

DESARROLLO DE LA ACTIVIDAD
PROCEDIMIENTO

1. Identificacin del Problema


Cules son los elementos necesarios
tanto en diseo como en construccin
para que un mvil detecte un obstculo
en su camino y sea capaz de evadirlo?
Un vehculo puede ser impulsado por dos
motores DC detectando un obstculo a
partir de un switch que es impactado en la
parte frontal, enviando as una seal a los
motores para que estos giren en lado
opuesto al obstculo durante un tiempo
determinado y luego continuar el avance.
Los materiales y el diseo del mvil
cumplirn con las condiciones necesarias
para el adecuado funcionamiento del
vehculo garantizando as el tiempo y el
voltaje del circuito.

2. Recoleccin de la informacin
necesaria
Circuito integrado 555: El temporizador IC
555 es un circuito integrado (chip) que se
utiliza en una variedad de aplicaciones y se
aplica en la generacin de pulsos y de
oscilaciones. El 555 puede ser utilizado para
proporcionar retardos de tiempo, como un
oscilador, y como un circuito integrado flipflop. Sus derivados proporcionan hasta
cuatro circuitos de sincronizacin en un solo
paquete.

Figura1.Descripcin de las patillas del temporizador


555

Pines del 555.


GND (normalmente la 1): es el polo
negativo de la alimentacin, generalmente
tierra (masa).
Disparo (normalmente la 2): Es donde se
establece el inicio del tiempo de retardo si el
555 es configurado como monoestable. Este
proceso de disparo ocurre cuando esta
patilla tiene menos de 1/3 del voltaje de
alimentacin. Este pulso debe ser de corta
duracin, pues si se mantiene bajo por
mucho tiempo la salida se quedar en alto
hasta que la entrada de disparo pase a alto
otra vez.
Salida (normalmente la 3): Aqu veremos el
resultado de la operacin del temporizador,
ya sea que est conectado como
monoestable, astable u otro. Cuando la
salida es alta, el voltaje ser el voltaje de
alimentacin (Vcc) menos 1.7 V. Esta salida
se puede obligar a estar en casi 0 voltios con
la ayuda de la patilla de reinicio
(normalmente la 4).
Reinicio (normalmente la 4): Si se pone a
un nivel por debajo de 0.7 Voltios, pone la
patilla de salida a nivel bajo. Si por algn

motivo esta patilla no se utiliza hay que


conectarla a alimentacin para evitar que el
temporizador se reinicie.
Control de voltaje (normalmente la 5):
Cuando el temporizador se utiliza en el
modo de controlador de voltaje, el voltaje
en esta patilla puede variar casi desde Vcc
(en la prctica como Vcc -1.7 V) hasta casi
0 V (aprox. 2 V menos). As es posible
modificar los tiempos. Puede tambin
configurarse para, por ejemplo, generar
pulsos en rampa.
Umbral (normalmente la 6): Es una entrada
a un comparador interno que se utiliza para
poner la salida a nivel bajo.
Descarga (normalmente la 7): Utilizado
para descargar con efectividad el
condensador externo utilizado por el
temporizador para su funcionamiento.
Voltaje
de
alimentacin
(VCC)
(normalmente la 8): es la patilla donde se
conecta el voltaje de alimentacin que va de
4.5 V hasta 16 V.

Figura 3. Puente
1. Bsqueda de soluciones creativas
Lluvia de ideas:
Idea 1:
Solucin del problema con 2 motores, 2
microswitches y 2 temporizadores.
2 motores, controlador de motores l298, 2
74ls74, 2 temporizadores 555 y 2
microswitches.
Idea 2:
Solucin del problema con un solo
temporizador

Figura 2. 555

Temporizadores 555, (ayuda a que gire solo


un motor por 3 segs), 2 switches, flip flop
jk, compuertas and las cuales cierran la
seal de uno de los 2 motores.

Puente H

Idea 3

Un Puente H es un circuito electrnico que


permite a un motor elctrico DC girar en
ambos sentidos, avance y retroceso.
Los puentes H estn disponibles como
circuitos integrados, pero tambin pueden
construirse a partir de componentes
discretos.

Solucin del problema con 02 motores ,02


microswtiches y un temporizador 555.
Tenemos dos microswitches que sern
accionados, cualquiera de los dos, por
cualquiera obstculo, temporizador 555
que da un retardo de 2 seg, y en la salida
tenemos 02 motores que iran cada uno a
cada rueda trasera del carro, donde estos
giraran hacia
adelante o hacia atrs
teniendo en cuenta el flip flop que realiza la
seleccin del motor para que cambie la
inversin de giro.

1. Pasar de la idea principal al


diseo preliminar
Finalmente se decide trabajar con un solo
temporizador y a travs de compuertas
AND.
De la siguiente forma

Figura 6. Bloques de funcionamiento


Figura 4. Diagrama defuncionamiento

5. Evaluacin y seleccin de la solucin


FUNCIONAMIENTO
FUENTE DE ALIMENTACION (Etapa de
Power):
Est compuesta por un Circuito Integrado
LM7805, el cual regula el voltaje que
convierte su entrada en 9V y entrega 5V en
la salida, se cuenta con un switch de power
el cual energiza y des energiza el circuito.

Figura 5. Diagrama

ETAPA DE CONTROL
Esta etapa est compuesta por 2 fases:
TEMPORIZADOR: Est compuesta por el
circuito integrado 555 asncrono, el cual
cuenta durante 3seg cuando un solo motor
trabaja en reversa y luego empieza su
marcha hacia adelante.
ETAPA LOGICA Est conformada por los
circuitos integrados 7404 Compuerta NOT
la cual realiza una negacin en el circuito de
la parte de control del L293, el cual hace
que los motores giren adelante al tiempo o 1
en revesa; 7408 Compuerta AND, 7473 Flip
Flop.
ETAPA DE POTENCIA (Puente H):
Esta comandada por el L293D, el cual
maneja los dos motores en sentido derecho
y nos invierte a la izquierda.

LISTADO DE COMPONENTES

1 Batera de 9 voltios

2 Motores

2 Finales de carrera

Resistencias de 10k, 3 de 1k, 22k y


27k

2 Condensadores 0.1uf y 100uf

1 Circuito integrado NE 555

3 Circuitos Integrados 7408,


compuerta AND

2 Circuitos Integrados 7405,


compuerta NOT

1 Circuito Integrado 7473, FLIP


-FLOP TIPO JK

1 Circuito Integrado L293D,


PuenteH

4 LED

Cable para protoboard


1Protoboard
2 Motores reductores

6. Preparacin de reportes, planos y


especificaciones
TABLA DE VERDAD
Tabla1. Derivadas de entrada y salida

MAPAKARNAUGH
Como
primera
medida
tenemos que para realizar el
mapa de Karnaugh con tres
variables de entrada.

0
0
0
0
1
1
1
1

0
0
1
1
0
0
1
1

0
1
0
1
0
1
0
1

0
0
0
0
1
0
1
1

Selellamanalassalidas1MINTEHRSy
entonceslarealizamoslasiguientefuncin
tomandolassalidas1.
S=(a,b,c)=a

+abc

SIMPLIFICAMOSLAFUNCION
UTILIZANDOMAPASDE
KARNAUGH.
a

bc

00

10

11

Figura7. Simulador en proteus del circuito

CIRCUITO EN EL PROTOBOARD
01

0
1

a
1
1

b
0
1

c
0
0

a
1
1

b
1
1

c
0
1

Figura 8.circuito protoboard

Solucin:
S=

LENGUAJEVHDL
PLANO DE SIMULACION EN
PROTEUS

puede ser en segundos hasta horas


por flanco de bajada o subida.

7. Implementacin del diseo.


LINK DE SIMULACION DEL
CIRCUITO
https://www.youtube.com/watch?
v=ZTnrmsGcAqs&feature=youtu.be
LINK DEL CIRCUITO EN FISICO
https://www.youtube.com/watch?
v=HJ1WVNyYeEw
CONCLUSIONES

El 555 es un integrado el cual


podemos programar un tiempo que

http://es.wikipedia.org/wiki/Circuito_integra
do_555

Los flipflops son


capaz de
permanecer en uno de dos estados
posibles
durante
un
tiempo
indefinido
en
ausencia
de
perturbaciones. Esta caracterstica es
ampliamente utilizada en electrnica
digital para memorizar informacin.
El paso de un estado a otro se
realiza variando sus entradas.

CIBERGRAFIA
http://metododeingenieria.wordpress.com/c
ategory/pasos-del-metodo-de-ingenieria/

Anda mungkin juga menyukai