Anda di halaman 1dari 12

Testing at MultiGbps Rates

Jitter Models for the Design


and Test of Gbps-Speed
Serial Interconnects
Nelson Ou, Touraj Farahmand, Andy Kuo,
Sassan Tabatabaei, and Andr Ivanov
University of British Columbia

valid for describing a Gaussian distribution such as RJ. Moreover, a simple RMS
or peak-to-peak number cannot sufciently describe the characteristics of different types of jitter. Overall, we need
more-accurate jitter and noise models to
allow better predictions and characterizations of devices subject to jitter effects.
One difculty with jitter analysis is identifying the different jitter components contributing to TJ.
Deconvolution algorithms such as the TailFit algorithm
can separate TJ into its random and deterministic components.2-4 Another method uses a real-time sampling
oscilloscope to capture the timing information of each
edge transition in a data stream. Proper techniques can
then extract jitter parameters directly from the acquired
data set. We can further decompose DJ to model the different impacts of its subcomponents on link performance. This article presents models that allow for such
further jitter decomposition.

Editors note:
Gigabit data rates in high-speed interconnects require careful modeling of
jitter and its effect on the bit error rates. This article presents a comprehensive
analysis of jitter causes and types, and develops accurate jitter models for
design and test of high-speed interconnects.
Dimitris Gizopoulos, University of Piraeus

THE RECENT DEPLOYMENT of gigabit-per-second


(Gbps) serial I/O interconnects aims at overcoming data
transfer bottlenecks resulting from the limited ability to
increase chip pin counts in parallel bus architectures.
Gigabit-per-second data rates in todays asynchronous I/O
interconnects introduce new signal integrity issues. The
traditional measure of a communication links performance has been its associated bit error rate (BER), which
is the ratio of the number of bits received in error to the
total number of bits transmitted. When data rates
increase, jitter magnitude and signal amplitude noise
must decrease to maintain the same BER. As data rates
exceed 1 Gbps, a slight increase in jitter or amplitude
noise has a far greater effect on the BER.
Specifying jitter and noise simply through peak-to-peak
or root-mean-square (RMS) values is inadequate and
insufciently accurate.1 Peak-to-peak value is sample-size
dependent and is inaccurate in the presence of random
noise because, by denition, random noise is unbounded. A peak-to-peak random jitter (RJ) measurement is
ambiguous without an established boundary condition.
Conversely, describing total jitter (TJ) simply by an RMS
value is inaccurate in the presence of nonrandom noise.
This is because a deterministic jitter (DJ) probability density function (PDF) can take any form and might have little correlation with a DJ RMS value. An RMS value is only

302

0740-7475/04/$20.00 2004 IEEE

Jitter definition
Jitter is the deviation of a signals timing event from
its intended (ideal) occurrence in time, as shown in
Figure 1a. Traditionally, an eye diagram, like that shown
in Figure 1b, has served to specify signal integrity limits,
including jitter. Its possible to express jitter in absolute
time or normalized to a unit interval (UI). A UI is the
ideal or average time duration of a single bit or the reciprocal of the average data rate. An eye diagram is a
composite of all the bit periods of the captured bits
superimposed on each other relative to a bit clock
(recovered or available from the source). We call the
area within the eye the eye opening.

Copublished by the IEEE CS and the IEEE CASS

IEEE Design & Test of Computers

Ideal
timing
event

Total jitter (TJ)


Deterministic jitter (DJ)

Periodic jitter
(PJ)
(a)

Random jitter (RJ)

Data-dependent
jitter (DDJ)

Bounded uncorrelated
jitter (BUJ)

Jitter

Left eye crossing

Right eye crossing

Duty-cycle distortion
(DCD)

Intersymbol
interference (ISI)

Figure 2. Subcomponents of total jitter.


Eye opening

crossing the eye mask is violating the


specication. A transmitter and receiver
would normally have different specica1 unit interval
tions, and thus different eye masks.
tUI = 0
tUI = 1
Figure 1c shows combined transmitter
(b)
and receiver eye diagrams with corresponding eye masks fitted over the eye
openings. Overlapping the transmitter
and receiver eye masks, as Figure 1d
shows, gives a measure of signal amplitude attenuation budget and jitter budget
0.1
0.2
0.3
0.4
0.5
0.6
0.7
0.8
0.9
for the interconnect.5
TJs two subcategories are DJ and
RJ.3,6-8 Figure 2 shows TJs various subcomponents.3
A serial communication links jitter
0.1
0.2
0.3
0.4
0.5
0.6
0.7
0.8
0.9
specifications normally indicate TJ and
(c)
either RJ or DJor both. When RJ
Attenuation
appears as a peak-to-peak value, some
Transmitter
budget
I/O standards define TJ as equal to the
eye mask
sum of RJ and DJ when these are
expressed in time units.2 When jitter is
Receiver
eye mask
expressed through a PDF, the TJs PDF is
Jitter budget
equal to the convolution of its RJ and DJ
(d)
components.9
Figure 1. Jitter (a) and eye diagram (b). Examples of
DJ in turn comprises several subcomponents. Sinusoidal jitter/periodic jitter
transmitter (top) and receiver (bottom) eye diagrams with
(PJ) refers to periodic variations of signal
masks (c) specify signal integrity limits. Overlapping the
edge positions over time. Possible causmasks gives an indication of attenuation and jitter budgets (d).
es of PJ are electromagnetic interference
sources such as power supplies. Bounded
Specic protocol AC and DC specications can con- uncorrelated jitter (BUJ) is typically due to coupling
struct so-called eye masks. Such eye masks can repre- for example, from adjacent data-carrying links or onsent the minimum signal requirements at the transmitter chip random logic switching.8 BUJ is bounded owing to
output or at the receiver input. In device characteriza- the finite coupling strength, and the exact model
tion stages, fitting an eye mask over an eye opening depends on the data pattern, coupling signal, and coushows signal compliance with a protocol. Any signal pling mechanism. Because generally applicable mod-

JulyAugust 2004

303

Testing at MultiGbps Rates

xs

PDFleft

PDFright

0.5

0.5

xs

Bit error rate


(cumulative
distribution
function)

Figure 3. Obtaining the bit error rate from the total jitter
probability density function.

els arent available, we do not further discuss BUJ in this


article. Data-dependent jitter (DDJ) corresponds to a
variable jitter that depends on the bit pattern transmitted on the link under test. DDJ does not describe jitter
induced by crosstalk resulting from coupling with other
signal paths.
DDJ in turn has two subcomponents. The first DDJ
subcomponent, duty-cycle distortion (DCD), describes
a jitter amounting to a signal having unequal pulse
widths for high and low logic values. Causes of DCD can
be voltage offsets between the differential inputs, and
differences between the systems rise and fall times.8
The second DDJ subcomponent, intersymbol interference (ISI), is jitter that depends on the transmitted patterns. ISI has three main causes:

DJ components is a deconvolution process.9


Convolution and deconvolution processes both require
the description of RJ and DJ components by mathematical functions rather than through simple peak-topeak values. Because jitter is generally a stochastic
process, PDFs can effectively characterize it. In most
practical cases, a Gaussian distribution can characterize RJ.3,7-9 We assume DJ is bounded; it can have a variety of PDFs describing its subcomponents.
Engineers can use the TJ PDF to estimate the BER.1
That is, the BER is essentially the cumulative distribution function (CDF) of the TJ PDFs of the left and right
eye crossings over the time interval in which a bit error
occurs. In Figure 3, the time interval of interest is that to
the right of sampling instant xs for the left eye crossing
and that to the left of xs for the right eye crossing.
Integrating the PDFs of both eye crossings over their
respective time intervals produces the BER function:1
xs

1 PDFLeft ( x )d ( x ) +

1
BER( x s ) = CDF ( x s ) = x s

PDFRight ( x )d ( x )

Figure 3 illustrates the relationships between the TJ PDF


and the BER function. The BER at the bottom of the gure is also known as a bathtub curve.

Random jitter
The following subsections discuss the types of noise
that cause RJ and how engineers model RJ.

Causes

304

Bandwidth limitation of the transmission medium


can result in effects on a single bit that come from
the sequence of preceding bits.
The nonlinear phase response of the transmission
media can cause frequency-dependent group delay.
This nonlinear response causes edge shifts that
depend on the transition density within the data
stream.
Reflections can arise from imperfect transmission
line terminations, resulting in effects on a single bit
that come from the sequence of preceding bits.

RJ comes from device noise sourcesfor example,


thermal effects and flicker.3,4 An example of device
noise is shot noise, which is related to a transistors uctuation in current ow. Thermal noise is a component
of device noise. Electron scattering causes thermal
noise when electrons move through a conducting medium and collide with silicon atoms or impurities in the
lattice. Higher temperatures result in greater atom vibration and increased chances of collisions. Flicker noise,
or 1/frequency noise, results from the random capture
and emission of carriers from oxide interface traps,
which affects carrier density in a transistor.3

Jitter probability density functions and


bit error rate

Modeling random jitter

As mentioned earlier, TJs PDF is the convolution of


its RJ and DJ components. Separating TJ into its RJ and

Engineers commonly model RJ by the Gaussian distribution function

IEEE Design & Test of Computers

J RJ ( x ) =

( x )2

2
2

where JRJ(x) denotes the RJ PDF, is the standard deviation of the Gaussian distribution, and x is the time displacement relative to the ideal time position. Hence, a
Gaussian RJ is completely specified by a single parameterits standard deviation.

Deterministic jitter
The next subsection discusses how system component interaction causes DJ, and the subsequent ones
present a model for each DJ subclass.

Causes
DJ arises from the interaction of different system components. Its major causes include electromagnetic interference, crosstalk, signal reection, driver slew rate, skin
effects, and dielectric loss.3,10 Electromagnetic interference
is the interference from radiated or conducted energy that
comes from other devices or systems. Such radiation can
induce currents on signal wires and power rails, and alter
the signal voltage biases or the reference voltages.
Impedance mismatch between the cables or traces
and a terminating resistor contributes to signal reflections. As a signal propagates and reaches the receiver,
part of the signal energy reects back toward the transmitter. Its possible to estimate the percentage of reected energy relative to signal energy.11

%reflect =

Z L ( ) Z ( )
100%
Z L ( ) + Z ( )

nals impact depends on the transmitted data pattern, we


can assume signal reection is a cause of DDJ.
Above a certain frequency, transmitting conductors
experience a skin effect. This is a phenomenon whereby at high frequencies conductor self-inductance causes the current flow to concentrate on the surface of a
conducting medium. The onset frequency is a function
of the conductors cross-sectional area, impedance, and
other material physical parameters.10,11 The skin effect
increases the conductors resistance because of the
reduction in effective cross-sectional area and leads to
increased attenuation of a signals high-frequency contents. The results are longer rise and fall times, and
degraded signal amplitudes.
Dielectric loss results from the delay of polarization in
the dielectric material when it is subject to a changing
electric eld. In an ideal lossless material, the current
leads the voltage by 90 degrees. But in real material, the
delay in polarization creates a phase lag between the
external electric eld and the resonating molecules,
which leads to a phase difference in current, thus amounting to power loss. Above some frequencies, dielectric losses dominate skin effect losses because dielectric losses
are proportional to the frequency, while skin effect losses
are proportional to the frequencys square root.10
The frequency dependency of skin effect and dielectric losses makes them causes of DDJ. Attenuations due
to skin effect and dielectric losses contribute to the vertical closure of the signal eye. The attenuations also contribute to slower rise and fall rates, which reduce the
horizontal eye opening.
The signal slew rate depends on the signal drivers
ability to drive its load. A strong driver can provide a fast
slew rate and drive higher-frequency signals. When a
high-frequency signals driver is weak, the signal at the
opposite end of the wire might not have enough time to
rise or fall to the desired signal high or low value. Using
a linear phase nite-length impulse response lter with
a cut-off frequency of 1 GHz to emulate a driver, Figure
4 illustrates the slew rate limitation when transmitting a
data pattern at 3 GHz.

Signal value (V)

where ZL is the load impedance, Zo is the wire impedance,


and is the angular frequency of the transmitter signal.
Mismatches in the terminating resistance cause electrons
to literally bounce back to the transmitter. This corrupts
the succeeding bits and reduces the signal-to-noise ratio.
The reected signal energy bounces back and forth until
it dissipates completely. As it bounces, it adds to the original signal out of the phase,
resulting in jitter. If a source
1
side termination resistor is
0
used at the receiving end
and has matching resis1
tance, it will absorb the
0
1
2
3
4
5
Time (ns)
reected signal, and no
data corruption will occur.
Because a reected sig- Figure 4. Signal driver slew rate limitation.

JulyAugust 2004

10

305

Testing at MultiGbps Rates

Duty-cycle distortion model. The sum of two functions can represent the jitter due to DCD.2

Modeling
deterministic jitter

PDF

We assume that DJ
magnitude is bounded.
The following subsections
x
(x W/2)
(x W/2)
present a jitter model for
each DJ subclass. We
have written a MatLab
Figure 5. Probability density
program that simulates
function for duty-cycle distortion.
signal behavior through a
hypothetical transmission
medium modeled by a linear filter with a nonlinear
phase response. For our study, the filter contributes a
signal amplitude distortion, thereby simulating the
amplitude noise and frequency-dependent phase delay
generally encountered in a transmission system. For this
reason, the specic accuracy of the lter model and the
models effects on the transmission medium are not critical. The filter we used in our study has a cut-off frequency of 2.1 GHz and rise and fall times of 0.25 ns.
Signal value (V)

0.5

1
0
1
0

5
Time (ns)

5
Time (ns)

0.1

0.2

0.3

0.4

0.5
Time (ns)

0.6

0.7

Signal value (V)

(a)
1
0
1

Signal value (V)

(b)
1
0
1

No. of occurrences

(c)

0.15

0.20

(d)

0.25

0.30

0.35
0.40
Time (ns)

0.45

J DCD ( x ) =

W
W
) (x + )
2 +
2
2
2

(x

where JDCD(x) is the DCD PDF, W is the peak-to-peak DCD


magnitude, and x is the time displacement relative to the
ideal time position. The two functions represent the rising and falling edges of the signal. The magnitude of
each function is 1/2 because the equation assumes that
there are equal numbers of rising and falling transitions
in the transmitted signal. This is the typical case, for
example, with DC-balanced encoding schemes such as
8b/10b encoding. This characteristic also holds for non8b/10b encoding schemes, as long as the data pattern
has sufcient transitionsthat is, no long sequences of
ones or zeros. Figure 5 shows the DCD PDF.
Figure 6 illustrates the simulation of a 2-Gbps clocklike data signal (Figure
6a) passed through our
transmission path model.
The data signal has a 60%
duty cycle in this case.
Figure 6b shows the signal
8
9
10
at the output of the transmission path model, displaying the effect of that
model on the transmitted
data pattern. Figure 6c displays the eye. The his8
9
10
togram, shown in Figure
6d, clearly shows the jitter
distribution as two delta
functions. This observation validates our assumption for a DCD model.
0.8

0.50

0.9

0.55

1.0

0.60

Figure 6. Example duty-cycle distortion simulation: transmitted bit pattern (a),


transmitted pattern at the output of the transmission path model (b), eye diagram (c),
and jitter histogram (d).

306

Intersymbol interference model. ISI depends


on the transmitted bit pattern. With ISI, the timing of
each edge of the transmitted signal depends on the
bit pattern preceding this
edge, which in this article
we refer to as the edge pattern. Different edge patterns have different

IEEE Design & Test of Computers

PDF

Repeat
pattern

P3
P2

P1

P4

x2

t0

t2

t4

t6

t8

Bit
time

x1
Figure 8. Four distinct edge patterns in a 7-bit pattern.
Figure 7. Intersymbol interference model
probability density function.

frequency components. Fast-changing edge patterns


behave as high-frequency signals; slow-changing edge
patterns behave as low-frequency signals. Because of
the conductors ltering effects, different edge patterns
propagate at different speeds through the conductors.
This difference in propagation speeds causes bits to
smear into adjacent bits, resulting in ISI. To calculate
total ISI, we must know the probability of occurrence of
each edge pattern and the corresponding jitter magnitude. We use Pi to denote the probability that given bit
pattern i will occur; xi is the magnitude of the bit pattern, as illustrated in Figure 7. Assuming that the jitter
magnitude of each distinct edge pattern remains constant over time (it is time invariant2), then a weighted
sum of functions can represent the PDF for each edge,
with the weights corresponding to the edge pattern
probabilities. Thus, the following equation can express
the jitter due to ISI:
N

J ISI ( x ) =

P ( x x )
i

This information lets us calculate the occurrence probability of all edge patterns, which in this case is 1/4.
Figure 9 is the simulation result for transmitting the
bit pattern in Figure 8 over the same transmission path
model as in the previous cases. Figure 9a shows the
transmitted signal, and Figure 9b shows the signal at the
output of the transmission channel. Figure 9b shows the
distortion introduced by the transmission path model
onto the transmitted data pattern, where the amount of
distortion is frequency dependentthat is, dependent
on the data pattern. The eye diagram in Figure 9c displays ISI jitter. The simulation results illustrate four lines
in Figure 9d, derived from the results shown in Figure 9b,
thereby supporting our assumptions about adequately
modeling ISI through a summation of functions.
Periodic jitter model. PJ causes periodic deviation of
transitions from their ideal values over time, as shown
in Figure 10a. The square wave represents a transmitted
signal, and the sine wave represents the periodic edge
deviations. A summation of cosine functions with different phases and amplitudes provides a model for PJ:

i =1

where JISI(x) is the ISI jitter PDF, N is the number of distinct edge patterns, Pi is the probability of occurrence
of edge pattern i, xi is the jitter magnitude for the ith
edge pattern, and x is the time displacement relative to
the ideal time position. Measurements can provide the
jitter magnitude xi of edge pattern i.
Figure 8 shows a repeating 7-bit pattern with four distinct edge patterns, labeled a, b, c, and d. The bit-time
axis labels t0 through t8 designate the beginning of each
bit period. To calculate Pi, its necessary to nd the total
number of occurrences of each edge pattern i over a
given time period. Our simulation repeatedly transmits
the 7-bit pattern in Figure 8 and records edge shifts for
each pattern edge. In practice, the number of sampled
repetitions depends on the per-edge-shift averaging
required to reduce RJ and PJ effects to negligible levels.

JulyAugust 2004

PJ Total (t ) =

A cos( t + )
i

i =0

where PJTotal(t) denotes the total periodic jitter, N is the


number of cosine components (tones), Ai is the corresponding amplitude, i is the corresponding angular frequency, t is the time, and i is the corresponding phase.
The following equation describes the PDF of a singletone PJ:12

2
2
A x
J PJ ( x )=
0

307

Testing at MultiGbps Rates

No. of occurrences

Signal value (V)

Signal value (V)

Signal value (V)

where A is the amplitude of


the PJ sinusoidal component and x is the time dis0
placement relative to the
1
ideal position. Lets assume
0
1
2
3
4
5
6
7
8
9
10
there is only PJ in the sigTime (ns)
(a)
nal. The resulting jitter PDF
will then have a concave
1
shape because there will
0
be a higher proportion of
1
samples having jitter mag0
1
2
3
4
5
6
7
8
9
10
nitudes closer to the sinuTime (ns)
(b)
soidal peaks than those
with smaller jitter magni1
tudes. Measurements can
0
determine the jitter fre1
quencies and phasesfor
example, from Fourier
0
0.1
0.2
0.3
0.4
0.5
0.6
0.7
0.8
0.9
1.0
Time (ns)
(c)
transformation with peak
detection on edge data
acquired using a fast realtime oscilloscope.13 The
PDF in Figure 10b is for a
0
single-tone PJ. If the fre0.245
0.250
0.255
0.260
0.265
0.270
0.275
0.280
quencies of the cosine
Time
(ns)
(d)
components for a multitone PJ are not harmonically related to each other,
Figure 9. Example intersymbol interference simulation: transmitted bit pattern (a),
its possible to estimate the
transmitted pattern at the output of the transmission path model (b), eye diagram (c),
total PJ PDF by convolving
and jitter histogram (d).
the PDFs of individual
components.
Figure 11 illustrates PJs effect on an eye diagram.
Figure 11a shows a clocklike data pattern with a 50%
duty cycle, assumed to be transmitted at 2 Gbps over
the same transmission path model used in previous simTransmitted
signal
t
ulations. Figure 11b shows the signal at the transmission
PJ(t )
path models output. We set the PJ peak amplitude to
100 ps. Figure 11c clearly shows the eye closure caused
A
A
by PJ. Figure 11d illustrates that the histogram corre(a)
sponds to the PJ PDF, which is as expected from the
PDF
model, as in Figure 10. Thus, our simulation results reinforce our PJ model assumptions.
1

1/A
(b)

t
A

Figure 10. Illustration of periodic jitter (a) and the


periodic jitter probability density function (b).

308

Total jitter
We presented RJ and DJ as separate jitter components. In actuality, however, jitter doesnt exist as separate entities but rather as a combination of different jitter
components resulting in TJ. In the time domain, TJ is
simply the sum of its RJ and DJ components. However,

IEEE Design & Test of Computers

Signal value (V)

TJPDF = RJPDF * DJPDF

(a)

where * denotes convolution.

Signal value (V)

when a PDF describes TJ,


the TJ PDF is the convolution of its RJ and DJ components PDFs.

1
0
1
0

5
Time (ns)

10

1
0
1

No. of occurrences

Signal value (V)

Figure 12 shows simula1


2
3
4
5
6
7
8
9
10
0
Time (ns)
tion results from various
(b)
combinations of jitter com1
ponents. We assume a
transmission rate of 2 Gbps
0
as well as the same trans1
mission path model used
0
0.1
0.2
0.3
0.4
0.5
0.6
0.7
0.8
0.9
1.0
in the previous simulations.
Time (ns)
(c)
Figure 12a shows the combined result of PJ and DCD.
The injected PJ has an
amplitude of 40 ps and a
frequency of 5 MHz, while
0.10
0.15
0.20
0.25
0.30
0.35
0.40
0.45
0.50
0.55
the DCD component has a
Time (ns)
(d)
70% duty cycle. A clocklike
signal inhibits ISI jitter and
RJ. The histogram Figure Figure 11. Example periodic jitter simulation: transmitted bit pattern (a), transmitted
12a exhibits two apparent pattern at the output of the transmission path model (b), eye diagram (c), and jitter
concave curves that indi- histogram (d).
cate the convolution of the
PJ PDF and the DCD PDF.
The 40-ps PJ amplitude is obvious from the gure as well. Jitter model design implications
We derive Figure 12b by injecting RJ and PJ onto a
Jitter models break down jitter to its subcomponents
clocklike data pattern with no DCD and assuming the for better estimation of jitter impact on an I/O links BER
same transmission channel as in all other cases. In this performance. These models also have design implicacase, RJ has an amplitude of 10 ps, and we set the PJ tions because different jitter components correlate with
magnitude to 100 ps. The RJ PDF convoluted with the different sources in devices and systems. For example,
PJ PDF will theoretically result in a double-peaked TJ device noise arises when active devices in the transmitPDF. This histogram clearly illustrates the RJ curves at ter or receiver impact the unbounded RJ. Design techits outlying portions and the concave curve character- niques to limit RJ include increasing output stage
istic of the PJ PDF in its center portion.
currents, reducing the number of stages from sampling
Figure 12c is the histogram that results from com- or source clocks to samplers, and using narrow-band
bining RJ and DCD only. RJ, in this case, has an RMS phase-locked loops (PLLs) to reduce power supply noise.
value of 20 ps, and DCD has a 70% duty cycle. The gPJ also has model design implications. PJ typically
ure also shows the convolution of the RJ PDF with the results from coupling different clock sources to the
DCD PDF. Figure 12d is the combined result of RJ, PJ, main transmitting or receiving block. One way to deal
and DCD. The transmitted pattern in this case is a clock- with PJ is to route or move clock sources away from senlike signal. The histograms in Figure 12 demonstrate the sitive circuit parts. Shielding and power-supply bypass
capabilities of our jitter models in capturing the char- are extremely important for combating PJ.
acteristics of TJ PDFs.
Not all PJ components affect link performance in the

JulyAugust 2004

309

No. of occurrences

Testing at MultiGbps Rates

0.15

No. of occurrences

(a)

0.20

0.25

0.30

0.35
Time (ns)

0.40

0.45

0.50

0.55

quency range that cannot


be tracked by the receiver
PLL.
There are multiple
strategies for reducing
DDJ:

No. of occurrences

No. of occurrences

Use proper terminations and avoid discontinuities to reduce


reections.
Balance the rise and
0.10
0.15
0.20
0.25
0.30
0.35
0.40
0.45
0.50
(b)
fall time of the drivers
Time (ns)
to reduce DCD. Some
devices have programmable rise and fall
times, thereby allowing
adjustments to make
these times match
0.15
0.20
0.25
0.30
0.35
0.40
0.45
0.50
0.55
(c)
Time (ns)
each other.
Use transmission paths
with very high bandwidth and highly linear
phase response. However, such paths are a
0.2
0.3
0.4
0.5
0.6
major constraint in
(d) 0.1
Time (ns)
many systems; therefore,
using
preFigure 12. Example total jitter simulations: duty-cycle distortion and periodic jitter (a),
emphasis in transmitrandom jitter and periodic jitter (b), random jitter and duty-cycle distortion (c), and
ters and equalization
random jitter, periodic jitter, and duty-cycle distortion (d).
in receivers can compensate for the transmission paths nonideal frequency response. These
same way. Therefore, a golden PLL can replace the
techniques in particular can drastically reduce DDJ
receiver clock recovery circuit. This permits synthesis
and improve link performance.
of the jitter timing reference from the data stream, as
Figure 13 shows, and the PLL can serve as the timing reference for the measurement instrument.
Jitter measurement methods and
Because the golden PLL extracts, or rejects, the low- applications
frequency PJ, its effect on jitter modeling is to reduce
Although designers can ignore certain jitter compothe importance of the low-frequency PJ components in nents in some applications, they cannot ignore most jitjitter characterization. Failure to implement the low-fre- ter components, which therefore require careful
quency tracking function in the golden PLL results in measurement and characterization. Many jitter meagreater signal degradation because of the otherwise surement methodologies are in use or have been protrackable low-frequency jitters in the data stream.2
posed in the literature. The various methods use time
Two additional design implications for jitter models interval analyzers (TIAs), oscilloscopes, and bit-errorconcern BUJ and DDJ. BUJ comes from crosstalk. Good rate testers (BERTs). Describing all such methods is
isolation techniques, such as shielding and power-sup- beyond the scope of this article. Table 1, however, lists
ply bypass, can reduce this type of jitter. DDJ is a main some key jitter model characteristics that help in measource of jitter in systems and must be minimized suring jitter components, thereby illustrating the applibecause its frequency contents often lie in the high-fre- cation of jitter models in test and measurement. We

310

IEEE Design & Test of Computers

present these jitter measurement methods to show how


they use jitter models in general. Interested readers can
seek out the relevant references for more information.
There are several RJ measurement methods.
Assuming the signal is a simple clocklike pattern and
there are no DJ components, engineers can estimate RJ
from captured histograms. In the presence of other jitter
components or when the transmission is a non-clocklike
data pattern, engineers need other methods for measuring RJ. One such method entails curve-tting algorithms.
Because a jitter histograms tails contain Gaussian RJ
components even when in the presence of DJ, curve-tting algorithms try to nd the best Gaussian t to the tail
regions. The standard deviation of the matched Gaussian
distribution provides the RJ estimate.2
Another method for measuring RJ requires a spectral
analysis, which uses a Fourier transform of the captured
data to reveal the spectral content of the jitter signal.
Because RJ is stochastic, it appears on the spectral
graph as a small-amplitude noise floor across all frequencies. The noise floors RMS value is the RJ RMS
value.13 With BERT measurements, RJ can be calculated using the slope of the BER bathtub curves; these

Data

Data in
Trigger

Golden
PLL

Measurement
instrument

Figure 13. Golden phase-locked loop in the jitter


measurement setup. Possible measurement
instruments include bit-error-rate testers and
oscilloscopes.

curves represent the jitters cumulative distribution function. However, such jitter estimates based on BERT measurements tend to overstate RJ.6
Transmission of a clocklike data pattern permits direct
measurement of DCD by measuring the periods of logic
high and logic low. ISI doesnt exist in this case, and RJ
can be averaged out with a large number of samples.
Using the same clocklike data pattern lets us estimate
the peak-to-peak PJ on the histogram. The histogram,
captured by an oscilloscope or a TIA, contains both RJ
and PJ components. Because the tail portions are the RJ

Table 1. Measurement methods and equipment.


Jitter type

Model properties

Measurement methods

Equipment

Random jitter

Gaussian distribution

Time interval error (TIE)

Real-time sampling

measurement and PDF or

oscilloscope, TIA

histogram tail t
BER bathtub curve

BERT

Random nature

Frequency domain

Spectrum analyzer

(any distribution)

TIE measurement and

Real-time sampling

frequency domain analysis


Undersampled TIE

oscilloscope, TIA
Oscilloscope, TIA

measurement and frequency


domain analysis
Data-dependent jitter

Discrete lines in PDF

TIE measurement, histogram

Real-time sampling
oscilloscope, BERT

Deterministic TIE variation


from edge to edge

TIE measurement with edge


lock method and averaging in

Real-time sampling
oscilloscope, TIA

the time domain


Repetitive nature when
the pattern is repeated

TIE measurement and

Real-time sampling oscilloscope

frequency domain analysis


Periodic jitter

PDF or histogram shape

TIE or time interval histogram

Real-time sampling oscilloscope

Periodic nature

TIE measurement and

Oscilloscope, TIA

autocorrelation estimation
method

JulyAugust 2004

311

Testing at MultiGbps Rates

components, simply measuring the peak-to-peak separation in the histogram provides a PJ estimate.6
Its possible to measure ISI jitter by transmitting a data
pattern containing both long and short bit runs. The ideal
timing event for the ith edge in the pattern relative to a
reference edge would occur at n UI, while an actual
timing event can contain deviations expressed as n UI
+ Xi, where Xi denotes the displacement of the ith edge.
Devices such as a TIA, which can accurately measure the
time between two timing events, let us measure Xi for
each edge. The measured Xi values contain random and
periodic components, which averaging can remove. The
distribution of averaged Xi is the ISI PDF. The need for a
repeating pattern limits the use of this method.
Spectral analysis offers another way to measure PJ,
DCD, and ISI. Because a PJ component has xed-frequency components, it will appear in the spectral graph
as a large-magnitude peak. An inverse Fourier transform
lets us compute the PJ magnitude after isolating it from all
other jitter components in the spectral graph. Because
DCD and ISI are pattern dependent, they must appear in
the spectral graph at multiples of 0.5/N, where N is the data
pattern length.13 The application note in the previous citation describes a method that amounts to rst performing
an inverse transform of the combined components, then
constructing one histogram for each of the rising and
falling edges. The difference between the two histograms
mean values is the DCD, while the difference between the
histograms peak-to-peak values corresponds to the ISI.
These measurement methods let us specify jitter PDFs
according to the models we presented earlier. TJ for the
serial communication system under measurement is then
a convolution of all the jitter PDFs.
Jitter measurement instruments have characteristics
that make some instruments better for certain types of
applications. A fast real-time sampling oscilloscope
acquires as many samples of a signal as possible in one
pass and interpolates to reconstruct the signal waveform
for display. In such cases, we can recover the clock
using a golden clock data recovery (CDR) circuit, working on the signal bitstream. Comparing the recovered
clock with the acquired data determines each edges
timing error. Spectral analysis then uses the resulting set
of error values.2
A real-time oscilloscope can also construct a waveform eye diagram and t waveform eye masks. Another
type of oscilloscope, the equivalent-time sampling oscilloscope, acquires signal samples in many passes and
reconstructs the signal waveform by overlaying different samples captured over the multiple passes.2 This

312

type of oscilloscope provides very low intrinsic jitter,


which is helpful for measuring RJ accurately. It also provides the highest front-end bandwidth available in
todays instruments, which minimizes the instrument
impact on DDJ measurement accuracy. The equivalenttime sampling oscilloscope, however, requires a repeating signal pattern and a triggering signal to control the
sampling process. This oscilloscope can measure signals running at frequencies higher than its sample rate
but has the disadvantage of low acquisition speed and
difculty in acquiring noncoherent noises. An equivalent-time oscilloscope can also construct waveform eye
diagrams. Unlike real-time oscilloscopes, equivalenttime oscilloscopes suffer from trigger jitter because they
use multiple triggers.2
A TIA can operate with or without a clock (generated from a golden PLL) or a pattern marker. Rather than
extrapolating acquired signal samples to get the timing
information, a TIA uses many single-shot edge-to-edge
time measurements. Engineers can perform spectral
analysis on the TIA-acquired data set. Using a TIA is fast
because it collects only edge-timing data that carries jitter information.
A BERT measures a signals BER at a certain point in
the transmission link, and it should be clocked by a
golden CDR circuit driven by the signal under test. A
BERT varies the sampling instant with respect to the
clock edges over the entire bit time and measures the
BER. The resulting plot of BER versus time (a bathtub
plot) provides a direct measurement of TJ. A longer
measurement time yields a lower BER. However, the
apparent constraint on test time limits the BERs achievable in practice. Some curve extrapolation techniques
use statistical jitter models to extend the measured BER
to lower values without incurring unfeasible test times.
There are methods that use jitter models to separate the
RJ and DJ components from the bathtub curve.6

THE RAPIDLY GROWING POPULARITY of Gbps-speed


serial I/O interconnects such as PCI-Express in electronic devices and systems makes jitter analysis and jitter modeling increasingly important in reducing test
time and cost. The University of British Columbias SoC
Lab is using the jitter models presented here in ongoing
research on jitterin particular for building jitter
decomposition algorithms. Further research will help
us understand the behavior of BUJ and develop models that can represent its behavior and impact on system performance.

IEEE Design & Test of Computers

Acknowledgments
We thank the reviewers for their valuable comments
and suggestions and extend our very special thanks to
Reviewer 2 and Reviewer 4 for the extremely detailed
and constructive review. We also acknowledge
University of British Columbia SoC Lab members
A.K.M. Kamruzzaman Mollah and Roberto Rosales for
their valuable discussions and suggestions.

References

Nelson Ou is an ASIC design engineer with VIA Optical Solutions in Taiwan and a former member of the SoC
research group at the University of
British Columbia. His research interests include jitter measurement, SoC design methodologies, and DFT. He has a BS in applied science and
an ME in electrical and computer engineering from the
University of British Columbia.

1. M. Li and J. Wilstrup, Paradigm Shift for Jitter and Noise


in Design and Test > 1Gb/s Communication Systems,
Proc. Intl Conf. Computer Design (ICCD 03), IEEE CS
Press, 2003, pp. 467-472.
2. Secretariat Intl Committee for Information Technology
Standardization (INCITS), T11.2/Project 1316 DT/Rev
10.0, Fiber ChannelMethodology for Jitter and Signal
Quality Specication-MJSQ, Mar. 2003.
3. J. Patrin and M. Li, Comparison and Correlation of Signal Integrity Measurement Techniques, DesignCon
2002; http://www.wavecrest.com/technical/pdf/

Touraj Farahmand is a research


engineer at the University of British
Columbias SoC Lab. His research
interests include high-speed signal
timing measurement, signal processing, jitter measurement, and serial communication and
control. Farahmand has a BS in electrical engineering
from Esfahan University of Technology, Esfahan, Iran,
and an MS in control engineering from Sharif University of Technology, Tehran, Iran.

Designcon2002.PDF.
4. Jitter Analysis Techniques for High Data Rates, Agilent
Technology, application note 1432, Feb. 2003.
5. Y. Cai, B. Laquai, and K. Luehman, Jitter Testing for
Gigabit Serial Communication Transceivers, IEEE
Design & Test of Computers, vol. 9, no. 1, Jan. 2002, pp.
66-74.
6. Y. Cai et al., Jitter Testing for Multi-Gigabit Backplane
SerDes, Proc. Intl Test Conf. (ITC 02), IEEE CS Press,

Andy Kuo is a master of applied science student at the University of


British Columbias SoC Lab. His
research interests include high-speed
signal integrity issues, jitter measurement, serial communications, and design for testability. Kuo has a BA in computer engineering from the
University of Toronto.

2002, pp. 700-710.


7. Understanding Jitter, Wavecrest Corp., application
note, 2001; http://www.wavecrest.com/technical/
VISI_6_Getting_Started_Guides/6understanding.PDF.
8. Jitter in Digital Communication Systems, Part 1,
Maxim Integrated Products, application note HFAN04.0.3, Rev0, Sept. 2001.
9. J. Sun, M. Lee, and J. Wilstrup, A Demonstration of
Deterministic Jitter (DJ) Deconvolution, Proc. 19th IEEE
Instrumentation and Measurement Technology Conf.

Sassan Tabatabaei is the chief


scientist at Guide Technology and
cofounder of Vector12 Corp., where he
was chief technical officer. His professional and research interests involve
mixed-signal design and test, including signal integrity and jitter measurement and test methodologies for
serial interfaces. Tabatabaei has a PhD in electrical
engineering from the University of British Columbia.

(IMTC 02), IEEE Press, 2002, pp. 293-298.


10. H.W. Johnson and M. Graham, High-Speed Signal Propagation: Advanced Black Magic, Prentice Hall, 2003.

The biography of Andr Ivanov appears on p. 276


of this issue.

11. H.W. Johnson and M. Graham, High-Speed Digital


Design: A Handbook of Black Magic, Prentice Hall, 1993.
12. A. Papoulis and S.U. Pillai, Probability, Random
Variables and Stochastic Processes, McGraw-Hill, 2002.
13. Understanding and Characterizing Timing Jitter, Tektronix application note 55W-16146-0, Sept. 2002.

JulyAugust 2004

Direct questions and comments about this article


to Andy Kuo, SoC Research Group, University of
British Columbia, 2356 Main Mall, Vancouver, BC, V6T
1Z4, Canada; andyk@ece.ubc.ca.

313

Anda mungkin juga menyukai