Anda di halaman 1dari 15

Escuela Superior Politcnica de Chimborazo

Facultad de Informtica y Electrnica


Control y Redes Industriales
Laboratorio de Digitales

GRUPO 4
Prctica N 2
Tema: Diseo de sistemas con:
Compuertas Lgicas, Multiplexores, De multiplexores, Codificadores y
Decodificadores utilizando VHDL
Cuarto B
Datos Generales
Integrantes:
Adriana Prez

778

Juan Revelo

614

Jos Vera

490

FECHA DE REALIZACIN:

31 de octubre de 2014

FECHA DE ENTREGA:

14 de noviembre de 2014

Diseo de sistemas con:


Compuertas Lgicas, Multiplexores, De multiplexores, Codificadores y
Decodificadores utilizando VHDL
OBJETIVO:
2.1.

2.2.

GENERAL
Diseo de circuitos digitales LSI y MSI utilizando lenguaje de descripcin de
hardware (VHDL).
ESPECFCOS
Aprender programacin bsica en VHDL.
Disear, programar e implementar circuitos con Compuertas Lgicas utilizando
VHDL.
Disear, programar e implementar circuitos con Multiplexores y
Demultiplexores utilizando VHDL.
Disear, programar e implementar circuitos con Codificadores y
Decodificadores utilizando VHDL.

METODOLOGA

Utilizacin de integrados (multiplexores, demultiplexores y compuertas)

Utilizacin del programa de ISE Desing Suite

Utilizacin de conocimientos bsicos que se obtuvo.

EQUIPOS Y MATERIALES
Equipos:

Laptop
Placa de Xilinx Spartan 3E.

Software:

ISE Xilinx

MARCO TEORICO
La herramienta Xilinx-ISE (Integrated Software Environment) es una herramienta de
diseo de circuitos profesional que nos va a permitir, entre otras funciones, la realizacin
de esquemticos y su posterior simulacin
Caractersticas principales

Un ambiente libre, descargable PLD diseo para Microsoft Windows y Linux!


Embedded soporte de diseo de proceso para el Zynq-7000 familia Todo
programable SoC el Z-7010, Z-7020 y Z-7030
Cierre sincronizacin ms rpida de la industria con tecnologa Xilinx
SmartCompile

Completo, el medio ambiente de adelante hacia atrs de diseo, incluyendo el


sistema de Xilinx Core Generator y el diseo y anlisis de herramientas
completo PlanAhead - con nueva RTL al caudal de diseo Bitstream para Logic
Diseadores
Verificacin HDL integrado con la versin Lite del ISE Simulator (ISIM)
La forma ms fcil, ms bajo costo para empezar a trabajar con el lder de la
industria para la productividad, el rendimiento y el poder
Fcilmente capaz de actualizar cualquiera de las ediciones ISE Design Suite
desde el Xilinx Online Store.
VHDL

VHDL es un lenguaje definido por el IEEE (Institute of Electrical and Electronics


Engineers) (ANSI/IEEE 1076-1993) usado por ingenieros para describir circuitos digitales.
VHDL es el acrnimo que representa la combinacin de VHSIC y HDL, donde VHSIC es
el acrnimo de Very High Speed Integrated Circuit y HDL es a su vez el acrnimo de
Hardware Description Language. Aunque puede ser usado de forma general para
describir cualquier circuito se usa principalmente para programar PLD (Programable Logic
Device - Dispositivo Lgico Programable), FPGA (Field Programmable Gate Array), ASIC
y similares. Otros mtodos para disear circuitos son la captura de esquemas (con
herramientas CAD) y los diagramas de bloques, pero stos no son prcticos en diseos
complejos. Otros lenguajes para el mismo propsito son Verilog y ABEL.
Circuitos MSI y LSI
La evolucin de la electrnica digital ha llevado a la comercializacin de circuitos
integrados de media escala de integracin (MSI) de hasta 100 compuertas lgicas que
representan soluciones ya hechas a una gran variedad de problemas de lgica
combinacional y secuencial. En este captulo se presentan las funciones tpicas de lgica
combinacional que han encontrado su realizacin en circuitos integrados comerciales MSI
as como su utilizacin y adaptacin a diversos problemas mediante la lgica SSI
(pequea escala de integracin) adecuada.
Hay varias ventajas en el uso de los circuitos MSI. Adems de que estos bloques
funcionales realizados en circuito integrado representan la experiencia y el trabajo de
diseadores de funciones lgicas que es conveniente tener en cuenta para no repetir
esfuerzos, su uso conlleva las siguientes ventajas:
Realizaciones ms compactas (al tener soluciones completas en un slo circuito
integrado con algunas pocas puertas extra para realizar la adaptacin en algunos
casos).
Menos alambrado (puesto que para usar los circuitos MSI slo se requiere
alambrar entradas y salidas, la funcin lgica que realizan ya est alambrada en
su interior).
Soluciones modulares (toda la lgica relacionada con una subfuncin est
contenida en un solo circuito integrado).

Facilidad de

mantenimiento (por la misma razn que el punto anterior).

Los circuitos combinacionales comercializados en circuito integrado MSI se pueden


clasificar dentro de cuatro grandes divisiones:

Circuitos aritmticos (sumadores y comparadores)


Generadores de paridad
Multiplexores y demultiplexores
Codificadores y decodificadores
MULTIPLEXORES

Los MULTIPLEXORES son circuitos combinacionales que tienen varias entradas, una sola
salida y varias lneas de seleccin. Su funcionamiento podra asemejarse a un
conmutador de varias posiciones que simularan las entradas y el terminal comn, la
salida; la conmutacin se realizara por medio de la lnea de seleccin, de tal modo que
las seales presentes en las entradas aparecern en la salida en el orden indicado por la
lnea de seleccin; es decir, un multiplexor permite el envo por una sola lnea de los datos
presentes en varias
lneas.

DEMULTIPLEXORES
Los DEMULTIPLEXORES realizan la funcin inversa a la del multiplexor, es decir, una
seal de entrada nica, es obtenida en uno de los N canales de salida. El conmutador
ahora selecciona el canal de salida por donde estar presente el dato de entrada.

PROCEDIMIENTO
1. Primero se procedi con la instalacin del software ISE Xilinx
2. Entendimiento de lo solicitado para cada literal a desarrollar en la prctica,
3. Se realiz el Ordenamiento y procesamiento de datos
a) Abra el programa ISE Desing Suite de Xilinx
b) Cree un nuevo proyecto para ello de click en la pestaa File -> New Project, luego
en la nueva ventana donde dice Name, escriba compuertas, en el tem Location,
escriba la ruta donde guardar su proyecto (no debe contener espacios en blanco,
caracteres especiales, ni tener una ruta muy larga, preferiblemente cree una
carpeta en el disco local c:\ ), en Top-level source type, escoja HDL, de click en
Next >
c) La siguiente ventana muestra el dispositivo (FPGA) que se va a utilizar. Modifique
hasta que quede igual a la siguiente figura:

d)

De click
en Next > y luego en la venta siguiente en finish.
e) Vamos a crear nuestro archivo VHDL para eso de click derecho en New Source,
como muestra la figura.

f)

En la siguiente ventana escogemos VHDL MODULE y escribimos un nombre que


puede ser el mismo de compuerta, y damos clik en Next>.

g) Ponga los datos como muestra la siguiente ventana.

h) El primer circuito a escribir en VHDL sern el de las compuertas lgicas


(observar la siguiente figura), por lo cual el profesor le ayudar con el cdigo, y su
explicacin pegue un impreso del cdigo, realizado.

i)
i)
i)
i)
i)
i)
i)
i)
i)
i)
i)
i)
i)
i)
i)
Realice el testbench, ponga el nombre de compuerta_tb para ello hgalo como se
observa en la siguiente figura.

Problema: En un mini zoolgico existen monos, jirafas aves exticas, cndores


leones tortugas, tigre y elefantes, el administrador requiere automatizar el sistema
que lleva el alimento a cada uno de estos, sabiendo que algunos de los animales
son carnvoros y otros no, disee dicho circuito en VHDL.

Pegue una impresin del cdigo realizado

j)

Pegue
un
impreso del testbench utilizado y la simulacin en ISim. Y compruebe que se
cumple con la tabla de verdad.

Problema:
Implementar con un multiplexor el bit de paridad par para un cdigo de tres bits

X2

X1

X0

a) Pegue una impresin del cdigo realizado.

b) Pegue un impreso del testbench utilizado y la simulacin en ISim. Y compruebe que


se cumple con la tabla de verdad.

Implementacin del circuito en protoboard mediante la utilizacin del circuito integrado


74LS153

c) Ahora veamos cmo se implementa un demultiplexor en VHDL, para ello


implementar los siguientes trminos mnimos con un demultiplexor de 1- 8
F = (0, 2, 4, 5,6,7)
d) Pegue una impresin del cdigo realizado.
e) Pegue un impreso del testbench utilizado y la simulacin en ISim. Y compruebe que
se cumple con los trminos mnimos.
f) Implemente el ejercicio anterior en la Protoboard con dos demultiplexores 1 a 4 y
compuertas adicionales.
Problema:
Disee un codificador para los dgitos en base 6. Tal que su salida sea un cdigo 2
en 4 (dos unos en cuatro bits).

I0

I1

I2

I3

I4

I5

X0

X1

X2

X3

g) Pegue una impresin del cdigo realizado.

Pegue un impreso del testbench utilizado y la simulacin en ISim. Y compruebe


que se cumple con la tabla de verdad.

Problema: En un hotel existen tres diferentes lugares para comer: el penhouse, el


restaurant cmodo, y una cafetera, el dueo come en el penhouse, los invitados

en el
penhouse o
en el restaurant cmodo, los huspedes en el restaurant cmodo o en la cafetera,
el personal administrativo en el restaurant cmodo y los trabajadores en la
cafetera, adems cualquier ciudadano puede comer en cualquier restaurant.
Disee un codificador que me indique que persona come en qu lugar.
E0
E1
E2
E3
E4
E5
1
0
0
0
0
0
0
1
0
0
0
0
0
0
1
0
0
0
0
0
0
1
0
0
0
0
0
0
1
0
0
0
0
0
0
1
h) Pegue una impresin del cdigo realizado.

i)

S0
1
1
0
0
0
1

S1
0
1
1
1
0
1

S2
0
0
1
0
1
1

Pegue un impreso del testbench utilizado y la simulacin en ISim. Y compruebe que


se cumple con la tabla de verdad.

CONCLUSIONES
Se realiz el diseo de circuitos digitales LSI y MSI en software dedicado a la
simulacin en electrnica y su utilizacin de lenguaje de descripcin de Hardware
(VHDL) para comprobacin del funcionamiento correcto de los diseo de circuitos

antes
de
su
implementacin.
Se obtuvo un amplio conocimiento sobre programacin en lo que se refiere a la
utilizacin de lenguaje de programacin VHDL.
Diseo, programo e implemento circuitos Compuertas Lgicas, Multiplexores,
Demultiplexores, Codificadores y Decodificadores mediante la utilizacin de
programacin en VHDL
Se pudo apreciar los beneficios de las simulaciones al comprobar el
comportamiento de los circuitos mediante los diferentes factores que afectan al
mismo.

RECOMENDACIONES
Instalar correctamente el software a utilizarse para no tener problemas en la
ejecucin de las simulaciones.
Investigar sobre la utilizacin y funcionamiento de los programas para poder
realizar una buena simulacin.
Entender el enunciado y realizar los clculos necesarios como tablas de verdad y
simplificaciones antes de simular el proyecto.
Revisar que en la simulacin no haya ningn tipo de error para evitar serios
inconvenientes con la implementacin.
Chequear en los datasheets las conexiones de cada pin de los diferentes
integrados para no cometer errores ya que cada circuto integrado tiene una muy
diferente conexin.

1. BIBLIOGRAFA:
PROGRAMACION VHDL. Disponible en: http://ayudaelectronica.com/que-esvhdl/
MULTIPLEXORES Y DEMULTIPLEXORES. Disponible en:
http://meteo.ieec.uned.es/www_Usumeteog/comp_comb_multiplexores.h
tml
http://meteo.ieec.uned.es/www_Usumeteog/comp_comb_demultiplexor
es.html

PROGRAMACION VHDL
Disponible en: http://ayudaelectronica.com/que-es-vhdl/

Anda mungkin juga menyukai