Anda di halaman 1dari 69

ADC Performance Survey 1997-2015

Boris Murmann, Stanford University, murmann@stanford.edu

The purpose of this data collection is to help identify trends and limits in the power efficiency of A/D converters. In an ideal wor
bandwidth and resolution of their designs. Unfortunately, in this world, different authors use different metrics and interpretations
grain of salt. Clearly, each data point could be shifted up/down, left/right based on your very own interpretation. Note, however,
performance envelopes.
For use in publications and presentations please cite this data collection as follows:
B. Murmann, "ADC Performance Survey 1997-2015," [Online]. Available: http://web.stanford.edu/~murmann/adcsurvey.html.
Notes on the primary (raw data) columns:

Power (P) - Taken as specified by the authors. Sometimes this number includes power for clocks, references, etc.; sometimes
is fair since the Nyquist converter data also does not include any power typically needed for anti-alias filtering.
fsnyq - Nyquist sampling rate, equal to the sampling rate (fs) divided by the oversampling ratio (OSR). Note that for a complex

SNDR_hf, SNR, THD, SFDR are tabulated as the values measured near fsnyq/2 for a Nyquist converter. When this data was n
most Nyquist converters are properly evaluated up to fsnyq/2. Only older data points (before ~2003) tend to suffer from "low fre
fs/2. For these designs, the SNDR at the highest reasonable/usable fin is used (example: ISSCC 2003, paper 18.2., fs=20GHz
fin_hf - This is the frequency at which SNDR_hf was measured. For Nyquist ADCs, fin_hf is typically taken equal to fsnyq/2 wh
roll off below Nyquist (example: ISSCC 2003, paper 18.2., fs=20GHz, SNDR measured up to fin=6GHz ==>fin_hf=6GHz). This
designs that push the envelope on aperture bandwidth. (Note: this column was called "BW_plot" in earlier versions of this surv

SNDR_lf - SNDR measured at low input frequencies. The sinusoidal SNDR near Nyquist (SNDR_hf) is not always a meaningfu
inputs (high speed links, cable TV tuners, etc.), where the signal power is spread rather than being concentrated in one tone. I
optimistic) number to consider for system design.
DR is the measured "instantaneous dynamic range" of the converter, i.e. this metric does not contain any extra dBs obtained th
Csamp - Sampling capacitance of the converter. The tabulated values corresponds to the single ended capacitance to ground
Notes on secondary columns:

SNDR_plot - Unfortunately, many oversampling designs specify only DR as a measure of resolution. Therefore, this columns l
THD, DR, SFDR}, all measured near the band edge, as explained above.

Power/fsnyq - Energy per Nyquist sample (not to be confused with the metric energy/conversion-step). It is implicitly assumed
but in practice, it is often harder to build a circuit that pushes speeds to the technological limits. Whenever a data point looks "e

Notes on the "energy" and "aperture" plots:

The general idea is to have one chart where low energy designs can shine (the energy plot) and one where designs with good
designs that look good in both plots. Energy plot: x-axis=SNDR_plot, y-axis=Power/fsnyq. Aperture plot: x-axis=SNDR_plot, y-a
that a fictitious sampler with only the specified jitter numbers (no other nonidealities, such as quantization noise, etc.) would ac
Walden FOM at the respective energy/conversion-step value. FOMS is the Schreier figure of merit that assumes that the ADC
defined this FOM using DR [4], but it has become common to also account for distortion, i.e. FOMS = SNDR + 10*log(fsnyq/2/
designs.
Notes on the FOM vs. speed plots:

The idea here is to evaluate energy efficiency against absolute speed. Since both FOMW and FOMS work well only across a li
FOMW-based plot is more suitable, whereas the FOMS plot does a better job at rewarding high resolution designs that also pu
SNDR_hf) as a basis for comparison. As explained above, for some broadband converters where sinusoidal Nyquist performan

The envelope lines included in the FOM vs. Speed plots are constructed as follows: (1) Identify the 5 data points with the best
(2) Identify the 5 best data points with the best "combination" of FOM and speed. For FOMW, this means FOMW/fsnyq. For FO
10dB/decade rise/drop lines in the FOM plots. In these regions, power grows quadratically with speed (and not linearly, and po
this behavior [6].
Other notes:

Bandpass delta-sigma converters are generally hard to compare to Nyquist and low-pass delta-sigma modulators. The metrics
converters should be surveyed/tabulated separately for direct performance comparisons.

Some people may argue that for converters with ERBW < fsnyq/2, the power should not be normalized by fsnyq, but rather by
few) of the active circuits "see" the input frequency; ERBW < fsnyq/2 usually boils down to limitations in a passive portion of th
to 2*ERBW would require a change in the noise bandwidth (which is fsnyq/2), for fairness. Yet another group of people may ar
for that, just not on any of the plots shown in this spreadsheet. Consider e.g. a converter with fs=10MHz. Having ERBW=5MHz
(and the noise BW for both is 5MHz).

I would like to thank Richard Schreier (ADI), Ken Poulton (Agilent), Yangjin Oh, Ray Nguyen, Matthew Guyton (MIT), Hajime S
Marian Verhelst (Intel) for their contributions to this data set. Any comments/questions/corrections are appreciated!
Thanks,
Boris

[1] B. Murmann, Limits on ADC Power Dissipation, in Analog Circuit Design, by M. Steyaert, A.H.M Roermund, J.H. van Huijs
[2] B. Murmann, "A/D Converter Trends: Power Dissipation, Scaling and Digitally Assisted Architectures," Proc. IEEE Custom I
[3] R. H. Walden, Analog-to-digital converter survey and analysis, IEEE J. Select. Areas Commun., vol. 17, pp. 539-550, Apri
[4] R. Schreier and G. C. Temes, Understanding Delta-Sigma Data Converters. New York: Wiley, 2005.
[5] A.M.A. Ali, et al., "A 16-bit 250-MS/s IF Sampling Pipelined ADC With Background Calibration," IEEE J. Solid-State Circuits,
[6] B. Murmann, "Energy limits in A/D converters," IEEE Faible Tension Faible Consommation (FTFC), Paris, France, June 201

Revisions:
20070907: First web release
20070927: Minor formatting edits
20080207: Update ISSCC 2008
20080627: Update VLSI 2008
20090423: Update ISSCC 2009
20091023: Update VLSI 2009, added FOM lines in Energy plot
20100226: Update ISSCC 2010, corrections of earlier data
20100620: Update VLSI 2010, corrections to earlier data (especially DR), formatting change to explicitly state the OSR of the c
20100620a: Corrections on a few selected data points (in red)
20100620b: Corrections on a few selected data points (in purple)
20110308: Update ISSCC 2011
20110415: Added area data by Marian Verhelst (Intel)
20110620: Update VLSI 2011, some data corrections
20110620a: Added FOM chart, added one data point
20120308: Update ISSCC 2012
20120812: Update VLSI 2012, included Schreier-SNDR FOM
20120812a: Correction of VLSI 2011, 12.1
20130306: Update ISSCC 2013

20130406a: Corrected the missing "TI" for time interleaved designs in the 2013 ISSCC data
20130629: Update VLSI 2013, format change, added Csamp and SNDR_lf (see notes above)
20130629a: Added ISSCC 2006, 3.6; corrected VLSI 2013, 8.1
20140302: Update ISSCC 2014, added explicitly computed (rather than eyeballed) envelope curves to the FOM vs. Speed plo
20140302a,b: Minor corrections on individual data points
20140627: Update VLSI 2014
20150307: Update ISSCC 2015, some data corrections
20150712: Update VLSI 2015
20150712a: Minor corrections

YEAR
1997
1997
1997
1997
1997
1997
1997
1997
1997
1997
1997
1997
1998
1998
1998
1998
1998
1998
1998
1998
1998
1999
1999
1999
1999
1999
1999
1999
1999
1999
1999
1999
2000
2000
2000
2000
2000
2000
2000
2000
2000
2000
2001
2001
2001
2001
2001
2001
2001

ID
8.1
8.2
8.3
8.4
8.8
13.1
13.2
13.3
13.4
13.5
13.6
13.7
4.4
4.6
9.1
9.2
9.3
9.4
9.5
9.6
9.7
3.1
3.2
3.3
3.4
3.7
18.1
18.2
18.3
18.4
18.5
18.6
2.1
2.2
2.3
2.4
2.5
2.7
20.2
20.3
20.4
20.5
3.1
3.2
3.4
8.1
8.2
8.3
8.4

TYPE
NQ
NQ
NQ
NQ
NQ
OS
OS
OS
OS
OS
OS
OS
OS
OS
NQ
NQ
NQ
NQ
NQ
NQ
NQ
OS
OS
OS
OS
OS
NQ
NQ
NQ
NQ
NQ
NQ
NQ
NQ
NQ
NQ
NQ
NQ
OS
OS
OS
OS
OS
OS
OS
NQ
NQ
NQ
NQ

ARCHITECTURE
SAR
Folding
Folding
Two-step
Pipe
SDSC, Pipe
SDSC
SDSC, TI
SDCT
SDCT, Complex
SDSC
SDCT
SDSC
SDSC, SwOpAmp
Pipe
Pipe, TI
Pipe, TI
Pipe
Pipe, TI
Flash
Folding
SDSC
SDCT
SDSC, Pipe
SDCT
SDCT
Algo
Two-Step
Folding
Two-Step
Flash
Flash
Folding
Folding
Pipe
Folding
Pipe
Pipe
SDSC
SDSC
SDCT
SDSC
SDSC
SDSC
SDSC
Flash
Flash
Pipe
Two-Step

TECHNOLOGY
1
1.00 BiCMOS
0.5
Bipolar
1.4
0.6
0.5
0.6
HBT
0.8
0.8
0.5
0.6
0.5
0.7
1
1
0.5
0.5
0.35 BiCMOS
0.50 BiCMOS
0.5
0.35
1.2
0.5
0.80 BiCMOS
1.5
0.35
0.60 BiCMOS
0.5
0.4
0.6
Bipolar
0.5
0.5
0.6
0.5
0.5
0.65
0.5
0.35
0.25
0.25
0.35 BiCMOS
0.5
0.35
0.35
0.18
0.25

TITLE
ABSTRACT
A MOSFET-o
The linearity of
A 12 b 50 The architecture
A 170 mWThis 10 b AD-con
A 12 b 12 This analog-to-d
A 15 b 5 This 15b CMOS AD
A 16b pA 16b 2.5 MHz A/
Low-voltagIn theory, doubl
A two-pathThere is expandi
A bandpass
Use of a bandpas
A quadratuIn a bandpass
A 5 V, 118 Digital signal p
A 2.3 mW T
Chis audio-qual
A 100 kHz A multi-bit m
A 900 mV Portable electro
A single-e This single-ende
Digital ba This time-interl
Analog bacThe sampling rat
A continuoContinuous calib
8b 75Msamp
This 8b pipeline
A 5.75b 35A reconfigurable
A 400Msamp
A 6b 400 MSample
A 1.5 V 1. This audio-quali
A 1.8 mW T
Chis CMOS IF m
A Nyquist-Oversampling and
A 6th-ordeA bandpass SD Mo
A 400 MHzOne method of IF
A 12 b dig The linearity of
A 3.3V 10 System-on-chip f
A 65 mW 1This ADC is to b
A 75mW 10
In a two-step CM
A CMOS 6b
High-speed A/D c
A 6b 500MS
A 6 b 500 MSampl
A 14b 100M
A 14b three-stag
A 13b 40Ms
The folding/inter
A 12b 65MA recent trend i
A 3.3V, 1 Modern wireless
An 8b 80Ms
Conventional pip
A 14b 20MThe performance
A 2.5 MSa A/D converter
A 90 dB SN
This 16b, 2.5 MH
A 10.7 MHz
This analog-to-d
A two-pathThe proliferatio
A 13.5mW,To accommodate
A 5 mW
A modulator
A 2.5 V br A cascaded multi
A 6b 1.3G Summary form onl
A 6b 1.1G Summary form onl
A 10b 100Summary form on
A 2.5V 12 Summary form on

2001
2001
2002
2002
2002
2002
2002
2002
2002
2002
2002
2002
2002
2002
2002
2002
2002
2002
2002
2002
2002
2003
2003
2003
2003
2003
2003
2003
2003
2003
2003
2003
2003
2003
2003
2004
2004
2004
2004
2004
2004
2004
2004
2004
2004
2004
2004
2004
2004
2004

8.5
8.6
10.1
10.2
10.3
10.4
10.5
10.6
13.1
13.2
13.3
13.4
13.5
13.6
18.1
18.2
18.3
18.4
18.5
18.6
23.5
3.1
3.2
3.3
3.4
3.5
3.6
3.7
18.1
18.3
18.4
18.5
18.6
18.7
23.6
4.1
4.2
4.3
4.4
4.5
4.6
4.7
4.8
14.1
14.2
14.3
14.4
14.5
14.6
14.7

NQ
NQ
NQ
NQ
NQ
NQ
NQ
NQ
OS
OS
OS
OS
OS
OS
OS
NQ
OS
NQ
NQ
OS
OS
OS
OS
OS
OS
OS
OS
OS
NQ
NQ
NQ
NQ
NQ
NQ
OS
OS
OS
OS
OS
OS
OS
OS
OS
NQ
NQ
NQ
NQ
NQ
NQ
NQ

Pipe
Pipe
Pipe, TI
Flash
Folding
Pipe, TI
Pipe
SAR
SDCT
SDCT, SDSC
SDSC
SDSC
SDCT
SDSC
SDSC
Flash
SDSC, SwOpAmp
Pipe
Pipe
SDSC
SDSC
SDSC
SDSC
SDSC
SDCT
SDCT
SDCT
SDSC
Pipe, TI
Flash, TI
Pipe
Pipe
Pipe
Pipe
SDCT
SDCT
SDSC
SDSC
SDCT
SDSC
SDSC
SDSC
SDCT
Folding
Folding
Pipe
Pipe, TI
Two-Step
Flash
SAR, TI

0.35
0.60 BiCMOS
0.35
0.18
0.18
0.35
0.3
0.13
0.65
0.35 BiCMOS
0.35
0.18
0.18
0.18
0.13
0.13
0.18
0.6
0.35 BiCMOS
0.25
0.35
0.13
0.35
0.13
0.18
0.5
0.18
0.13
0.18
0.18
0.18
0.18
0.35
0.35 BiCMOS
0.25
0.18
0.18
0.18
0.13
0.09
0.13
0.18
0.18
0.18
0.18
0.13
0.18
0.13
HBT
0.09

A 3V 340mSummary form onl


A 14b 40 Summary form on
A 4GSamplA 4 Gsample/s 8b
A 6b 1.6GS
A 1.6 Gsample/s
A 7b 450 A 7b 450MSample
A 10b 120M
Digital calibrat
A 16mW 30M
The authors pre
A 1.2V 10 A successive-ap
A 1 MHz-ba
A 2nd-order con
A 50mW Ba
Summary form on
A dual-moSummary form on
A 1.8 V 14Summary form on
A 3.3 mW Summary form onl
A 64 MHz S
ummary form onl
A 1.5 V 2. A 2nd order mul
An embedde
For high-data-ra
A 0.7V MOA 0.7V MOSFET-o
A 30mW 12
A 0.6m doubleA self-cal A 13b 50MSample
A 33mW 14
The IC consists
A 10/spl mA chopped 4th-or
A 1.5V 1mA passive switc
A 114 dB 6A fifth-order s
A 1.2-V d A dual-mode
A tri-mod Complex continu
A continuoA baseband conti
A 4.4mW 76
A ADC with a
A dual chaA dual-channel
A 20GS/s A 20 GS/s 8-bit
A 2GS/s 6A 2 GS/s 6-bit A
A 69mW 10
A 10 b 80 MHz p
A 10b 150A 10 b 150 MHz
A 12b 75MS
The multi-bit fi
Impact of Dielectric relax
A 700/900m
A dual-channel a
A cascaded
A 2-2 cascaded
A 25 MS/sSampled at 200
ADC witA continuous-tim
A 0.9 V 1 A second-order
A 1 V 88 A third-order si
A power opA switched-capac
A mirror i A cross-coupled
A 2 mW 89A continuous-ti
A 1.8V 1.6A 1.8V foldingAn 8b 600M
An 8b CMOS foldi
A 1.2V 220A 10b pipeline A
A 150MS/sThis paper prese
A 21mW 8b
An 8b subrangin
A 3b 40GSA 3b SiGe ADC-D
A 6b 600MA 6b converter

2004
2004
2004
2004
2004
2004
2004
2005
2005
2005
2005
2005
2005
2005
2005
2005
2005
2005
2005
2005
2005
2005
2005
2005
2006
2006
2006
2006
2006
2006
2006
2006
2006
2006
2006
2006
2006
2006
2006
2006
2006
2006
2006
2006
2006
2006
2007
2007
2007
2007

25.1
25.2
25.3
25.4
25.5
25.6
25.7
9.1
9.2
9.3
9.4
9.5
9.6
9.7
15.2
15.3
15.4
15.5
27.1
27.2
27.3
27.4
27.5
27.6
3.1
3.2
3.3
3.4
3.5
3.6
3.7
3.8
12.1
12.2
12.3
12.4
12.5
12.6
12.7
12.8
31.1
31.2
31.3
31.4
31.5
31.6
13.1
13.2
13.3
13.4

NQ
NQ
NQ
NQ
NQ
NQ
NQ
OS
OS
OS
OS
OS
OS
OS
NQ
NQ
NQ
NQ
OS
OS
OS
OS
OS
OS
OS
OS
OS
OS
OS
OS
OS
OS
NQ
NQ
NQ
NQ
NQ
NQ
NQ
NQ
NQ
NQ
NQ
NQ
NQ
NQ
OS
OS
OS
OS

Pipe
Pipe
Pipe
Pipe
Pipe
Pipe
Pipe
SDSC, Switched RC
SDSC
SDSC
SDSC
SDSC, Pipe
SDCT, SDSC
SDCT, SDSC
Pipe
Pipe, Scalable
Pipe
Pipe
SDCT
SDCT
SDCT
SDCT
SDCT, Filter
SDCT, Complex
SDCT
SDCT, Complex
SDCT, Complex
SDSC
SDSC, TI
SDCT
SDSC
SDSC
Pipe
Pipe
Subranging
Pipe, CBSC
SAR
Pipe
Pipe
Pipe
Flash
Two-Step
Flash
Flash
SAR, TI
Pipe, TI
SDCT, Complex
SDSC
SDCT
SDCT, SDSC

0.18
0.18
0.25
0.18
0.25
0.13
0.18
0.35
0.09
0.09
0.25
0.18
0.35
0.18
0.09
0.18
0.18
0.18
0.13
0.11
0.09
0.09
0.18
0.18
0.13
0.18
0.18
0.18
0.18
0.18
0.18
0.18
0.09
0.18
0.09
0.18
0.18
0.13
0.13
0.18
0.09
0.09
0.18
0.13 SiGe
0.13
0.13
0.09
0.13
0.09
0.065

A digitall A 1.8 V 15 b 40
A 15b 20MS
A 15 b 20 MS/s
A 96dB SFD
A 96 dB SFDR 50
A 1.8V 14 A 1.8 V, 14 b p
A 12b 80MS
A 12 b 80 MS/s p
An 80MHzA110 b 80 MHz p
A 14b-line Capacitor mismat
A 0.6V 82dA 2-2 MASH ADC c
A low-poweA 2nd-order 4b
A 66dB DRA single-amplif
A 100dB SA multi-bit casc
An 80MHzA 2nd-order 4b
A 106dB SN
A ADC with a
A 0.18m A second-order m
A 3.3 mW A
1 10b pipelined
A 50 MS/s A new opamp with
A 10 b 12 A 10 b 125 MS/s
A 30mW 8b
An 8b 200MS/s 2
A 3mW 74d
A third-order C
A 1.2V 3.5A 1.2V 3.5mW CT
A 4th-ordeA fourth-order
A low-noisThe implementat
A 4.7mW 8A CT complex
A 43mW CT
A low-power wid
A 14b 20mA 3rd-order sin
A 375mW A
Q CT quadrature
An 118dB A
D 1b 51h-order
A 14mW Mul
Analog and digi
A 5.4mW 2A 2nd-order Delt
A 0.5V 74 A 0.5V 3rd-order 1b fully differential CT Delta
Return-to A 2nd-order Del
An 80/100M
A 4th-order SC
A 90nm CM
A 10b pipelined
A 10b 50MPower-saving te
A 30mW 12b
A 12b 40MS/s 2-s
Comparator
A comparator-ba
A 25W 100
A 0.18mum CMOS
A 14b 100M
A 14b multi-bitA 15mW 0.A 10b 50MS/s pi
A 13b LineUsing statistic
A 0.16pJ/ A high-speed 4b
A 90nm CM
A 1.2V 6b 1GS/s
A 4GS/s 4A 0.18mum CMOS
A 22GS/s A 22GS/S 5b ADC
A 6b 600MA 1.2V 6b ADC u
A 1GS/s 1A time-interlea
A 56mW CT
A 90nm CMOS CT
A 0.13m A 2-2 cascaded
A 1.2V, 1 A reconfigurabl
A 5th-ordeA 5th-order CT/D

2007
2007
2007
2007
2007
2007
2007
2007
2007
2008
2008
2008
2008
2008
2008
2008
2008
2008
2008
2008
2008
2008
2008
2008
2008
2008
2008
2008
2008
2008
2009
2009
2009
2009
2009
2009
2009
2009
2009
2009
2009
2009
2009
2009
2009
2010
2010
2010
2010
2010

13.5
13.6
25.1
25.2
25.3
25.4
25.5
25.6
25.7
12.1
12.2
12.3
12.4
12.5
12.6
12.7
12.8
27.2
27.3
27.4
27.5
27.6
27.7
27.8
30.1
30.2
30.3
30.4
30.7
30.8
4.2
4.3
4.4
4.5
4.6
4.7
9.1
9.2
9.3
9.4
9.5
9.6
9.7
9.8
21.7
16.1
16.2
16.3
16.4
16.5

NQ
OS
NQ
NQ
NQ
NQ
NQ
NQ
NQ
NQ
NQ
NQ
NQ
NQ
NQ
NQ
NQ
OS
OS
OS
OS
OS
OS
OS
NQ
NQ
NQ
NQ
NQ
NQ
NQ
NQ
NQ
NQ
NQ
NQ
NQ
NQ
NQ
NQ
OS
OS
OS
OS
NQ
NQ
NQ
NQ
OS
NQ

SAR
SAR, TI
Pipe
Two-Step
Pipe
Pipe
Pipe, ZCBC
Pipe
Pipe, TI
SAR
SAR, TI
Two-Step
SAR
SAR
Pipe
Pipe
Folding
SDSC
SDSC
SDSC, TI
SDSC
SDCT
SDCT
SDCT
Pipe
SAR, TI
SAR, TI
Pipe
Two-Step
Flash
SAR, TI
Folding, TI
Binary Search
SAR, TI
Pipe
Pipe
Pipe
Pipe
Pipe, ZCBC
Two-Step, TDC
SDCT
SDCT
SDCT
SDSC
Flash, TI
Pipe
Pipe
Pipe, Folding, TI
VCO
Pipe

0.09
0.13
0.09
0.09
0.09
0.09
0.18
0.13
0.09
0.09
0.065
0.09
0.065
0.18
0.18
0.065
0.09
0.18
0.065
0.18
0.09
0.18
0.065
0.045
0.18
0.13
0.09
0.065
0.09
0.18
0.045
0.180
0.065
0.130
0.090
0.180
0.09
0.180
0.090
0.090
0.130
0.065
0.065
0.090
0.065
0.18 BiCMOS
SiGe BiCMOS
0.040
0.065
0.090

A 65fJ/Co A fully dynamic


A 14b 40MA 2-channel tim
A 0.8V 10bA low-voltage d
A 10b 160A 10b 160MS/S s
A 4.7mW 0A 4.7mW 10b 30M
A 10b 205M
A 10b 205MS/S 1
A Zero-Cr A zero-crossing
A 92.5mWA 10b 205MS/S I
An 11-Bit An 11 b 800MS/S
An 820uWCurrent trends i
Highly-In The 250 MS/S ADC
A 150MS/sIn this paper, a
A 1.9uW 4.An ADC for energ
A 9.4-ENOThe ADC-SAR is
A 14b 100M
The prototype A
A 1.2V 4. A low-power 1.2
A 2.2mW 5High-speed low-r
A 0.7V 36uThis paper pres
An InverteThe SigmaDelta m
A Noise-C In this paper, t
A 28mW Sp
A reconfigurable
A 100mW T
1his paper desc
A 65nm CM
In the digital w
A CT delt This paper wants
An Over-60
This work introd
A 32mW 1.ADCs with 6b res
A 24GS/s This paper prese
A 1V 11b 2This paper demon
A Split-Lo The ADC is fabri
A 6b 0.2-t Microsensor wire
A 1.1V 50 High-speed mediu
A 1.8V 1.0An advance in fo
A 5b 800MThis paper repo
A 600MS/sAt high conversi
A 10b 50 Recent work on A
A 16b 125Today's communic
A 130mW A
10pipelined ADC
A 50MS/s In the interest
A 12b 50MS
As intrinsic dev
A 9b 14WAs
0.06mm
CMOS2 dimensio
PPM ADC in 90nm Digital CMOS
A 0.13m In this paper we
A 1.2V 2MHz
In this
BWpaper,
0.084mm
a 2 CT ADC with -97.7dBc
A 20MHz BLow-power, small
A Multira The main advanta
A 500mW The
di demand for
A 16b 250M
We present a 16b
A 16b 100A 16b 160MS/s pi
A 2.6mW 6b
A 2.2GS/s 4x-in
A Mostly DA mostly digital
A 10b 100A 10b pipelined

2010
2010
2010
2010
2010
2010
2010
2010
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2013
2013
2013
2013
2013
2013
2013
2013
2013
2013
2013
2013
2013
2013
2013
2014

16.6
21.1
21.2
21.3
21.4
21.5
21.6
21.7
10.1
10.2
10.3
10.4
10.5
10.6
27.1
27.2
27.3
27.4
27.5
8.1
8.2
8.3
8.4
8.5
8.6
8.7
19.3
27.1
27.3
27.5
27.6
27.7
27.8
27.9
2.4
15.1
15.2
15.3
15.4
15.5
15.7
15.8
16.3
26.1
26.2
26.3
26.4
26.5
26.6
11.1

NQ
NQ
NQ
NQ
NQ
NQ
NQ
NQ
NQ
NQ
NQ
NQ
NQ
NQ
OS
OS
OS
OS
OS
OS
OS
OS
OS
OS
OS
OS
NQ
NQ
NQ
NQ
OS
NQ
NQ
NQ
NQ
OS
NQ
NQ
OS
OS
NQ
NQ
NQ
NQ
NQ
NQ
NQ
NQ
NQ
NQ/OS

Pipe, ZCBC
Pipe, SAR
SAR
Pipe, SAR
SAR
SAR
SAR
SAR, TI
SAR, TI
Pipe, TI
Pipe, TI
Pipe
SAR
SAR
SDCT
SDCT
SDSC
SDSC
SDSC
SDCT BP
SDCT BP
SDCT BP
SDCT
SDCT
SDCT
SDCT
Flash, TI
Pipe
Pipe
Pipe
SAR
SAR
SAR
Pipe
Flash, TI
SDCT
SAR
SAR
Incremental
Incremental
SAR
Pipe
SAR
Flash, TI
SAR, TI
Pipe, TI
SAR
SAR, TI
SAR, TI
SAR

0.180
0.250
0.130
0.065
0.065
0.065
0.090
0.065
0.065
SiGe BiCMOS
0.040
0.180
0.065
0.065
0.045
0.090
0.180
0.130
0.180
0.040
0.065
0.065
0.090
0.090
0.090
0.045
0.04
0.180
0.065
0.040
0.065
0.04
0.09
0.130
0.04
0.028
0.065
0.090
0.160
0.160
0.090
0.180
0.18
0.040
0.065
0.13 BiCMOS
0.032
0.045
0.065
0.065

A 1.4V Si A Hybrid CLS-opa


An 18b 12This paper desc
A 12b 22. A perturbationA 0.06mm2An 8.9-ENOB 40MS
A 10b 50MS
A 10b 50MS/s SAR
A 10b 100This paper pres
A 30fJ/Co An 8b SAR ADC i
A 40GS/s A 6b 65nm CMOS A
A 480mw 2.
This work presen
A 12b 1GS/
A 2-way time-in
An 800MS/An 800MS/s 4-i
A 16b 80MA 16b pipeline
A 0.024mmAn 8b 400MS/s S
A ResolutiThis paper prese
A 4Ghz cTA 4GHz CT ADC
An 8mw 50M
A 3rd-order sin
A Third-or A single-slope q
A 250mv 7.An ultra-low-vol
A 84dB SnA third-order
An LC BanA 6th order LC
A 12mW Lo
A 800MS/s low po
A DC to 1 A 6th-order DC
A 16mW 78
In this paper,
A 72dB DR,
A multi-bit, 3r
A 15mW, 3An 8-tap FIR DA
A 20mW 61
A 1-bit 3rd Ord
A 40nm CM
Optical communication technology in lon
Ring AmplifThe concept of a
A 5.37mWA 10-bit switche
A 1.7mW 11
A 250MS/s 2x in
A 90MS/s This work descri
A 70dB DRThis paper prese
A 7-to-10bA power-efficien
A 31.3fJ/ Analog-to-digita
A 195mW/5
A dual path rec
A 28fJ/ConA CT &[Delta]&[
A 2.2/2.7f A power-efficien
A 71dB-SNThis paper desc
A 1V 14b SA 2nd-order &[De
A 6.3&[mu]A 21b Incrementa
A 2.4-to-5 A 0.4V-to-0.7V o
Adaptive OA switched-capac
A 0.45V 1 A power efficien
A 10.3-GS/A 40-nm CMOS 10
An 11b 3. A 3.6GS/s 11-bi
A 14b 2.5GEight 14b pipeli
A 3.1mW 8An 8b 1.2GS/s s
An 8.6 ENO
The proposed 1b
A 14-bit, This paper prese
An OversaA power-efficien

2014
2014
2014
2014
2014
2014
2014
2014
2014
2014
2014
2014
2014
2014
2015
2015
2015
2015
2015
2015
2015
2015
2015
2015
2015
2015
2015
2015
2015
2015

11.2
11.3
11.4
11.5
11.6
22.1
22.2
22.3
22.4
22.5
22.6
29.1
29.2
29.3
3.6
5.2
15.1
15.2
15.4
15.6
15.7
15.8
21.2
26.1
26.2
26.3
26.4
26.5
26.7
26.7

NQ
NQ
NQ
NQ
NQ
NQ
NQ
NQ
NQ
NQ
NQ
OS
OS
NQ
NQ
OS
OS
OS
NQ
NQ
NQ
NQ
NQ
NQ
NQ
NQ
NQ
NQ
NQ
NQ

SAR
SAR
Pipe, SAR, TI
Pipe
Pipe
SAR, TI
Flash, TI
SAR, TI
SAR, TI
SAR, TI
Folding Flash
SDCT
SDCT
Pipe
SAR, TI
SDSC
SDCT, SAR
SDCT
SAR
Pipe
SAR
Pipe
SAR
Pipe, SAR
SAR
Pipe, TI
SAR, TI
SAR, TI
Pipe, SAR, TI
SAR, TI

0.04
0.18
0.028
0.065
0.13
0.032
0.032
0.028
0.065
0.04
0.04
0.13
0.028
0.065
0.065
0.18
0.028
0.055
0.065
0.065
0.04
0.18
0.065
0.065
0.04
0.028
0.045
0.065
0.028
0.045

A 0.85fJ/ A 0.85fJ/convers
A 10b 0.6nAn algorithm cal
A 1.5mW 6A 80Ms/s 2x inte
A 100MS/s,
This paper prese
A 21mW 15
A 15b 48MSP/s z
A 90GS/s A 90GS/s 8b ADC
A 69.5mWA 20GS/s 6b tim
A 20GHz aA 6b 10GS/s 32m
A 1GS/s 1This paper pres
A 1.62GS/sA 1.62 GS/s Tim
A 2.2GS/s This paper prese
A 5mW CTConventional CTD
A 235mW W
C e propose a 0A 14-bit 1 A 14-bit 1GS/s p
A 10Gb/s H
A hybrid ADC-ba
A 110dB SThis paper prese
A 85dB DRThis paper prese
A 4.5mW C
This work demon
A 0.8V 10bA 0.8V 10bit 80
A 12b 250M
The virtual grou
A 14b 35MWe present a 14b
A 90 dB 1 A 14 bit 500 MS/
A 3nW Sign
'A fully-integra
A 1mW 71.5
This paper prese
A 5.5fJ/co A 6.4MS/s 13b AD
An 800MS/A dual-mode 800
A 21fJ/con A 2x Time-Inter
A 5.5mW 6A 4x time-interl
A 5GS/s 1A 28nm CMOS 10b
A 2.6b/cycA multi-step har

AUTHORS
COMMENTS
Csamp [pF]
Hammerschmied, C
Vorenkamp, P.; Ro
Bult, K.; Buchwald
Jewett, R.; Poult
Sung-Ung Kwak; B
Power includes di
Brooks, T.L.; Robe
Senderowicz, D.; Ni
Ong, A.K.; WooleyIF=20MHz
Raghavan, G.; Jen
Data taken for m
Jantzi, S.; Martin,Data taken for m
Leung, K.Y.; Swan
van der Zwan, E.J
Yasuda, A.; Tanimo
Peluso, V.; Vanco
I. Opris, L. Lewic
D. Fu, K. Dyer, S.
K. Dyer, D. Fu, S.
Joseph Ingino Jr.
W. Bright
P. Setty, J. Barne
M. Flynn and B.
A.L. Coban; P.E. A
Breems, L.J.; van
Paul, S.; Hae-Seun
van Engalen, J.; Data for 10.7MH
Namdar, A.; Laung
Ozan E. Erdogan,
Hendrik van der Fig. 18.2.6.a sh
Gian Hoogzaad aFig. 18.3.6.a sh
Brian Brandt and
Yuko Tamba and
Kwangho Yoon, S
Carl Moreland, Mi
Myung-Jun Choe,
Larry Singer, Sta
Hui Pan, Masahiro
Jun Ming and Ste
Hsin-Shu Chen, K
Geerts, Y.; Steya
Fujimori, I.; Long
van der Zwan, E.;
Tabatabaei, A.; Ka
Burger, T.; Qiuti Data for WCDMA
Oliaei, O.; Clemen
Vleugels, K.; Rabi
Michael Choi and
Govert Geelen
Yong-In Park, S.
Hendrik van der PSNDR estimated as

AREA [mm^2]
2.155
7
1

SNDR_lf [dB]

27.28
31.8
0.39
2.73
0.5625
4.32
25
0.4
0.85
9
22
42
14.5
5.5
0.75
0.6
0.53
0.2
47
0.36
1.5
5.94
0.8
0.8
1.6
2.4
5.25
261
8.7
10
16
10.3
10.8
5.3436
26.24
0.6
2.8
0.36
0.4
0.8
0.35
2.5
1

33.2

fin_hf [Hz]
1.00E+05
2.50E+07
2.50E+07
6.40E+07
2.50E+06
1.25E+06
1.00E+03
2.00E+05
6.26E+07
2.00E+05
4.80E+04
2.00E+04
1.00E+05
1.60E+04
1.00E+07
2.00E+07
2.00E+07
5.00E+06
3.75E+07
1.75E+08
2.00E+08
2.00E+04
1.00E+05
9.00E+06
2.00E+05
4.00E+04
6.25E+04
1.25E+07
2.00E+07
1.00E+07
2.50E+08
1.00E+08
5.00E+07
2.00E+07
3.25E+07
2.50E+07
4.00E+07
1.00E+07
1.25E+06
1.25E+06
2.00E+05
2.00E+06
5.00E+06
1.89E+05
2.00E+06
6.50E+08
5.50E+08
5.00E+07
2.70E+07

Dan Kelly, Will Ya


Yu, P.C.; Shehata
Ken Poulton, Robe
Peter Scholtens a
Koji Sushihara a
Shafiq M. Jamal, No HF data, fin=
Daisuke Miyazaki,
Franz Kuttner
Henkel, F.; Langm
Schreier, R.; Lloy
Salo, T.; Hollman,
Ruoxin Jiang; Fiez
van Veldhoven, R.;
Gupta, S.K.; Brook
Gomez, G.; HarouData for WCDMA
Lin, J.; Haroun, B
Sauerbrey, J.; Til
Kulhalli, S.; PenkoLF data only
Waltari, M.; SumaBroken chip, SNDR
Reutemann, R.; Ba
Blanken, P.G.; Me
Feng Chen; Ramas
Data for WCDMA
YuQing Yang; Chok
A-weighted DR=11
Dezzani, A.; AndreData for WCDMA
van Veldhoven, RData for CDMA
Yan, S.; SanchezPhilips, K.
Tabatabaei, A.; On
Ken Poulton, Robe
Xicheng Jiang, Z
Byung-Moo Min, Pe
Sang-Min Yoo, Jo
Boris Murmann an
Alfio Zanchi, Fra
Moyal, M.; Groepl
Breems, L.J.
Balmelli, P.; Qiut
Putter, B.M.
Ueno, T.; Itakura,
Yao, L.; Steyaert
Gaggl, R.; InversiData for BW=1.1
Ying, F.; Maloberti
Philips, K.; Nuijt DR=89dB, DRins
Robert Taft, Chris
Govert Geelen an
Bjrnar Hernes, A
Sotirios Limotyrak
Jan Mulder, Chris
William Cheng, Wa
Dieter Draxelmayr

7.8
31.35
28.8456
0.12
0.3
12.5
3.12
0.08
6
5
0.79
2.86
0.41
2.6
0.2
0.3
0.08
2.56
6
1.5
0.18
0.1225
5.62
0.2
0.55
5.76
0.22
2.4
196
0.5
1.85
2.2
7.9
25
31
1.7
0.95
0.2
0.12
0.18
1.1
0.14
8
0.2
1.3
1.8
0.09
3.96

43.9

40.9

3.75E+07
2.00E+07
1.00E+09
6.60E+08
2.25E+08
1.00E+06
1.50E+07
1.00E+07
1.00E+06
3.30E+05
3.84E+06
2.00E+06
2.00E+06
9.62E+05
2.00E+06
1.10E+07
8.00E+03
1.00E+04
2.50E+07
1.00E+06
8.00E+03
2.00E+06
2.00E+04
1.92E+06
1.23E+06
1.10E+06
1.00E+06
2.00E+07
6.00E+09
1.00E+09
4.00E+07
7.50E+07
3.75E+07
3.50E+07
1.20E+07
1.00E+07
1.25E+07
1.10E+06
1.92E+06
2.00E+04
1.10E+06
2.50E+06
1.00E+06
8.00E+08
3.00E+08
1.10E+08
7.50E+07
6.25E+07
1.30E+10
3.00E+08

E. Siragusa and I.
Hung-Chih Liu, Z
K. Nair and R. Har
Yun Chiu, Paul R.
Carl R. Grace, Pa
Olaf Stroeble, Vi
Seung-Tak Ryu, S
Gil-cho Ahn; Dong
Jiang Yu; Malobert
Jinseok Koh; Yun
Brewer, R.; GorbolDR manually extra
Bosi, A.; Panigada
Nguyen, K.; Adams
Morrow, P.; Chama
Wang, R.; Martin,
Ahmed, I.; Johns,
Yoshioka, M.; Kud
Hwi-Cheol Kim;
Dorrer, L.; Kuttner
Nagai, T.; Satou,
Das, A.; Hezar, R
Fontaine, P.; Mohi
Munoz, F.; Philips,DR=89.5dB, DRin
Yaghini, N.; Johns
Mitteregger, G.; E
Schreier, R.; AbasDR=90dB, DRins
Silva, P.G.R.; BreData for FM
Kwon, S.; Malobert
Kye-Shin Lee; Su
Kong-pang Pun, Sh
Goes, J.; Vaz, B.;
Fujimoto, Y.; Kana
Geelen, Govert; P
Ryu, Seung-Tak;
Shimizu, Yasuhide
Sepke, Todd; Fior
Verma, Naveen;
Bogner, Peter; Ku
Choi, Hee-Cheol;
Ray, Sourja; Son
G. Van der Plas,
P. Figueiredo, P.
S. Park, Y. Palask
P. Schvan, D. Pol
S-W. Chen, R. Br
S. Gupta, M. Choi,
Breems, L.J.; Rut10.5MHz IF
Christen, T.; BurgData taken for
Ouzounov, S.; vanData taken for G
Putter, B.
Data taken for C

20
11.22
5.55
15
19.6
0.3
15.96
2.88
0.4
0.26
20.21
4
0.82
0.65
0.3
1.2
0.66
0.15
0.3
0.62
0.25
0.25
0.1976
0.95
1.2
2.5
6
2.32
1.1
0.6
0.06
1.7
0.3
1.43
4.6728
1.2
0.63
1.02
0.2
3.6
0.033
0.13
0.88
2.4
0.12
3.5
0.5
0.4
0.36
0.125

2.00E+07
1.00E+07
2.50E+07
5.00E+06
4.00E+07
4.00E+07
1.50E+07
2.40E+04
2.00E+06
1.94E+06
1.00E+06
1.00E+07
2.00E+04
2.00E+04
6.00E+06
2.50E+07
6.25E+07
1.00E+08
2.00E+06
4.28E+05
6.00E+05
6.00E+05
1.00E+06
2.30E+07
2.00E+07
8.50E+06
2.00E+05
2.20E+06
1.10E+06
2.50E+04
1.00E+04
3.20E+06
3.20E+07
2.50E+07
2.00E+07
4.00E+06
5.00E+04
5.00E+07
2.50E+07
2.00E+07
6.25E+08
5.00E+08
2.00E+09
1.10E+10
3.00E+08
5.00E+08
2.00E+07
1.00E+07
2.00E+05
6.14E+05

Craninckx, J.; VanData taken for 2


Hesener, M.; Eichl
Yoshioka, M.; KudData taken for V
Huber, D.J.; Chand
Assumed ~9.1ENO
Young-Deuk Jeon;
Seung-Chul Lee; Power inlcudes S
Brooks, L.; Hae- Data for 200MS/s
Hernes, B.; BjornsSNDR taken from
Cheng-Chung Hsu;
V. Giannini, P. Nu
B. Ginsburg, A.
G. Van der Plas,
M. van Elzakker, E
A Agnes, E. Bonizz
B. Lee, B. Min, G
M. Boulemnakher,
B. Verbruggen, J.
Y. Chae, I. Lee,
R. Veldhoven, R.
K. Lee, J. Chae, Data for 2.5MHz
P. Malla, H. Lakd Reconfigurable,
W. Yang, W. Schof
Y-S. Shu, B-S. So
L. Doerrer, F. Kutt
B. Gregoire, U-K
Z. Cao, S. Yan, Y.SNDR stays >32
P. Schvan, J. BacData for up to 8
K-W. Hsueh, Y-K.SNDR/SFDR is 59
Y. Shimizu, S. Mu
D. Daly, A. Chan Data for single e
Erkan Alpman, Ha
R. C. Taft, P. A.
Ying-Zu Lin, Soo
Wenbo Liu, Yuchu
Ashutosh Verma,
Siddharth Devaraj
Andrea Panigada,
Imran Ahmed, JanSNDR estimated f
Lane Brooks, Ha
Shahrzad Naraghi,
Matt Park, Michae
Sheng-Jui Huang,
Vijay Dhanasekar
Lynn Bos, Gerd Va
Jun Cao; Bo Zhan
A. M. Ali, A. Morg
R. Payne, M. Corsi
Data for fin=80 M
B. Verbruggen, J.
G. Taylor, I. Galto
Y-C. Huang, T-C.

0.25
0.5
15

0.2

0.08
0.55
0.6372
0.42
0.32
1
0.05
0.52
1.4
0.0902
3
0.0625
0.0275
0.24
7.28
0.07
0.0165
0.715
0.03
3.67
1
0.7
0.5
0.0888
2.3
0.09
16
1.1
0.29
2
1
17.5
0.18
1.1
0.49
10
4
1.4
0.3
0.06
0.45
0.084
0.154
0.0756

29.9

34.9

78.6

33.2
50
4
0.03
0.07
0.0572

1.00E+07
9.60E+05
4.00E+07
8.00E+07
1.50E+07
1.03E+08
1.00E+08
1.03E+08
4.00E+08
2.00E+07
1.25E+08
7.50E+07
5.00E+05
5.00E+04
5.00E+07
5.00E+07
8.75E+08
2.00E+04
2.00E+05
2.50E+06
2.00E+07
1.00E+07
8.00E+06
2.00E+04
1.00E+07
4.50E+08
1.20E+10
6.00E+07
1.50E+08
2.00E+05
1.10E+09
4.98E+08
4.00E+08
3.00E+08
2.33E+08
6.25E+07
5.00E+07
2.50E+07
2.50E+07
3.00E+05
2.00E+07
2.00E+06
2.00E+07
2.00E+06
5.00E+09
1.25E+08
8.00E+07
1.10E+09
4.50E+06
5.00E+07

B. P. Hershberg,
C. P. Hurrell, C. Data for fin=6.25
W. Liu, P. Huang,
M. Furuta, M. Noz
M. Yoshioka, K. I
C-C. Liu, S-J. Ch
P. Harpe, C. Zhou
Y. M. Greshishche
K. Doris, E. Jans
R. Payne, C. Sest
J. Mulder, F. M. v Power includes r
J. Brunsilius, E.
H. Wei, C-H. Chan,
Data for 400MS/s,
M. Yip, A. P. Cha Data for 10b mod
M. Bolatkale, L.
J. G. Kauffman, P
N. Maghari, U-K.
F. Michel, M. Stey
A. Pena Perez, E.Numbers for OS
J. Harrison et al. IF = 700-800MHz
H. Chae et al.
IF = 200MHz
H. Shibata et al. IF=450MHz, no S
K. Reddy et al. Data for fin=4MH
P. Witte et al.
P. Shettigar et al.
V. Srinivasan et al
D. Crivelli et al.
B. Hershberg et al
Y. Chai et al.
B. Verbruggen et a
J. Fredenburg
B. Malki et al.
Data for 80MS/s
P. Harpe et al.
Data for 10b mod
Ho-Young Lee; B
B. Zhang et al.
Y-S. Shu et al.
P. Harpe et al.
12b data
T. Morie et al.
C. Chen et al.
Y. Chae et al.
C-Y Liou et al. Data for VDD=0.
Y. Miyahara et al.
D. Han et al.
S. Verma et al.
E. Janssen et al.
B. Setterberg et al
L. Kull et al.
Data for VDD=1V
H-K Hong et al.
R. Kapusta et al.
P. Harpe, E. CantData for 14b, 32k

1.11
4.5
0.059
0.0585
0.039
0.0256
0.07
16
5.1
2.35
0.88
9.9
0.024
0.212
0.9
0.15
0.44
0.3375
0.492
0.4
0.2
5.5
0.36
0.23
0.12
0.49

34.9

34.3
1.98
0.19
0.066
0.0462
0.079625
0.047
0.24
0.08
0.076
0.097
0.45
0.375
0.042
1.43

4
9

0.27
7.4
103.6
0.0015
0.038
0.55
0.18

54.0

73.6

5.00E+06
6.25E+06
2.25E+07
2.00E+07
2.50E+07
5.00E+07
5.12E+06
1.80E+10
1.30E+09
5.00E+08
4.00E+08
4.00E+07
2.00E+08
1.00E+04
1.25E+08
2.50E+07
1.04E+06
1.00E+04
5.00E+04
2.00E+07
2.40E+07
1.50E+08
4.00E+06
2.50E+07
3.60E+07
6.00E+07
1.00E+10
1.00E+07
1.00E+08
1.25E+08
1.10E+07
4.00E+07
2.00E+06
1.50E+07
5.00E+09
1.80E+07
2.00E+04
2.50E+07
6.67E+02
1.25E+01
2.50E+05
3.00E+07
1.00E+05
5.00E+09
1.80E+09
1.00E+09
6.00E+08
4.50E+08
4.00E+07
1.60E+04

H-Y. Tai, Y-S. Hu


F. M. Yaul, A. P. Data for Nyquist
F. van der Goes, C
Data for Nyquist i
Y. Lim, M. P. Flyn
D-Y. Chang, C. Mu
Data at Nyquist f
L. Kull, T. Toifl,
V. H-C. Chen, L. P
S. Le Tual, P. N.
S. Lee, A. P. Cha
N. Le Dortz, J-P.
M. Miyahara, I.
R. Rajan, S. Pav Data for embedded
Y. Dong, R. SchreiData for OSR = 35
A. M. Ali, H. Dinc Data at Nyquist
Ayman Shafik, EhIncludes FFE, no
L. Xu, B. Gnen, Q. Fan, J. H. Huij
D-Y. Yoon, S. Ho,
C-Y. Ho, C. Liu, C
M. Liu, P. Harpe Includes ref
H. H. Boo, D. S.
M. Krmer, E. JanIncludes ref and
M. El-Chammas, X.
P. Harpe, H. Gao
Y. Lim, M. P. Flyn
M. Ding, P. Harpe,Cal included
J. Mulder, D. VeccPower, area is fo
B-R-S. Sung, D-S.
C-H. Chan, Y. Zhu
M. Brandolini, Y. Data with PLL jitt
H-K. Hong, H-W. K

0.8415
2.304
1.4
0.4
2

3.5
1.25

0.065
0.12
0.1369
0.1
0.9625
0.45
0.25
0.009
0.78
0.83
0.052
0.33
0.9
18
0.38
0.8
0.34
0.09
0.26
0.53
0.236
2.5

2
1.3
1
0.08
0.031
0.1
0.4

0.05
0.0675
0.23
0.28
0.09
0.45
0.057

1
1.024
0.3

1.5
5
N/A
N/A

74.5
36.0
35.0
53.0
50.0
37.4

69.0

67.0
75.0

71.5

58.8

55.3

1.00E+05
2.00E+03
4.00E+07
5.00E+07
2.50E+07
1.99E+10
1.00E+10
4.80E+09
5.00E+08
7.50E+08
1.10E+09
2.00E+06
4.57E+07
5.00E+08
5.00E+09
1.00E+02
5.00E+07
2.20E+06
4.00E+04
1.25E+08
1.74E+07
2.50E+08
5.00E+04
2.50E+07
3.20E+06
4.00E+08
7.90E+08
2.50E+09
2.35E+09
8.80E+08

SNDR_hf [dB]
56.5

SNR [dB]

DR [dB]

-THD [dB]

SFDR [dB]

64.0

75.0

58.4
86.9
89.0

93.0
97.0

53.5
84.9
81.0
75.0
44.1
62.0
110.0

88.0
75.0
67.0
118.0
96.0
79.0
77.0

62.0
65.4
55.0
58.0
67.0
43.3
31.0
29.2
88.0
84.0
71.0
63.5
66.0
71.0
48.7
54.1
58.7
34.9
27.5

70.0
64.0
44.3
89.0
84.0
70.0
51.0
84.0
87.0
35.0
35.8
57.1
67.0

104.0
75.0
70.6

55.0

72.0

46.3

47.0

51.0

90.0

98.2
86.0
78.0
67.0
72.0

33.6

74.0

78.0

90.0
62.8
62.0
64.0

59.6
59.0
60.5

75.0
66.0
70.0

73.1
95.0
90.0
77.0
52.0
90.0

95.0
72.0
67.0
64.0

82.0

83.1
97.0
90.0
80.0
75.0
53.0
84.0
95.0

54.9
80.3
97.0

82.0
59.3

102.0

58.0

44.0
58.4

64.0
70.0

SNDR_plot [dB]
56.5
64.0
53.5
58.4
84.9
89.0
81.0
75.0
44.1
62.0
110.0
96.0
75.0
62.0
65.4
55.0
58.0
67.0
43.3
31.0
29.2
88.0
84.0
71.0
63.5
66.0
71.0
48.7
54.1
58.7
34.9
27.5
75.0
66.0
70.0
64.0
44.3
73.1
89.0
84.0
77.0
70.0
51.0
84.0
87.0
35.0
35.8
57.1
67.0

72.8

86.0
84.0

74.0
39.1
31.9
36.0
56.8
54.0
55.0
56.2
81.0
48.0
82.0
70.0
88.0
49.0
33.0
67.0

62.4

70.2
67.0

55.0
81.0
48.0

90.0
50.0

103.0
103.0

70.0

74.0
106.0

50.0
47.0
75.0
68.2

70.0
79.0

76.5
84.0
68.0

45.2
105.0
64.0
83.0
75.5
50.0
29.5
30.0
58.2
52.0
67.0
72.8

72.0
77.0
50.9
81.0
76.0

83.0
84.0

50.3
111.0
70.0
83.0
88.0
76.0
53.0

58.9

79.0
63.0
82.0
77.3
53.2
85.0
78.0
72.0

65.0

68.4

35.0
70.6
65.0
76.0
81.0

67.0
84.0
54.0
88.0
82.0
86.0
68.0

45.8
46.9
51.0
45.4
47.5
20.0
31.0

86.0

56.0

55.0

52.5

72.8
74.0
39.1
31.9
36.0
56.8
54.0
55.0
56.2
81.0
48.0
82.0
70.0
88.0
49.0
33.0
67.0
68.2
70.0
79.0
68.0
45.2
105.0
64.0
83.0
83.0
75.5
50.0
29.5
30.0
58.2
52.0
67.0
72.8
79.0
63.0
72.0
77.0
50.9
81.0
76.0
72.0
65.0
45.8
46.9
51.0
45.4
47.5
20.0
31.0

72.0
74.0
75.0
73.6
72.6
55.9
61.0
81.0
51.0
63.0
73.5
97.0
52.6
55.0
53.7
47.3
71.0

74.0
70.0
68.8
74.0
90.0
78.0
76.0
74.0
80.0
76.3
57.7
54.6
62.6
54.0
65.0
66.0
57.2
67.0
23.8
33.8
22.0
20.0
33.0
52.0
69.0
63.0

81.0

100.0
73.8
106.0
102.0

88.0

90.0
94.0
96.0
99.0
84.5

78.0

84.0
103.0

82.0
58.0
66.0
103.0
75.0
106.0

87.0

55.8
72.0
63.0
86.0
77.0

76.0
76.0

80.0
75.0
86.0
77.0
70.0
72.5
78.0

82.0
80.0

85.0
98.0
86.0
85.0

82.0
76.3

83.0
78.5
70.0
72.7

63.5

71.0
75.0

70.0
72.9
73.0

71.0
82.0

77.0
67.0
82.0
82.0

72.0
74.0
75.0
73.6
72.6
55.9
61.0
81.0
51.0
63.0
100.0
73.5
97.0
102.0
52.6
55.0
53.7
47.3
71.0
63.0
86.0
74.0
70.0
68.8
74.0
76.0
90.0
78.0
76.0
74.0
80.0
76.3
57.7
54.6
62.6
54.0
65.0
66.0
57.2
67.0
23.8
33.8
22.0
20.0
33.0
52.0
69.0
63.0
82.0
82.0

46.3
83.0
51.4
56.5
57.0
55.0
40.3
54.0
54.0
53.3
28.4
40
54.4
58.0

87.0

72.0
62.0

53.9
55.6

61.1
71.8

72.2
59.0
27.6
81.0
81.0
64.0
82.0
76.5
64.7
32.0
22.8
59.9
38.4
32.0
34.0

74.0
84.0
77.0
81.0
67.0

78.7
66.4

83.0
72.0
87.0
81.0
92.0

79.0

80.0
70.3

65.4

76.5
69.2

73.6
48.0

81.2
79.1
62.0

80.0
54.4

80.0
68.0
66.0

45.0
66.3
36.0
56.5
92.0
85.0
64.5
68.0
58.0

72.0

75.2
31.1
77.8
53.9

79.0
104.0

64.9

56.5
27.0
43.0
53.0
77.0
68.8
58.2
62.0
49.4
78.1
79.1
60.0
65.0
31.6
77.5

32.0
93.0

85.0

97.7
79.0

79.0
90.0
91.0
41.5
67.4

46.3
83.0
51.4
56.5
57.0
55.0
40.3
54.0
54.0
53.3
28.4
40.0
54.4
58.0
72.2
59.0
27.6
81.0
77.0
81.0
64.0
82.0
81.0
76.5
64.7
32.0
22.8
59.9
38.4
32.0
34.0
56.5
27.0
43.0
53.0
77.0
68.8
58.2
62.0
49.4
78.1
79.1
60.0
65.0
31.6
77.5
75.2
31.1
77.8
53.9

69.5
80.0
67.1
52.5
56.6
56.0
48.4
25.2
48.5
59.0
75.0
40.4
55.0
65.0
63.5
78.2
61.0
84.0
70.0
58.0
78.0
67.5
70.9
60.6
25.8
76.8
57.0
56.0
62.0
54.2
58.3
70.4
29.2
73.6
62.6
69.1

69.6
85.0

78.8
82.0
84.7
63.0

93.0

66.9

52.0
59.0

58

77.0

65.5

70.0
70.0

79.3
64.0

35.0
53.8
67.0
70.0
89.0
53.0
68.8

74
81.0
86.3

88.0
60.0
74.0
79.1
69.1
76.4
61.5

72.0
83.0

77.2

88.5
79.0

95.4
64.0

70.0
79.6
78.1
84.0
81.9
119.8

54.3
73.3
51.5
32.5
50.0
39.3
51.2
71.3
69.7

75.5

84.0

61.0

78.0
50.0
61.6
80.3
78.5

69.5
80.0
67.1
52.5
56.6
56.0
48.4
25.2
48.5
59.0
59.0
75.0
40.4
55.0
65.0
63.5
78.2
61.0
84.0
70.0
58.0
74.0
78.0
67.5
70.9
60.6
25.8
76.8
57.0
56.0
62.0
54.2
58.3
70.4
29.2
73.6
62.6
69.1
81.9
119.8
54.3
73.3
51.5
32.5
50.0
61.0
39.3
51.2
71.3
69.7

55.6
59.3
66.0
56.3
73.1
33.0
30.7
33.8
51.4
48.0
37.4
74.4
72.6
68.0
30.3
100.6
74.6
90.4
56.5
65.7
74.4

55.8

71.3

56.7

67.6
84.2

34.3

40.9
58.0

36.0
80.5
84.6

39.0
41.1
60.0
61.0
44.0

82.0
90.0
82.0

110.0
76.1

100.8
85.0
92.0
84.6
88.0
82.0

64.0
57.3
70.9
64.1
57.1
56.1
30.8
46.1
51.2

76.3

84.6

61.2
43.0
46.8

54.7
62.0

55.6
59.3
66.0
56.3
73.1
33.0
30.7
33.8
51.4
48.0
37.4
74.4
72.6
68.0
30.3
100.6
74.6
90.4
56.5
65.7
74.4
64.0
57.3
70.9
64.1
57.1
56.1
30.8
46.1
51.2

P [W]
1.20E-02
3.00E-01
1.70E-01
5.70E+00
1.30E-01
5.50E-01
5.50E-04
7.20E-02
1.40E+00
1.30E-01
7.60E-01
2.30E-03
9.60E-03
4.00E-05
2.50E-01
5.65E-01
6.50E-01
2.65E-01
7.00E-02
2.25E-01
2.00E-01
1.01E-03
1.80E-03
3.24E-01
6.00E-02
1.80E-02
1.60E-02
1.95E-01
6.50E-02
7.50E-02
4.00E-01
3.30E-01
1.25E+00
8.00E-01
4.30E-01
8.50E-01
2.50E-01
7.20E-01
2.95E-01
2.70E-01
1.00E-02
1.10E-01
1.35E-02
5.00E-03
1.50E-01
5.45E-01
3.00E-01
1.80E-01
2.95E-01

fs [Hz]
2.00E+05
5.00E+07
5.00E+07
1.28E+08
5.00E+06
2.00E+07
1.00E+06
4.00E+07
4.00E+09
1.00E+07
6.14E+06
5.64E+06
5.00E+06
1.54E+06
2.00E+07
4.00E+07
4.00E+07
1.00E+07
7.50E+07
3.50E+08
4.00E+08
2.82E+06
1.30E+07
1.80E+07
8.00E+07
2.00E+07
1.25E+05
2.50E+07
4.00E+07
2.00E+07
5.00E+08
5.00E+08
1.00E+08
4.00E+07
6.50E+07
5.00E+07
8.00E+07
2.00E+07
6.00E+07
2.00E+07
2.11E+07
6.40E+07
1.38E+08
1.30E+07
6.40E+07
1.30E+09
1.10E+09
1.00E+08
5.40E+07

OSR
1
1
1
1
1
8
500
100
32
25
64
141
25
48
1
1
1
1
1
1
1
71
65
1
200
250
1
1
1
1
1
1
1
1
1
1
1
1
24
8
53
16
14
34
16
1
1
1
1

fsnyq [Hz]
2.00E+05
5.00E+07
5.00E+07
1.28E+08
5.00E+06
2.50E+06
2.00E+03
4.00E+05
1.25E+08
4.00E+05
9.60E+04
4.00E+04
2.00E+05
3.20E+04
2.00E+07
4.00E+07
4.00E+07
1.00E+07
7.50E+07
3.50E+08
4.00E+08
4.00E+04
2.00E+05
1.80E+07
4.00E+05
8.00E+04
1.25E+05
2.50E+07
4.00E+07
2.00E+07
5.00E+08
5.00E+08
1.00E+08
4.00E+07
6.50E+07
5.00E+07
8.00E+07
2.00E+07
2.50E+06
2.50E+06
4.00E+05
4.00E+06
1.00E+07
3.78E+05
4.00E+06
1.30E+09
1.10E+09
1.00E+08
5.40E+07

P/fsnyq [pJ]
6.00E+04
6.00E+03
3.40E+03
4.45E+04
2.60E+04
2.20E+05
2.75E+05
1.80E+05
1.12E+04
3.25E+05
7.92E+06
5.75E+04
4.80E+04
1.25E+03
1.25E+04
1.41E+04
1.63E+04
2.65E+04
9.33E+02
6.43E+02
5.00E+02
2.51E+04
9.00E+03
1.80E+04
1.50E+05
2.25E+05
1.28E+05
7.80E+03
1.63E+03
3.75E+03
8.00E+02
6.60E+02
1.25E+04
2.00E+04
6.62E+03
1.70E+04
3.13E+03
3.60E+04
1.18E+05
1.08E+05
2.50E+04
2.75E+04
1.35E+03
1.32E+04
3.75E+04
4.19E+02
2.73E+02
1.80E+03
5.46E+03

FOMW_lf [fJ/conv-step]

17676.1

3.18E-01
8.60E-01
4.60E+00
3.40E-01
5.00E-02
2.34E-01
1.60E-02
1.20E-02
2.18E-02
5.00E-02
3.80E-02
1.02E-01
3.30E-03
2.30E-01
2.90E-03
4.60E-04
1.00E-04
3.00E-02
7.15E-01
3.30E-02
1.00E-04
1.30E-03
5.50E-02
2.10E-03
4.10E-03
6.20E-02
4.70E-03
5.30E-02
1.00E+01
3.10E-01
6.90E-02
1.23E-01
2.90E-01
1.00E+00
7.50E-02
1.22E-01
2.00E-01
6.00E-03
1.35E-03
1.40E-04
1.50E-02
1.50E-01
2.07E-03
1.27E+00
2.00E-01
1.35E-01
7.10E-02
2.10E-02
3.80E+00
1.00E-02

7.50E+07
4.00E+07
4.00E+09
1.60E+09
4.50E+08
1.20E+08
3.00E+07
2.00E+07
1.00E+08
3.20E+07
8.00E+07
3.20E+07
1.54E+08
6.40E+07
4.60E+07
2.20E+07
1.02E+06
2.10E+07
5.00E+07
8.00E+07
1.00E+06
1.04E+08
5.12E+06
3.84E+07
7.68E+07
3.52E+07
6.40E+07
1.60E+08
2.00E+10
2.00E+09
8.00E+07
1.50E+08
7.50E+07
7.00E+07
2.40E+08
1.60E+08
2.00E+08
2.82E+08
6.14E+07
4.00E+06
1.05E+08
1.20E+08
6.40E+07
1.60E+09
6.00E+08
2.20E+08
1.50E+08
1.25E+08
4.00E+10
6.00E+08

1
1
1
1
1
1
1
1
50
48
10
8
38
33
12
1
64
1
1
40
63
26
128
10
31
16
32
4
1
1
1
1
1
1
10
8
8
128
16
100
48
24
32
1
1
1
1
1
1
1

7.50E+07
4.00E+07
4.00E+09
1.32E+09
4.50E+08
1.20E+08
3.00E+07
2.00E+07
2.00E+06
6.60E+05
7.68E+06
4.00E+06
4.00E+06
1.92E+06
4.00E+06
2.20E+07
1.60E+04
2.10E+07
5.00E+07
2.00E+06
1.60E+04
4.00E+06
4.00E+04
3.84E+06
2.46E+06
2.20E+06
2.00E+06
4.00E+07
2.00E+10
2.00E+09
8.00E+07
1.50E+08
7.50E+07
7.00E+07
2.40E+07
2.00E+07
2.50E+07
2.20E+06
3.84E+06
4.00E+04
2.20E+06
5.00E+06
2.00E+06
1.60E+09
6.00E+08
2.20E+08
1.50E+08
1.25E+08
4.00E+10
6.00E+08

4.24E+03
2.15E+04
1.15E+03
2.58E+02
1.11E+02
1.95E+03
5.33E+02
6.00E+02
1.09E+04
7.58E+04
4.95E+03
2.55E+04
8.25E+02
1.20E+05
7.25E+02
2.09E+01
6.25E+03
1.43E+03
1.43E+04
1.65E+04
6.25E+03
3.25E+02
1.38E+06
5.47E+02
1.67E+03
2.82E+04
2.35E+03
1.33E+03
5.00E+02
1.55E+02
8.63E+02
8.20E+02
3.87E+03
1.43E+04
3.13E+03
6.12E+03
8.00E+03
2.73E+03
3.52E+02
3.50E+03
6.82E+03
3.00E+04
1.04E+03
7.93E+02
3.33E+02
6.14E+02
4.73E+02
1.68E+02
9.50E+01
1.67E+01

8984.4

5524.3

3.94E-01
2.33E-01
7.80E-01
1.12E-01
7.55E-01
3.30E-02
3.50E-01
1.00E-03
2.10E-03
1.20E-03
4.75E-01
2.40E-01
1.80E-02
3.70E-02
3.30E-03
3.50E-02
4.00E-02
3.00E-02
3.00E-03
3.50E-03
5.40E-03
6.00E-03
4.70E-03
4.30E-02
2.00E-02
3.75E-01
2.10E-01
5.10E-03
5.40E-03
3.00E-04
2.00E-04
2.38E-02
2.20E-02
1.80E-02
3.00E-02
2.50E-03
2.50E-05
2.24E-01
1.50E-02
2.68E-01
2.52E-03
5.50E-02
8.90E-02
3.00E+00
5.30E-03
2.50E-01
5.60E-02
2.05E-02
1.44E-03
3.10E-03

4.00E+07
2.00E+07
5.00E+07
1.00E+07
8.00E+07
8.00E+07
3.00E+07
3.07E+06
4.00E+07
3.84E+07
2.00E+07
8.00E+07
6.14E+06
6.14E+06
1.20E+07
5.00E+07
1.25E+08
2.00E+08
1.04E+08
1.32E+08
2.67E+08
5.04E+07
6.40E+07
2.76E+08
6.40E+08
2.64E+08
4.17E+07
1.44E+08
1.32E+08
3.20E+06
5.00E+06
1.00E+08
6.40E+07
5.00E+07
4.00E+07
8.00E+06
1.00E+05
1.00E+08
5.00E+07
4.00E+07
1.25E+09
1.00E+09
4.00E+09
2.20E+10
6.00E+08
1.00E+09
3.40E+08
2.40E+08
2.60E+07
7.68E+07

1
1
1
1
1
1
1
64
10
10
10
4
154
154
1
1
1
1
26
154
223
42
32
12
16
31
104
33
60
64
250
16
1
1
1
1
1
1
1
1
1
1
1
1
1
1
17
12
65
63

4.00E+07
2.00E+07
5.00E+07
1.00E+07
8.00E+07
8.00E+07
3.00E+07
4.80E+04
4.00E+06
3.88E+06
2.00E+06
2.00E+07
4.00E+04
4.00E+04
1.20E+07
5.00E+07
1.25E+08
2.00E+08
4.00E+06
8.56E+05
1.20E+06
1.20E+06
2.00E+06
4.60E+07
4.00E+07
1.70E+07
4.00E+05
4.40E+06
2.20E+06
5.00E+04
2.00E+04
6.40E+06
6.40E+07
5.00E+07
4.00E+07
8.00E+06
1.00E+05
1.00E+08
5.00E+07
4.00E+07
1.25E+09
1.00E+09
4.00E+09
2.20E+10
6.00E+08
1.00E+09
4.00E+07
2.00E+07
4.00E+05
1.23E+06

9.85E+03
1.17E+04
1.56E+04
1.12E+04
9.44E+03
4.13E+02
1.17E+04
2.08E+04
5.25E+02
3.09E+02
2.38E+05
1.20E+04
4.50E+05
9.25E+05
2.75E+02
7.00E+02
3.20E+02
1.50E+02
7.50E+02
4.09E+03
4.50E+03
5.00E+03
2.35E+03
9.35E+02
5.00E+02
2.21E+04
5.25E+05
1.16E+03
2.45E+03
6.00E+03
1.00E+04
3.71E+03
3.44E+02
3.60E+02
7.50E+02
3.13E+02
2.50E+02
2.24E+03
3.00E+02
6.70E+03
2.02E+00
5.50E+01
2.23E+01
1.36E+02
8.83E+00
2.50E+02
1.40E+03
1.03E+03
3.60E+03
2.52E+03

2.90E-04
6.60E-02
6.50E-03
8.40E-02
4.70E-03
6.10E-02
8.50E-03
9.25E-02
3.50E-01
8.20E-04
1.20E-03
1.34E-04
1.90E-06
3.60E-06
2.30E-01
4.50E-03
2.20E-03
3.60E-05
9.50E-04
1.50E-02
2.79E-02
1.00E-01
5.00E-02
1.20E-03
8.70E-03
3.20E-02
1.20E+00
1.80E-01
3.40E-02
1.66E-06
5.00E-02
1.20E+00
1.97E-03
3.00E-02
5.50E-02
3.85E-01
1.30E-01
9.90E-03
4.50E-03
1.40E-05
8.70E-02
4.52E-03
1.05E-02
6.83E-03
3.90E-01
8.50E-01
1.62E+00
2.60E-03
1.70E-02
4.50E-03

2.00E+07
4.00E+07
8.00E+07
1.60E+08
3.00E+07
2.05E+08
2.00E+08
2.05E+08
8.00E+08
4.00E+07
2.50E+08
1.50E+08
1.00E+06
1.00E+05
1.00E+08
1.00E+08
1.75E+09
4.00E+06
1.50E+08
6.00E+07
4.20E+08
6.40E+08
2.56E+08
1.20E+07
2.00E+07
1.25E+09
2.40E+10
2.00E+08
3.00E+08
4.00E+05
2.50E+09
1.00E+09
8.00E+08
6.00E+08
5.00E+08
1.25E+08
1.00E+08
5.00E+07
5.00E+07
1.00E+06
9.00E+08
1.28E+08
2.50E+08
3.20E+08
1.03E+10
2.50E+08
1.60E+08
2.20E+09
1.15E+09
1.00E+08

1
8
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
100
375
12
11
32
16
300
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1.67
23
32
6
80
1
1
1
1
128
1

2.00E+07
5.00E+06
8.00E+07
1.60E+08
3.00E+07
2.05E+08
2.00E+08
2.05E+08
8.00E+08
4.00E+07
2.50E+08
1.50E+08
1.00E+06
1.00E+05
1.00E+08
1.00E+08
1.75E+09
4.00E+04
4.00E+05
5.00E+06
4.00E+07
2.00E+07
1.60E+07
4.00E+04
2.00E+07
1.25E+09
2.40E+10
2.00E+08
3.00E+08
4.00E+05
2.20E+09
9.96E+08
8.00E+08
6.00E+08
5.00E+08
1.25E+08
1.00E+08
5.00E+07
5.00E+07
6.00E+05
4.00E+07
4.00E+06
4.00E+07
4.00E+06
1.03E+10
2.50E+08
1.60E+08
2.20E+09
9.00E+06
1.00E+08

1.45E+01
1.32E+04
8.13E+01
5.25E+02
1.57E+02
2.98E+02
4.25E+01
4.51E+02
4.38E+02
2.05E+01
4.80E+00
8.90E-01
1.90E+00
3.60E+01
2.30E+03
4.50E+01
1.26E+00
9.00E+02
2.38E+03
3.00E+03
6.98E+02
5.00E+03
3.13E+03
3.00E+04
4.35E+02
2.56E+01
5.00E+01
9.00E+02
1.13E+02
4.15E+00
2.27E+01
1.21E+03
2.46E+00
5.00E+01
1.10E+02
3.08E+03
1.30E+03
1.98E+02
9.00E+01
2.33E+01
2.18E+03
1.13E+03
2.63E+02
1.71E+03
3.79E+01
3.40E+03
1.01E+04
1.18E+00
1.89E+03
4.50E+01

49.2

1104.9

442.8

1014.1

8.40E-03
1.05E-01
3.02E-03
1.21E-03
8.20E-04
1.13E-03
6.90E-05
1.50E+00
4.80E-01
5.75E-01
1.05E-01
1.00E-01
4.00E-03
2.06E-07
2.56E-01
8.00E-03
2.90E-03
7.50E-06
1.40E-04
2.00E-02
1.20E-02
5.50E-01
1.60E-02
8.50E-03
1.50E-02
2.00E-02
5.00E-01
5.10E-03
5.37E-03
1.70E-03
8.06E-04
6.00E-03
1.74E-05
2.54E-03
1.39E-01
3.90E-03
9.70E-08
4.20E-03
2.00E-05
6.30E-06
5.00E-07
6.80E-02
2.43E-06
2.40E-01
7.95E-01
2.39E+01
3.06E-03
1.08E-02
3.11E-02
3.52E-07

1.00E+07
1
1.25E+07
1
4.50E+07
1
4.00E+07
1
5.00E+07
1
1.00E+08
1
1.02E+07
1
4.00E+10
1
2.60E+09
1
1.00E+09
1
8.00E+08
1
8.00E+07
1
4.00E+08
1
2.00E+04
1
4.00E+09 16
5.00E+08 10
5.00E+07 24
1.40E+06 70
1.60E+06 16
3.20E+09
80
8.00E+08
17
4.00E+09
13
6.00E+08
30
5.00E+08
10
3.60E+09
50
6.00E+09
50
2.50E+10
1
2.00E+07
1
2.00E+08
1
2.50E+08
1
8.80E+07
4
8.00E+07
1
4.00E+06
1
3.00E+07
1
1.00E+10
1
6.40E+08
18
4.00E+04
1
5.00E+07
1
1.33E+03
1
5.00E+04 2000
5.00E+05
1
6.00E+07
1
2.00E+05
1
1.00E+10
1
3.60E+09
1
2.50E+09
1
1.20E+09
1
9.00E+08
1
8.00E+07
1
3.20E+04
1

1.00E+07
1.25E+07
4.50E+07
4.00E+07
5.00E+07
1.00E+08
1.02E+07
4.00E+10
2.60E+09
1.00E+09
8.00E+08
8.00E+07
4.00E+08
2.00E+04
2.50E+08
5.00E+07
2.08E+06
2.00E+04
1.00E+05
4.00E+07
4.80E+07
3.00E+08
2.00E+07
5.00E+07
7.20E+07
1.20E+08
2.50E+10
2.00E+07
2.00E+08
2.50E+08
2.20E+07
8.00E+07
4.00E+06
3.00E+07
1.00E+10
3.60E+07
4.00E+04
5.00E+07
1.33E+03
2.50E+01
5.00E+05
6.00E+07
2.00E+05
1.00E+10
3.60E+09
2.50E+09
1.20E+09
9.00E+08
8.00E+07
3.20E+04

8.40E+02
8.40E+03
6.71E+01
3.03E+01
1.64E+01
1.13E+01
6.74E+00
3.75E+01
1.85E+02
5.75E+02
1.31E+02
1.25E+03
1.00E+01
1.03E+01
1.02E+03
1.60E+02
1.39E+03
3.75E+02
1.40E+03
5.00E+02
2.50E+02
1.83E+03
8.00E+02
1.70E+02
2.08E+02
1.67E+02
2.00E+01
2.55E+02
2.69E+01
6.80E+00
3.66E+01
7.49E+01
4.36E+00
8.47E+01
1.39E+01
1.08E+02
2.43E+00
8.40E+01
1.50E+04
2.52E+05
1.00E+00
1.13E+03
1.22E+01
2.40E+01
2.21E+02
9.56E+03
2.55E+00
1.20E+01
3.89E+02
1.10E+01

828.6

473.7

539.3

99.4

8.40E-08
3.10E-08
1.50E-03
2.46E-03
2.16E-02
6.67E-01
6.95E-02
3.20E-02
1.89E-02
9.30E-02
2.74E-02
5.00E-03
2.35E-01
1.20E+00
7.90E-02
5.05E-04
7.80E-02
4.50E-03
1.06E-07
4.97E-02
5.45E-02
5.50E-01
8.80E-08
1.00E-03
4.60E-05
7.64E-02
1.73E-02
5.50E-03
1.50E-01
1.54E-02

2.00E+05
4.00E+03
8.00E+07
1.00E+08
4.80E+07
9.00E+10
2.00E+10
1.00E+10
1.00E+09
1.62E+09
2.20E+09
2.56E+08
3.20E+09
1.00E+09
1.00E+10
1.50E+05
1.80E+09
1.40E+08
8.00E+04
2.50E+08
3.50E+07
5.00E+08
1.00E+05
5.00E+07
6.40E+06
8.00E+08
1.60E+09
5.00E+09
5.00E+09
1.70E+09

1
1
1
1
1
1
1
1
1
1
1
64
35
1
1
750
18
32
1
1
1
1
1
1
1
1
1
1
1
1

2.00E+05
4.00E+03
8.00E+07
1.00E+08
4.80E+07
9.00E+10
2.00E+10
1.00E+10
1.00E+09
1.62E+09
2.20E+09
4.00E+06
9.14E+07
1.00E+09
1.00E+10
2.00E+02
1.00E+08
4.40E+06
8.00E+04
2.50E+08
3.50E+07
5.00E+08
1.00E+05
5.00E+07
6.40E+06
8.00E+08
1.60E+09
5.00E+09
5.00E+09
1.70E+09

4.20E-01
7.75E+00
1.88E+01
2.46E+01
4.50E+02
7.41E+00
3.48E+00
3.20E+00
1.89E+01
5.74E+01
1.25E+01
1.25E+03
2.57E+03
1.20E+03
7.90E+00
2.53E+06
7.80E+02
1.02E+03
1.33E+00
1.99E+02
1.56E+03
1.10E+03
8.80E-01
2.00E+01
7.19E+00
9.55E+01
1.08E+01
1.10E+00
3.00E+01
9.06E+00

103.7
143.8
75.6
51.8
222.2
205.7

521.0

108.7
338.8

6.5

15.3

19.0

FOMW_hf [fJ/conv-step]
109339.8
4632.8
8762.4
65521.3
1809.5
9549.7
29987.3
39165.9
85371.5
315924.4
30619.8
1114.8
10444.2
1215.1
8214.7
30741.4
25036.5
14485.1
7813.2
22180.4
21224.3
1223.7
694.8
6207.7
122682.8
137994.7
44143.3
34999.4
3907.4
5330.2
17677.7
34073.4
2719.9
12266.2
2559.9
13126.2
23315.1
9748.7
5122.1
8337.1
4320.8
10641.3
4656.8
1021.1
2049.3
9126.1
5431.4
3069.0
2986.1

FOMS_lf [dB]

122.0

FOMS_hf [dB]
125.8
143.2
135.2
128.9
157.7
152.6
143.6
139.4
120.6
123.9
158.0
165.4
145.2
148.0
141.4
130.5
132.9
139.8
130.6
119.9
119.2
161.0
161.4
145.4
128.7
129.5
136.9
126.8
139.0
139.9
122.8
116.3
151.0
140.0
148.8
138.7
126.3
144.5
155.3
150.7
150.0
142.6
136.7
159.8
158.2
125.8
128.4
141.6
146.6

FOMW_hf/fsnyq
5.47E-01
9.27E-05
1.75E-04
5.12E-04
3.62E-04
3.82E-03
1.50E+01
9.79E-02
6.82E-04
7.90E-01
3.19E-01
2.79E-02
5.22E-02
3.80E-02
4.11E-04
7.69E-04
6.26E-04
1.45E-03
1.04E-04
6.34E-05
5.31E-05
3.06E-02
3.47E-03
3.45E-04
3.07E-01
1.72E+00
3.53E-01
1.40E-03
9.77E-05
2.67E-04
3.54E-05
6.81E-05
2.72E-05
3.07E-04
3.94E-05
2.63E-04
2.91E-04
4.87E-04
2.05E-03
3.33E-03
1.08E-02
2.66E-03
4.66E-04
2.70E-03
5.12E-04
7.02E-06
4.94E-06
3.07E-05
5.53E-05

1188.5
5249.0
15642.7
8049.2
2155.7
3449.5
1302.4
1305.8
20661.2
8261.0
24109.7
2478.2
319.2
5822.3
3148.5
573.0
3416.3
680.1
5533.5
2265.2
3044.7
2186.1
9457.8
422.3
144.4
2441.0
482.7
5128.3
20617.3
6000.5
1294.5
2520.9
2113.5
4006.3
429.0
5302.1
2458.9
470.8
1226.8
381.7
1322.2
9220.9
712.2
4978.8
1841.4
2116.7
3111.4
865.9
11631.4
575.0

130.3

130.9

153.5
147.7
125.5
124.7
132.5
140.9
143.7
144.2
132.8
149.2
128.0
154.9
157.8
154.2
137.4
136.8
146.0
153.6
145.4
153.8
147.0
137.1
160.6
153.6
167.8
155.5
158.8
135.8
119.5
125.1
145.9
139.9
148.1
148.2
161.0
142.1
150.0
159.6
142.4
162.5
154.7
144.2
151.8
133.8
138.7
140.1
135.6
142.2
117.2
135.8

1.58E-05
1.31E-04
3.91E-06
6.10E-06
4.79E-06
2.87E-05
4.34E-05
6.53E-05
1.03E-02
1.25E-02
3.14E-03
6.20E-04
7.98E-05
3.03E-03
7.87E-04
2.60E-05
2.14E-01
3.24E-05
1.11E-04
1.13E-03
1.90E-01
5.47E-04
2.36E-01
1.10E-04
5.87E-05
1.11E-03
2.41E-04
1.28E-04
1.03E-06
3.00E-06
1.62E-05
1.68E-05
2.82E-05
5.72E-05
1.79E-05
2.65E-04
9.84E-05
2.14E-04
3.19E-04
9.54E-03
6.01E-04
1.84E-03
3.56E-04
3.11E-06
3.07E-06
9.62E-06
2.07E-05
6.93E-06
2.91E-07
9.58E-07

3027.5
2844.2
3394.4
2863.3
2707.1
805.7
12724.8
2271.8
1811.0
267.9
2905.2
3103.3
7775.7
8987.6
789.0
1523.5
808.9
792.3
258.7
3542.3
275.9
1220.7
909.3
415.3
122.1
4277.7
20310.5
178.5
476.0
1464.8
1223.5
695.5
545.3
820.4
683.4
763.1
172.0
1373.8
506.8
3662.3
159.4
1374.7
2163.9
16695.8
242.1
768.6
607.8
888.0
349.9
245.3

149.1
150.3
150.1
150.1
149.8
146.8
137.3
154.8
140.8
155.1
163.2
149.7
157.5
159.3
145.2
143.5
145.6
142.5
159.2
143.9
166.5
154.0
153.3
156.1
164.0
149.6
149.8
164.3
159.1
153.2
157.0
157.6
149.4
146.0
150.8
146.0
158.0
149.5
149.4
145.7
137.7
133.4
125.5
115.6
140.5
145.0
154.5
149.9
163.4
165.0

7.57E-05
1.42E-04
6.79E-05
2.86E-04
3.38E-05
1.01E-05
4.24E-04
4.73E-02
4.53E-04
6.91E-05
1.45E-03
1.55E-04
1.94E-01
2.25E-01
6.58E-05
3.05E-05
6.47E-06
3.96E-06
6.47E-05
4.14E-03
2.30E-04
1.02E-03
4.55E-04
9.03E-06
3.05E-06
2.52E-04
5.08E-02
4.06E-05
2.16E-04
2.93E-02
6.12E-02
1.09E-04
8.52E-06
1.64E-05
1.71E-05
9.54E-05
1.72E-03
1.37E-05
1.01E-05
9.16E-05
1.27E-07
1.37E-06
5.41E-07
7.59E-07
4.03E-07
7.69E-07
1.52E-05
4.44E-05
8.75E-04
2.00E-04

85.9
1143.3
267.7
956.7
270.8
647.6
503.3
1101.9
1068.4
54.3
223.4
10.9
4.4
55.5
690.8
61.8
64.5
98.1
410.5
327.1
538.6
485.9
340.8
5492.2
309.9
787.2
4419.4
1114.2
1667.9
127.6
555.1
2207.2
134.7
433.3
301.4
532.3
577.6
298.1
87.5
96.8
331.2
153.9
321.2
1175.0
1219.2
554.8
2152.9
40.3
297.7
111.2

145.9

134.9

160.7

134.4

151.7
158.8
149.3
146.3
152.0
147.3
141.0
144.4
144.6
157.2
138.6
157.5
168.6
159.4
155.6
159.5
143.5
168.4
160.2
163.2
152.6
162.0
163.0
148.7
155.3
134.9
122.8
147.3
134.8
142.8
137.4
142.7
140.1
143.0
149.6
159.1
154.7
152.2
159.4
152.7
161.7
165.5
152.8
149.7
132.8
159.2
152.1
147.4
162.0
154.4

4.29E-06
2.29E-04
3.35E-06
5.98E-06
9.03E-06
3.16E-06
2.52E-06
5.37E-06
1.34E-06
1.36E-06
8.94E-07
7.26E-08
4.43E-06
5.55E-04
6.91E-06
6.18E-07
3.69E-08
2.45E-03
1.03E-03
6.54E-05
1.35E-05
2.43E-05
2.13E-05
1.37E-01
1.55E-05
6.30E-07
1.84E-07
5.57E-06
5.56E-06
3.19E-04
2.52E-07
2.22E-06
1.68E-07
7.22E-07
6.03E-07
4.26E-06
5.78E-06
5.96E-06
1.75E-06
1.61E-04
8.28E-06
3.85E-05
8.03E-06
2.94E-04
1.18E-07
2.22E-06
1.35E-05
1.83E-08
3.31E-05
1.11E-06

344.3
1027.8
36.3
87.8
29.7
21.9
31.4
2512.0
849.2
789.6
180.2
272.0
116.9
22.4
704.7
130.9
209.5
409.0
108.1
193.5
385.2
447.6
123.2
87.7
72.7
190.4
1250.0
45.1
46.4
13.2
35.8
178.8
6.5
31.3
590.0
27.7
2.2
36.1
1475.7
314.8
2.4
299.9
39.4
699.8
854.7
10427.1
33.8
40.5
129.5
4.4

136.1

138.2

147.5

164.7

157.2
157.7
165.8
154.7
161.4
162.5
157.1
126.5
142.8
148.4
154.8
161.0
147.4
161.9
151.9
158.4
163.8
152.2
169.5
160.0
151.0
158.4
166.0
162.2
164.7
155.4
129.8
169.7
159.7
164.7
163.3
152.4
168.9
168.1
134.8
170.2
175.7
166.8
157.1
182.8
171.3
159.7
157.7
135.6
143.5
138.2
152.2
157.4
162.4
176.3

3.44E-05
8.22E-05
8.07E-07
2.19E-06
5.94E-07
2.19E-07
3.06E-06
6.28E-08
3.27E-07
7.90E-07
2.25E-07
3.40E-06
2.92E-07
1.12E-03
2.82E-06
2.62E-06
1.01E-04
2.05E-02
1.08E-03
4.84E-06
8.02E-06
1.49E-06
6.16E-06
1.75E-06
1.01E-06
1.59E-06
5.00E-08
2.25E-06
2.32E-07
5.28E-08
1.63E-06
2.24E-06
1.61E-06
1.04E-06
5.90E-08
7.69E-07
5.52E-05
7.21E-07
1.11E+00
1.26E+01
4.72E-06
5.00E-06
1.97E-04
7.00E-08
2.37E-07
4.17E-06
2.82E-08
4.49E-08
1.62E-06
1.38E-04

0.9
10.3
11.5
46.1
121.9
203.1
124.1
80.4
62.3
279.7
205.7
291.4
737.3
584.6
295.6
28825.0
177.7
37.8
2.4
126.2
363.1
849.3
1.5
7.0
5.5
162.4
20.7
39.0
181.9
30.5

165.0
144.3
146.6
157.2
149.4
143.4

155.2

161.0
160.1

175.5

165.4

162.7

176.4
167.3
170.3
159.4
163.6
141.3
142.3
145.7
155.6
147.4
143.4
160.4
155.5
154.2
138.3
153.6
162.7
177.3
172.3
159.7
159.5
150.6
174.8
174.9
172.5
154.3
162.8
147.3
148.3
158.6

4.25E-06
2.58E-03
1.44E-07
4.61E-07
2.54E-06
2.26E-09
6.21E-09
8.04E-09
6.23E-08
1.73E-07
9.35E-08
7.29E-05
8.06E-06
5.85E-07
2.96E-08
1.44E+02
1.78E-06
8.59E-06
3.02E-05
5.05E-07
1.04E-05
1.70E-06
1.47E-05
1.40E-07
8.57E-07
2.03E-07
1.30E-08
7.80E-09
3.64E-08
1.80E-08

FOMS,hf+10log(fsnyq)
178.76
220.20
212.20
209.98
224.73
216.54
176.61
195.46
201.58
179.89
207.83
211.41
198.19
193.07
214.43
206.51
208.90
209.76
209.34
205.35
205.22
207.01
214.46
217.99
184.75
178.50
187.89
200.76
215.04
212.96
209.82
203.28
231.02
216.00
226.91
215.67
205.37
217.54
219.25
214.63
206.03
208.62
206.69
215.55
224.27
216.90
218.82
221.56
223.94

232.27
223.69
221.49
215.95
219.06
221.68
218.49
217.22
195.83
207.39
196.90
220.94
223.85
217.06
203.41
210.21
188.07
226.86
222.43
216.83
189.07
203.09
206.63
219.45
231.68
218.91
221.79
211.79
222.46
218.10
224.89
221.61
226.87
226.69
234.84
215.13
223.94
223.07
208.27
208.57
218.08
211.21
214.85
225.84
226.45
223.53
217.40
223.22
223.23
223.55

225.08
223.34
227.05
220.10
228.87
225.81
212.09
201.61
206.81
220.97
226.24
222.71
203.48
205.35
215.99
220.53
226.61
225.54
225.26
203.20
227.25
214.79
216.29
232.71
240.02
221.86
205.81
230.78
222.51
200.20
200.00
225.65
227.44
223.02
226.82
215.07
208.01
229.49
226.41
221.75
228.71
223.39
221.54
219.07
228.31
235.01
230.55
222.89
219.45
225.86

224.69
225.77
228.32
228.37
226.81
230.37
224.00
227.56
233.61
233.19
222.56
239.26
228.60
209.43
235.57
239.46
235.98
214.47
216.25
230.21
228.57
235.01
235.08
194.74
228.32
225.88
226.63
230.36
219.62
198.83
230.85
232.66
229.11
230.78
236.57
240.07
234.65
229.21
236.44
210.49
237.74
231.55
228.82
215.69
232.94
243.15
234.18
240.79
231.57
234.36

227.25
228.72
242.34
230.70
238.43
242.46
227.21
232.51
236.98
238.39
243.84
240.05
233.41
204.87
235.87
235.44
226.94
195.26
219.53
236.02
227.82
243.13
238.97
239.17
243.28
236.16
233.80
242.73
242.71
248.64
236.73
231.47
234.96
242.88
234.76
245.81
221.73
243.84
188.37
196.77
228.28
237.53
210.70
235.65
239.11
232.16
243.02
246.94
241.42
221.33

229.40
203.37
249.29
239.38
240.37
250.83
245.29
245.69
245.63
239.50
236.86
226.44
235.10
244.20
238.31
176.58
242.67
243.73
221.34
243.68
234.91
237.57
224.84
251.87
240.59
243.36
254.79
244.33
245.31
250.92

YEAR
1997
1997
1998
1998
1998
1999
1999
1999
2000
2000
2000
2001
2001
2002
2002
2003
2003
2003
2004
2004
2004
2004
2004
2004
2004
2004
2004
2004
2004
2004
2005
2005
2005
2005
2005
2005
2006
2006
2006
2006
2006
2006
2006
2006
2006
2006
2006
2007
2007

ID
3.2
3.4
14.2
14.3
14.4
8.2
8.3
8.4
11.1
16.1
16.2
19.2
19.3
23.1
23.2
6.1
6.2
6.3
6.1
6.2
6.2
6.3
6.4
6.4
6.4
25.1
25.2
25.3
25.4
25.5
12.2
12.3
21.1
21.2
21.2
21.3
6.2
6.3
16.1
16.2
16.2
16.3
16.4
19.1
19.2
19.4
19.5
7.1
7.2

TYPE ARCHITECTURE
TECHNOLOGY TITLE
ABSTRACT
AUTHORS
OS
SDCT
0.500
1.2-v, 16- We have improved the
Matsuya, Y. Ter
NQ
Folding, TI
Bipolar
An 8-GSa/We report on an analoKen Poulton, Kn
NQ
Pipe
0.6
A 1.5 V, 1 A 1.5 V, 10-bit, 14.3 Abo, A.M. Gray,
NQ
Two-step, Pipe
0.25 BiCMOS A 2.5 V 10This paper describes Sugawara, M. Yo
OS
SDCT
0.5 SiGe
A 4 GHz fTest results for a f
Weinan Gao Che
NQ
Pipe
Bipolar
An 8 b 500An 8 b 500 MS/s one-b
Irie, K. Kusaya
NQ
Flash
0.35
A 1 V 6 b A current-interpolati Bang-Sup Song
NQ
Pipe, Folding
0.5
An 8 b 10When applied to fold Myung-Jun Choe
OS
SDSC
0.25
A 50-mW A
1 5th-order single-lo Balmelli, P. Qi
NQ
Pipe
0.6 BiCMOS A 12 b 10 This analog-to-digita Michalski, C.
NQ
Folding
0.35
An 8-bit An 8-bit 125 MS/s CMO
Kwangho Yoon
NQ
Folding
0.18
An 8-bit This paper describes Sigenobu, T. Ito
NQ
Pipe
0.35
A 12-bit mThis paper presents pShabra, A. Hae
NQ
Flash
0.18
A 6bit 40 The design of a low pOno, K. Shimizu
NQ
Folding
0.12
A 1.2 V 1 A CMOS analog-to-digi
Blum, A.S. Engl
algorithmicCha
ADC incorporat
NQ
Pipe
0.18
A 0.9 V 9 A low-voltage two-stage
Dong-Young
OS
SDSC
0.35
A 1.8 V, 1 A
MS/s,
1.8 V,851 dB
MS/s,
SNR
852+2
dB
Kye-Shin
mash
SNR 2+2
/spl
Lee
mash
Sigma//spl
Maloberti,
/spl Sigma//
Delta/
F.
OS
SDCT
0.25
A Fourth A low-power fourth orFarzad Esfahani,
NQ
Cyclic
0.13
A calibra A calibration-free 3V Hee-Cheol Choi
OS
SDSC
0.18
A third-or A third-order EA mod Jae Hoon Shim
OS
SDSC
0.18
A third-or A third-order EA mod Jae Hoon Shim
OS
SDCT
0.13
A 12 bit CA wide bandwidth Cont
Paton, S. Di Gi
OS
SDCT
0.35
A 3.3-V 24A bandpass /spl Sigma
Cheung, V.S.L.
OS
SDSC
0.35
A 3.3-V 24A bandpass /spl Sigma
Cheung, V.S.L.
OS
SDSC
0.35
A 3.3-V 24A bandpass /spl Sigma
Cheung, V.S.L.
NQ
Flash
0.13
A 4GS/s 6A 4GS/s 6b flash ADCPaulus, C. Blut
NQ
Folding
0.18
A 600 MSP
An 8-bit folding A/D Zheng-Yu Wang
NQ
Pipe
0.34 BiCMOS A highly i This analog basebandGulati, K. Mun
NQ Pipe, TI, SwOpAmp
0.18
A 1.5-V 1 A 1.5V 10-b 50MS/s 2Vaz, B. Goes, J
NQ
Algorithmic
0.18
0.9V 12mAn ultra low-voltage Jipeng Li Gil
OS
SDSC
0.25
An energyA low-power, energy-eSunyoung Kim Jae-Youl Lee
OS
SDSC
0.13
A 1-V, 1-MA low-voltage switcheLibin Yao Steya
NQ
Pipe, TI
0.18
A 6GS/s, 4A 4-bit 6GS/s A/D conVarzaghani, A.
NQ
Pipe
0.18
A reconfi A reconfigurable pipeAnderson, M. No
NQ
Pipe
0.18
A reconfi A reconfigurable pipeAnderson, M. No
NQ
Pipe
0.18
A 14bit di A 14bit digitally sel Matsui, H. Ueda
NQ
Algorithmic
0.13
A 12-Bit This paper describes J. Jarvinen M.
NQ
Algorithmic
0.13
A 10MS/s A 10Ms/s 11-b algori M. Kim P. Hanumolu U.-K. M
NQ
Pipe
0.18
A 6-Bit 80A 6-bit 800-MS/s Pip D.-L. Shen T.-C
NQ
Pipe, SwOpAmp
0.18
A 1-V 100A 1V, 8-bit dual-mod Y. Wu V. Cheun
NQ
Pipe, SwOpAmp
0.18
A 1-V 100A 1V, 8-bit dual-mod Y. Wu V. Cheun
NQ
Folding
0.09
A 7bit 80 A 7bit 800Msps foldinK. Makigawa K.
NQ
SAR, TI
0.065
A 500MS/A 1.2V 6mW 500MS/sB.5-Ginsburg A
OS SDSC, Switched RC
0.13
A 0.9V 92A 0.9V third-order 1 M. Kim G.-C. A
OS
SDSC
0.13
A 1.2V, 1 A 1.2V switched-capaC. Tsang Y. Chiu
OS
SDSC
0.09
An 11-Bit A 2-2 cascaded Sigma
J. Paramesh R.
OS
SDCT
InP HBT
A 4GHz 4tA 4th-order bandpassL. Luh J. Jense
NQ
SAR, TI
0.13
A 1.35 GSA time-interleaved A Louwsma, S.M.; v
NQ
Flash
0.09
A 6-bit 3 A 6-bit 3.5-GS/s flas Deguchi, K.; Suwa

2007
2007
2007
2007
2007
2007
2007
2007
2007
2007
2008
2008
2008
2008
2008
2008
2008
2008
2008
2008
2008
2008
2008
2008
2009
2009
2009
2009
2009
2009
2009
2009
2009
2009
2009
2009
2010
2010
2010
2010
2010
2010
2010
2010
2010
2010
2010
2010
2011
2011

7.3
19.1
19.2
19.3
19.5
23.1
23.2
23.3
23.4
23.5
8.1
8.2
2.1
2.2
2.3
2.4
18.2
18.3
18.3
18.4
22.1
22.2
22.3
22.4
7.1
7.2
7.3
7.4
23.1
23.2
23.3
23.4
26.1
26.2
26.3
26.4
15.2
15.2
15.2
15.2
15.2
15.3
15.4
15.5
23.1
23.2
23.3
23.4
4.1
4.2

NQ
NQ
NQ
NQ
NQ
OS
OS
OS
OS
OS
NQ
NQ
NQ
NQ
NQ
NQ
OS
OS
OS
OS
NQ
NQ
NQ
NQ
OS
OS
OS
OS
NQ
NQ
NQ
NQ
NQ
NQ
NQ
NQ
OS
OS
OS
OS
OS
OS
NQ
NQ
NQ
NQ
NQ
NQ
OS
OS

Pipe, TI
SC Log
Pipe
Pipe
Pipe
SDCT
SD Class-C
SDSC
Ext. Counting
SD VCO
Pipe, TI
Pipe
Flash
Flash
Flash
Pipe, TI
SDSC
SDCT
SDCT
Ext. Counting
Pipe
Pipe, ZCBC
Pipe
Pipe
SDCT
SDCT
SDSC
SDSC, Pipe
SAR
SAR
SAR
SAR
Folding
Flash
VCO
Pipe
SDCT
SDCT
SDCT
SDCT
SDCT
VCO
Flash, TI
Flash, TI
Pipe, ZCBC
Pipe, SAR
SAR
SAR
SDSC, Pipe
SDSC

0.09
0.18
0.25
0.09
0.09
0.18
0.35
0.18
0.18
0.13
0.065
0.09
0.065
0.09
0.065
0.09
0.18
0.065
0.065
0.18
0.09
0.065
0.18
0.18
0.11
0.09
0.045
0.18
0.13
0.09
0.13
0.18
0.09
0.065
0.065
0.065
0.09
0.09
0.09
0.09
0.09
0.065
0.065
0.065
0.09
0.065
0.18
0.09
0.18
0.032

7b 1.1GS/A time-interleaved p Cheng-Chung Hsu


A 2.5mW A
8 switched-capacitor J. Lee, S. Park,
A 14b LowA pre-charging techn K. Honda, Z. Liu
A 90nm CM
A 1V 12b 40MS/s Pipe
K.-J. Lee, E.-S.
A 0.5V 8bA true low voltage 0. J. Shen and P. K
A 1.2-V 7 A hybrid SD modulator
S.D. Kulchycki,
A Low Pow
In switched capacitor Y. Chae and G.
A Split 2- A dual-path 2-0 cascaZ. Zhang*, J. St
A High-ReA calibration-free,
A. Agah*,**, K. Vleugels***, P.B
A 10-Bit A combined 5-bit, 1s M.Z. Straayer an
A 1.2V 30An 8-bit 800MS/s tim W.-H. Tu, T.-H.
A 1.2V 25A 14b pipeline ADC i H. Van de Vel, B
A Low Pow
A low power 6-bit AD C.-Y. Chen, M. L
A 7.6 mWA 5 bit 1.75 GS/s fla B. Verbruggen,
A 6-bit 5 A 6-bit Nyquist A/D c M. Choi, J. Lee,
A 10.3GS/A 10.3GS/s ADC withA.
5 Nazemi, C. Gr
A 0.7-V 1 A high-precision, low H. Park, K. Nam,
A 2.1mW/A technique to compeM. Vadipour, C.
A 2.1mW/A technique to compeM. Vadipour, C.
A 14b 23MA 14b 23MS/s ADC that
C. Lee, M. Flynn
A 9.4-bit An ultra-low power p J. Hu, N. Dolev
A Fully-D A fully-differential
S.-K. Shin, Y.-S
A 12b 50MA 12b 50MS/s pipelinH.-C. Choi, Y.-J.
A ProcessA 13-bit ADC is impleM. Anthony, E. Ko
A 5th-OrdA new circuit configu Kazuo Matsukawa
A 500kHz-A fully flexible cont Pieter Crombez,
TechnologAn area reducing desiRobert H.M. van
A 79dB 80A new delta-sigma moO. Rajaee, T. M
A 0.92mWThis paper reports a Chun-Cheng Liu,
A 6-bit 5 A threshold configuri Pierluigi Nuzzo,
A 12b 11MA two-comparator arcJoshua J. Kang
A 1.3uW 0A 100KS/s, 1.3W, 8.Seon-Kyoo Lee,
A self-bacWe have developed aNakajima,
6
Yuji ;
A 7.5-GS/A 7.5-GS/s 4.5-bit an Hayun Chung, Al
A 1.5-GH This paper presents Yoon, Young-Gy
A dual-ch A dual-channel 10b 80
Yu, Xinyu ; Lin,
A 2.8-to- A 0.4mm2 low-power Y.
f Ke, P. Gao, J
A 2.8-to- A 0.4mm2 low-power Y.
f Ke, P. Gao, J
A 2.8-to- A 0.4mm2 low-power Y.
f Ke, P. Gao, J
A 2.8-to- A 0.4mm2 low-power Y.
f Ke, P. Gao, J
A 2.8-to- A 0.4mm2 low-power Y.
f Ke, P. Gao, J
A 0.02mmA 300MHz all-digital J. Daniels, W. D
A 12-GS/sA 12-GS/s 5-bit time- M. El-Chammas,
A CMOS 6An 8-channel 6-bit 1 C.-C. Huang, C.A Zero-CrThis paper describes J. Chu, L. Brook
A 12b 50MA 12b 50MS/s ADC isC.
p Lee, M. Flynn
A 1V 11fJ This paper presents C.-C. Liu, S.-J.
A 9-bit
This paper reports a Y.-Z. Lin, C.-C.
A 12-ENOB
A noise-shaped pipeliO. Rajaee and
A 32nm, 1.05V,
A 11-9BIST
bit, 10-40MHz
Enabled, 10-40MHz,
B. R. Carlton,
11-9
H Bit, 0.13mm2

2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2013
2013
2013
2013
2013
2013
2013
2013
2013
2013
2013
2013
2013
2013
2013
2014
2014
2014
2014
2014
2014
2014

4.3
4.4
4.5
12.1
12.2
12.3
12.4
12.5
14.2
14.3
25.1
25.2
25.3
25.4
25.5
4.1
4.2
4.3
4.4
11.1
11.2
11.3
11.4
11.5
19.1
19.2
19.3
19.4
5.1
5.2
5.3
5.4
5.5
8.1
8.2
8.3
8.4
8.5
21.1
21.3
21.4
21.5
22.2
5.1
5.2
5.3
5.4
10.1
10.2
10.3

OS
OS
OS
NQ
NQ
NQ
NQ
NQ
NQ
NQ
NQ
NQ
NQ
NQ
OS
NQ
NQ
NQ
NQ
NQ
NQ
NQ
NQ
NQ
OS
OS
OS
OS
OS
OS
OS
OS
OS
NQ
NQ
NQ
NQ
NQ
NQ
NQ
NQ
NQ
NQ
NQ
NQ
NQ
NQ
OS
OS
OS

SDCT
SDCT
SDCT
Pipe, TI
Pipe
Pipe, Folding
Pipe
Subranging
SAR
SAR
SAR
SAR
Flash
Single Slope, TI
VCO
Flash
Event Driven
Pipe
Pipe
SAR, TI
SAR TI
SAR
Pipe, TI
SAR
SDCT
SDSC
SDSC
VCO
SDCT
SDCT BP
SDDT
SD VCO
VCO
Pipe, TI
Pipe
Pipe
Pipe
Pipe
SAR, TI
Flash
SAR
Pipe
SAR, TI
Pipe, SAR
SAR
Pipe
Subranging
SD VCO
SDCT
SDCT

0.065
0.04
0.04
0.04
0.04
0.045
0.09
0.055
0.13
0.25
0.04
0.09
0.09
0.13
0.09
0.04
0.13
0.065
0.18
0.065
0.065
0.028
0.065
0.09
0.04
0.13
0.065
0.065
0.055
0.065
0.022
0.18
0.09
0.028
0.18
0.13
0.065
0.065
0.032
0.032
0.04
0.028
0.065
0.18
0.09
0.18
0.065
0.065
0.02
0.18

of a digitally line
A ContinuThis paper explores
D.the
Kim,use
T. Mats
A 48-dB DA 2.22GHz 4th-order E
B. Martens, A. B
A 2.8 mWA low-power continuou
J. Gealow, M. As
A 12b 3GS/s
A 12b
Pipeline
3GS/sADC
2-way
with
interleaved
C.-Y.
500mW
Chen
and
pipeline
and
0.4 mm2
ADCinis40nm
pres
An 11b 300
An 11b Double-Sampling
T. Miki,
Pipelined
T. MoriADC with memo
A 22-mW W
7 e have developed aT.7Yamase, H. U
A 10b 320An open-loop interpo M. Miyahara, H.
A 16-mW A
8 subranging ADC was
Y.-H. Chung and
A 96-ChanA sensor interface coR.M. Walker, H.
BioBolt: AWe report a bolt-shapS.-I. Chang, K.
A 0.5V 1. This paper presents aA. Shikata, R. S
A 1-V, 8b This paper presents aJ.-H. Tsai, Y.-J
Digitally An ADC is synthesized
S. Weaver, B. H
A ReconfiA reconfigurable highS. Danesh, J. H
A 71dB S A highly linear cali S. Rao, B. Young
A 6b 3GS/A 6b 3GS/s fully dynaY.-S. Shu
An Event-A clockless 8b ADC inC. Weltin-Wu, Y.
A 10-Bit A pipelined ADC digit B.D. Sahoo, B.
A 61.5dB A ring amplifier base B. Hershberg, S
A 2.8GS/sThis paper presents D. Stepanovic, B
A 3.8mW A
8 n 8b 1GS/s ADC is pr
C.-H. Chan, Y. Z
A 4.5-mWA 8-b 2-b/step asynchY.-C. Lien
A 34fJ 10 A 10b 500MS/s ADC is
Y. Zhu, C.-H. Ch
A 3.2fJ/c A low-voltage energy-H.-Y. Tai, H.-W.
A 10 MHzWe propose not only K
n . Matsukawa, K.
A 5MHz BIn this paper, a new T. Oh, N. Magha
An 85dB SA 1-0 MASH sigma-del
S.-C. Lee, B. Eli
A Reconfigurable Mostly-Digit G. Taylor, I. Gal
A 75.1dB This paper presents Chi-Lun Lo, Che
A 69dB SN
A new power-efficien Hyungil Chae an
A 66dB S A discrete-time delta C.C. Lee, E. Alpman, S. Weaver, C.A 379nW A
58.5dB
VCO-based
SNDR delta-sigma
VCO-Based
Y.-D. Chang,
modulator
Modulator
C.-H.
is proposed
Weng,
for Bio-Po
T.-H
fo
A 4.1mW,A12-bit
deterministic
ENOB, 5MHz
digi BW,
S. Rao,
VCO-Based
K. Reddy, B.
ADC
Young
withand
OnP
A 5.4GS/sA12b
5.4GS/s
500mW
12bPipeline
2-way J.ADC
Wu, A.
in (W.-T.)
28nm CMOS
Chou, C.-H. Ya
A 75.9dB-SNDR
A high resolution
2.96mW 29fJ/Conv-Step
pipelined
B. Hershberg
ADC that
Ringamp-Only
andperforms
U.-K. Moon
preci
Pipe
A 70MS/s A69.3dB
Nyquist
SNDR
ADC 38.2fJ/Conversion-Step
with tiT. Oh, H. Venkat Time-Based
A 12-Bit, 200-MS/s,
A high-speed,
11.5-mW
low-pow
Pipeline
N. Dolev,
ADC
M. Kramer
Using aand
Pulsed
B. Mur
B
A 10-Bit 800-MHz 19-mW CMOS
ADC
Shiuh-hua
Wood
A 35mW 8An
b 8.8
asynchronous
GS/s SAR ADC
8x iLukas
with Low-Power
Kull, Thom Capacitive R
An 8.5mWThis paper describes V.H.-C. Chen and L. Pileggi
A 0.0058mm^2
An extremely
7.0 ENOB
low24MS/s
power
K. Yoshioka,
and
17fJ/conv.
area efficient
A.Threshold
Shikata,
threshold
R.
Con
Se
A 2.1 mWA11b
410410
MS/s
MS/s
2x Dynamic
interleaved
Pipelined
11bit pipelined
SAR
SAR
with
ADC
B
B. Verbruggen,
M ADC
A 6b 10GSA 64-way time-interleaved
E.Z. Tabasy,
successive
A. Shafik,
approximatio
K. Lee
An 18 b 5 This paper presents Alan Bannon, Ch
18paper
bit 5 MS/s
A 0.4V 2. an
This
presents aYan-Jiun Chen,
SAR
ADC.
It
has aampHariprasath Ven
A 48 fJ/C A hybrid dynamic
dynamic range of
7-bit 0.8 Subrange ADC with Dy
Kentaro Yoshiok
100.2 dB, SNR of 99
75dB DR 5
A
wide
bandwidth,
hi
dB, INL of 2 ppm Brian Young, Ka
A 23mW, A
23mW,
80MHz
73 Ho, Chi-Lu
and
DNL of
0.4 BW,Stacy
A 97.3 dBA
Abhishek
Bandyo
continuous
time
5ppm. It has currently
the lowest noise
floor of any
monolithic Nyquist
converter relative to
the full scale input
(21.9 nV/Hz, 5V

2014
2014
2014
2014
2014
2015
2015
2015
2015
2015
2015
2015
2015
2015
2015
2015
2015
2015
2015
2015

10.4
23.1
23.2
23.3
23.4
3.2
3.3
3.4
3.5
11.1
11.2
11.3
18.1
18.2
18.3
18.4
18.5
21.1
21.2
21.3

OS
SDCT
NQ
Pipe, SAR, TI
NQ
Pipe, SAR
NQ
SAR
NQ
Pipe, SAR
NQ
SAR
NQ
SAR
OS
SAR
NQ
SAR, VCO
NQTwo-step, binary search, TI
NQ
Asynchronous
NQ
SAR, TI
OS
SDCT
OS
SDCT, VCO
OS
SDCT
OS
SDCT
OS
SDCT
OS
SDCT
OS
SDCT
OS
SDCT

0.09
0.028
0.065
0.028
0.04
0.18
0.014
0.065
0.045
0.065
0.028
0.028
0.04
0.065
0.13
0.016
0.04
0.065
0.028
0.065

An 8.5MHA power-efficient co Chan-Hsiang Wen


A 70 dB SWe present a 200 MS/
Bob Verbruggen,
A 12-bit A 210 MS/s dual-chann
Chin-Yu Lin and
An 11.5- Recent publications hMichael Inerfie
A 12b 160A 12b two-step pipeli Yuan Zhou, Benw
A 120nW W
8 e present an 8-bit S. Jeong, W. Jun
A 12b 70MA 12b 70MS/s sub-2 ra
C. C. Lee, C.-Y
A 9.35-ENThis paper presents aZ. Chen, M. Miy
A 12-Bit A SAR ADC incorporate
J. P. Mathew, L.
A 25GS/s A 25GS/s 8-way time-S. Cai, E. Z. Ta
A 3-10fJ/ We present a program
S. Patil, A. Rati
A 6b 46GSThis paper presents Y. Duan and E.
A 75 MHzA wide bandwidth, pow
C. Briseno-Vidri
A 54mW 1.2GS/s 71.5dB SNDR
K. Reddy, S. De
A 7.2 mWA 3rd order continuouT. Kim, C. Han
A 16nm FiA 39MHz bandwidth (T.-K. Kao, P. Che
A 10/20/3 The first (single-bit S. Loeda, J. Har
A 0.7 V 2 A continuous-time (CTJ. L. A. de Melo
A 13-ENOB
A 13-ENOB, 5 MHz BW,
G. Wei, P. Shett
A Low-PoA low power CT- isI. Ahmed, J. Che

COMMENTS
Software calibrat

Csamp [pF]

AREA [mm^2]
29.68
5.75
3.3
1.24
5.5
4.8
1.68
1.1
0.8
0.96

Calibration

GSM mode
WCDMA mode

SNDR, SNR not r

Type 1

Double-sampling
Quadrature mode

0.2688
0.32
1.44
6.25
0.3894
0.5
0.7
0.7
1.2
1.2
1.2
0.5
0.5
8
1.3
1.4
0.5
0.6016
2.88
1.9
1.9
1.15
0.055
0.19
0.5
2.04
2.04
0.32
2.28
1.44
1.51
1.3
12.4
1.6
0.1485

SNDR_lf [dB]
46.9

fin_hf [Hz]
2.00E+04
4.00E+09
7.15E+06
5.00E+07
4.00E+06
2.50E+08
2.50E+07
5.00E+07
1.00E+06
5.25E+07
6.25E+07
1.50E+07
2.55E+07
2.00E+08
5.00E+07
5.00E+05
5.00E+05
2.70E+05
2.50E+05
2.00E+05
5.00E+06
1.20E+07
2.00E+05
1.00E+06
1.25E+06
2.00E+09
3.00E+08
9.00E+07
2.50E+07
2.00E+06
8.00E+03
5.00E+05
3.00E+09
5.00E+06
4.00E+07
1.00E+07
2.08E+04
5.00E+06
4.00E+08
5.00E+07
2.00E+07
4.00E+08
2.50E+08
2.40E+04
5.00E+05
2.05E+07
1.00E+06
6.75E+08
1.75E+09

SNDR_hf [dB]
91.5
24.0
58.5
43.3
45.0
33.5
42.3
78.0
40.2
45.0
67.0
31.0
54.9
55.0
78.4
77.4

61.0
72.0
55.0
52.0
40.0
60.4
57.2
55.0
69.0
22.5
58.8
56.5
70.4
60.0
56.0
33.7
41.5
44.5
33.6
26.0
89.0
84.0
63.0
48.1
31.2

0.19
0.56
8
0.28
0.85
1.4
0.00324
14
3.5
0.185
0.12
1
0.13
0.0308
0.3

Data at fin=10MH
0.090
A different use o

Data for 20MHz B


GSM mode
BT mode
UMTS mode
DVB-H mode
WLAN mode
Differential mode
1.100

Data for VDD=1.


Power includes di
Numbers for BW

2.16
0.18
0.18
0.5
0.123
0.33
0.26
0.89
0.32
0.4
0.04
3.75
0.075
0.0055
0.7
0.125
0.075
0.01
0.7
0.125
0.4
0.4
0.4
0.4
0.4
0.02
0.44
1.4694
0.32
0.16
0.086
0.028
1.3
0.13

27.0
30.8

5.50E+08
1.10E+07
1.50E+07
2.00E+07
5.00E+06
7.50E+06
8.00E+03
1.25E+06
5.00E+05
2.00E+07
4.00E+08
5.00E+07
4.00E+08
8.75E+08
2.50E+09
5.15E+09
2.50E+04
1.00E+05
1.92E+06
1.15E+07
2.50E+07
1.30E+07
2.50E+07
1.25E+08
1.00E+07
1.00E+07
1.50E+07
5.00E+06
5.00E+07
1.60E+07
5.50E+06
5.00E+04
1.35E+09
3.84E+09
2.00E+07
4.00E+07
2.00E+05
5.00E+05
2.00E+06
4.00E+06
2.00E+07
3.00E+07
8.00E+09
3.00E+09
5.00E+07
2.50E+07
5.00E+06
7.50E+07
5.33E+06
2.00E+07

40.9
36.0
70.7
62.0
48.1
67.0
63.0
86.3
44.2
70.0
33.7
30.0
32.0
32.4
95.0

68.0
47.7
54.3
64.0
65.9
62.5
65.0
56.3
75.4
52.0
32.0
63.0
53.8
33.6
24.5
39.0
53.8
82.0
76.0
75.0
72.0
58.0
64.0
25.1
28.0
63.2
64.4
60.3
54.1
73.7
63.0

Bandpass

Data for fin=100

Data for Modulat


Recorded power do
Used data for hi
fcenter = 200MH

Reported HF perfor
Only fin=1MHz dat
8.000
0.128
0.100
0.064
Includes FFE/DF
10Vpp input swin
2.5
Data for 820 MS/

0.71
0.4
0.085
0.4
0.42
0.023
0.46
0.2
0.11
0.0396
0.0112
0.055
0.18
0.55
0.1
0.021
0.36
0.225
0.5
0.18
0.013
0.004
0.046
0.03
0.051
0.37
0.28
0.075
0.071
0.25
0.04
0.06
0.16
0.4
1.98
0.5
0.26
0.18
0.025
0.02
0.0058
0.11
0.33
5.74
0.04
3.06
0.087
0.49
0.1
0.99

61.0
69.3
65.0
55.0
39.1
33.4
60.0
29.2

74.2
37

1.95E+06
8.00E+07
1.92E+06
1.50E+09
1.50E+08
6.50E+08
1.00E+08
5.00E+08
1.56E+04
1.56E+04
5.50E+05
2.00E+07
1.05E+08
5.00E+08
8.00E+06
1.50E+09
1.00E+04
5.00E+08
1.50E+07
1.40E+09
5.00E+08
3.75E+08
2.50E+08
5.00E+04
1.00E+07
5.00E+06
1.50E+07
3.75E+07
3.00E+07
2.50E+07
1.50E+07
2.00E+03
5.00E+06
2.70E+09
1.00E+07
2.00E+07
1.00E+08
4.00E+08
3.81E+09
2.50E+09
1.23E+07
2.05E+08
5.00E+09
1.00E+03
1.25E+05
1.50E+07
4.10E+08
2.00E+06
1.50E+07
6.00E+05

73.3
41.0
78.0
51.0
56.0
33.1
50.0
40.0
60.3
45.1
46.9
44.5
30.0
38.9
59.1
33.1
50.0
52.4
61.5
48.2
42.8
43.3
52.9
56.3
70.0
70.7
67.0
70.0
75.1
69.0
66.0
58.5
73.9
75.9
65.2
57.6
52.2
37.0
30.9
44.2
55.0
27.0
98.6
53.7
72.0
37.4
64.0
67.5

VDD=0.9V
VDD=1V
Excluding refere
VDD = 0.6V
VDD = 1V
VDD = 0.8V
VDD = 0.85V
VDD = 0.65V
VDD = 1.05/1.6 V
VDD = 1.1V
VDD=1.2V
Data for 40MHz
VDD = 0.7V
VDD = 0.9/1.8V
VDD = 1.1V

3.5
2
1.25
0.63
1.152

0.12
0.35
0.48
0.1
0.042
0.12
0.019
0.0123
0.06
0.24
0.0032
0.14
0.09
0.5
0.08
0.23
0.0194
0.013
0.066
0.6

70
63.48
71
66.7
69
68.2
32
27

2.00E+06
1.00E+08
1.00E+08
5.00E+07
8.00E+07
5.00E+04
3.50E+07
6.25E+06
1.00E+08
1.25E+10
4.00E+07
2.30E+10
7.50E+07
5.00E+07
1.00E+07
3.90E+07
4.00E+07
2.00E+06
5.00E+06
2.40E+04

67.2
65.0
60.1
67.1
65.3
46.9
68.1
58.0
68.0
29.7
40.0
25.2
64.9
71.5
75.3
67.7
66.9
69.1
80.5
85.0

SNR [dB]
92.0

DR [dB]
94.0

53.0

62.0

-THD [dB]

SFDR [dB]

69.0

46.5
80.0
68.0

78.0

75.0

77.0

35.0
75.0
85.7

87.0
82.0
90.2

64.0

76.0
55.0
76.0

30.0
46.9
60.7
62.0
55.0
72.0

72.0
60.3

62.3
81.0

88.0
59.0
56.9
73.2

71.1
67.0

76.3
69.1
80.0
69.0
47.5
52.6
54.4

58.0
45.2
46.5
36.8

35.0
91.0
84.0
67.0
76.0

92.0
84.0
90.0

96.0
67.0

SNDR_plot [dB]
91.5
24.0
58.5
43.3
53.0
45.0
33.5
42.3
78.0
68.0
40.2
45.0
67.0
31.0
54.9
55.0
85.7
78.4
77.4
76.0
55.0
61.0
72.0
55.0
52.0
30.0
40.0
60.4
57.2
55.0
69.0
88.0
22.5
58.8
56.5
70.4
60.0
56.0
33.7
41.5
44.5
33.6
26.0
89.0
84.0
63.0
76.0
48.1
31.2

P [W]
6.50E-03
1.25E+01
3.60E-02
1.80E-01
3.50E-01
9.50E-01
2.00E-02
1.65E-01
5.00E-02
8.50E-01
1.10E-01
1.80E-02
6.00E-01
7.00E-02
1.80E-01
9.00E-03
1.50E-01
4.60E-03
6.00E-03
4.00E-03
4.00E-03
7.00E-02
3.70E-02
3.70E-02
3.70E-02
9.90E-01
2.07E-01
1.20E+00
2.90E-02
1.20E-02
2.63E-05
7.40E-03
7.80E-01
8.10E-02
9.40E-02
3.37E-02
3.20E-05
1.00E-02
1.05E-01
3.00E-02
3.00E-02
1.20E-01
6.00E-03
1.50E-03
1.08E-02
7.80E-02
7.70E+00
1.68E-01
9.80E-02

fs [Hz]
6.14E+06
8.00E+09
1.43E+07
1.00E+08
4.00E+09
5.00E+08
5.00E+07
1.00E+08
8.00E+07
1.05E+08
1.25E+08
3.00E+07
5.10E+07
4.00E+08
1.00E+08
1.00E+06
1.00E+06
1.30E+07
5.00E+05
3.20E+06
4.00E+07
4.00E+08
2.40E+08
2.40E+08
2.40E+08
4.00E+09
6.00E+08
1.80E+08
5.00E+07
4.00E+06
1.02E+06
6.40E+07
6.00E+09
1.00E+07
8.00E+07
2.00E+07
4.17E+04
1.00E+07
8.00E+08
1.00E+08
4.00E+07
8.00E+08
5.00E+08
6.14E+06
6.40E+07
3.28E+08
4.00E+09
1.35E+09
3.50E+09

80.0
82.2
73.0

72.0

77.0
76.0
84.0
90.1

60.0
45.0
70.0

100.0
84.0
61.0
68.0

51.0
80.0

100
86
63
81.0

76.6
77.0
68.2

70.2
67

59.6
76.0

72.0
56.3
85.0
62.5
70.0
62.0
33.4
42.5
70.0

48.3
85
78
75
72
58

79.0

66

74.0
75.0
69.0
71.0
94.5
67.0

40.9
36.0
70.7
62.0
48.1
67.0
63.0
84.0
86.3
60.0
44.2
70.0
33.7
30.0
32.0
32.4
95.0
84.0
61.0
68.0
47.7
54.3
64.0
65.9
62.5
65.0
56.3
75.4
52.0
32.0
63.0
53.8
33.6
24.5
39.0
53.8
82.0
76.0
75.0
72.0
58.0
64.0
25.1
28.0
63.2
64.4
60.3
54.1
73.7
63.0

4.60E-02
2.54E-03
1.02E-01
1.60E-02
2.30E-03
6.36E-02
5.60E-06
6.40E-02
3.80E-02
3.84E-02
3.00E-01
2.50E-01
1.20E-02
7.60E-03
3.20E-01
1.6
8.70E-04
2.10E-03
3.20E-03
4.80E-02
1.44E-03
5.51E-03
0.01836
1.40E-01
5.32E-03
6.80E-03
9.00E-03
3.60E-02
9.20E-04
2.40E-04
3.57E-03
1.30E-06
5.00E-02
5.20E-02
8.60E-03
1.12E-02
2.80E-03
2.60E-03
3.60E-03
4.90E-03
8.50E-03
1.14E-02
8.10E-02
4.35E-01
6.20E-03
3.50E-03
9.80E-05
1.53E-03
1.39E-02
2.80E-02

1.10E+09
2.20E+07
3.00E+07
4.00E+07
1.00E+07
2.40E+08
2.00E+06
2.00E+07
1.00E+06
9.50E+08
8.00E+08
1.00E+08
8.00E+08
1.75E+09
5.00E+09
1.03E+10
5.00E+06
2.60E+07
6.24E+07
1.15E+08
5.00E+07
2.60E+07
5.00E+07
2.50E+08
3.00E+08
6.40E+08
1.50E+09
8.00E+07
5.00E+07
5.00E+07
1.10E+07
1.00E+05
2.70E+09
7.50E+09
4.00E+09
8.00E+07
5.12E+07
9.60E+07
1.28E+08
1.92E+08
6.40E+08
3.00E+08
1.20E+10
1.60E+10
1.00E+08
5.00E+07
1.00E+07
1.50E+08
6.40E+07
4.00E+02

79
48
83

83.0
51.0

60.0
57.0
61.0
50.0

35.0
61.1

71.0
38.0

56.0
61.9

57.0
71.0
71.0
75.9

64.5

72.4
55.0
58.0
57.0
66.0
71.0

70.6
71.6
85.0
77.0
77.1
70.0

59.8
75.4
50.0
76.1
66.1

57.0

83.0
65.3
83.0
65.0
91.4
63.0

38.6

99

48.8

100.2
84

71
70
97.3

86.0
46.2

75
73
90.0

73.3
41.0
78.0
51.0
56.0
33.1
50.0
40.0
60.3
45.1
46.9
44.5
30.0
38.9
59.1
33.1
50.0
52.4
61.5
48.2
42.8
43.3
52.9
56.3
70.0
70.7
67.0
70.0
75.1
69.0
66.0
58.5
73.9
50.0
75.9
65.2
57.6
52.2
37.0
30.9
44.2
55.0
27.0
98.6
53.7
72.0
37.4
64.0
67.5
90.0

8.55E-03
1.64E-01
2.80E-03
5.00E-01
4.00E-02
2.20E-02
4.00E-02
1.60E-02
0.000001097
8.70E-08
1.20E-06
1.13E-04
3.48E-02
2.65E-02
4.30E-03
1.10E-02
7.40E-06
3.29E-02
2.60E-03
4.46E-02
3.80E-03
4.50E-03
8.20E-03
1.70E-07
2.57E-03
8.10E-03
3.70E-02
3.90E-02
1.30E-02
3.50E-02
1.27E-02
3.79E-07
4.10E-03
5.00E-01
2.96E-03
6.38E-03
1.15E-02
1.90E-02
3.50E-02
8.50E-03
5.46E-05
2.14E-03
7.93E-02
3.05E-02
2.00E-07
6.00E-03
4.26E-03
3.80E-02
2.30E-02
2.10E-02

1.25E+08
8.88E+09
2.46E+08
3.00E+09
3.00E+08
1.30E+09
3.20E+08
1.00E+09
3.13E+04
3.13E+04
1.10E+06
4.00E+07
2.10E+08
1.00E+09
6.40E+08
3.00E+09
2.00E+04
1.00E+09
3.00E+07
2.80E+09
1.00E+09
7.50E+08
5.00E+08
1.00E+05
3.00E+08
8.00E+07
2.40E+08
2.40E+09
8.40E+08
8.00E+08
2.40E+08
2.50E+04
6.40E+08
5.40E+09
2.00E+07
7.00E+07
2.00E+08
8.00E+08
8.80E+09
5.00E+09
2.46E+07
4.10E+08
1.00E+10
5.00E+06
2.50E+05
3.00E+07
8.20E+08
1.28E+09
2.81E+09
5.75E+07

69.3
74.8
76
85
63.8
82
70
40
27
65.4
71.7
75.5

32
67.7
72
78.5
78.5
67.8
76.2
83.9
88

74.5
90
94.1

94

67.2
65.0
60.1
67.1
65.3
46.9
68.1
58.0
68.0
29.7
40.0
25.2
64.9
71.5
75.3
67.7
66.9
69.1
80.5
85.0

4.30E-03
2.30E-03
5.30E-03
8.00E-03
4.96E-03
1.20E-07
4.30E-03
1.21E-04
3.40E-03
8.80E-02
2.40E-05
3.81E-01
2.28E-02
5.40E-02
7.20E-03
1.24E-02
5.25E-03
2.56E-04
3.16E-03
1.21E-04

3.00E+08
2.00E+08
2.10E+08
1.00E+08
1.60E+08
1.00E+05
7.00E+07
5.00E+07
2.00E+08
2.50E+10
8.00E+07
4.60E+10
3.20E+09
1.20E+09
6.40E+08
8.32E+08
2.40E+09
3.20E+08
4.32E+08
3.07E+06

OSR
154
1
1
1
500
1
1
1
40
1
1
1
1
1
1
1
1
24
1
8
4
17
600
120
96
1
1
1
1
1
64
64
1
1
1
1
1
1
1
1
1
1
1
128
64
8
2000
1
1

fsnyq [Hz]
4.00E+04
8.00E+09
1.43E+07
1.00E+08
8.00E+06
5.00E+08
5.00E+07
1.00E+08
2.00E+06
1.05E+08
1.25E+08
3.00E+07
5.10E+07
4.00E+08
1.00E+08
1.00E+06
1.00E+06
5.40E+05
5.00E+05
4.00E+05
1.00E+07
2.40E+07
4.00E+05
2.00E+06
2.50E+06
4.00E+09
6.00E+08
1.80E+08
5.00E+07
4.00E+06
1.60E+04
1.00E+06
6.00E+09
1.00E+07
8.00E+07
2.00E+07
4.17E+04
1.00E+07
8.00E+08
1.00E+08
4.00E+07
8.00E+08
5.00E+08
4.80E+04
1.00E+06
4.10E+07
2.00E+06
1.35E+09
3.50E+09

P/fsnyq [pJ]
1.63E+05
1.56E+03
2.52E+03
1.80E+03
4.38E+04
1.90E+03
4.00E+02
1.65E+03
2.50E+04
8.10E+03
8.80E+02
6.00E+02
1.18E+04
1.75E+02
1.80E+03
9.00E+03
1.50E+05
8.52E+03
1.20E+04
1.00E+04
4.00E+02
2.92E+03
9.25E+04
1.85E+04
1.48E+04
2.48E+02
3.45E+02
6.67E+03
5.80E+02
3.00E+03
1.64E+03
7.40E+03
1.30E+02
8.10E+03
1.18E+03
1.69E+03
7.68E+02
1.00E+03
1.31E+02
3.00E+02
7.50E+02
1.50E+02
1.20E+01
3.13E+04
1.08E+04
1.90E+03
3.85E+06
1.25E+02
2.80E+01

FOMW_lf [fJ/conv-step]
8631.7

FOMW_hf [fJ/conv-step]
5289.4
120228.9
3661.7
15068.3
119873.4
13077.9
10349.1
15498.2
3850.9
3943.7
10526.7
4129.9
6430.7
6038.0
3955.3
19587.4
9520.8
1253.1
1980.6
1939.2
870.6
3181.2
28431.0
40263.0
45500.0
9581.5
4223.1
7796.1
979.8
6529.1
713.7
360.4
11935.5
11381.5
2151.6
622.7
939.8
1939.7
3318.5
3089.7
5468.2
3836.5
736.3
1356.5
833.7
1649.8
746604.9
600.0
946.3

1
1
1
1
1
16
125
8
1
24
1
1
1
1
1
1
100
130
16
5
1
1
1
1
15
32
50
8
1
1
1
1
1
1
100
1
128
96
32
24
16
5
1
1
1
1
1
1
6
10

1.10E+09
2.20E+07
3.00E+07
4.00E+07
1.00E+07
1.50E+07
1.60E+04
2.50E+06
1.00E+06
4.00E+07
8.00E+08
1.00E+08
8.00E+08
1.75E+09
5.00E+09
1.03E+10
5.00E+04
2.00E+05
3.84E+06
2.30E+07
5.00E+07
2.60E+07
5.00E+07
2.50E+08
2.00E+07
2.00E+07
3.00E+07
1.00E+07
1.00E+08
5.00E+07
1.10E+07
1.00E+05
2.70E+09
7.67E+09
4.00E+07
8.00E+07
4.00E+05
1.00E+06
4.00E+06
8.00E+06
4.00E+07
6.00E+07
1.20E+10
1.60E+10
1.00E+08
5.00E+07
1.00E+07
1.50E+08
1.07E+07
4.00E+07

4.18E+01
1.15E+02
3.40E+03
4.00E+02
2.30E+02
4.24E+03
3.50E+02
2.56E+04
3.80E+04
9.60E+02
3.75E+02
2.50E+03
1.50E+01
4.34E+00
6.40E+01
1.55E+02
1.74E+04
1.05E+04
8.33E+02
2.09E+03
2.88E+01
2.12E+02
3.67E+02
5.60E+02
2.66E+02
3.40E+02
3.00E+02
3.60E+03
9.20E+00
4.80E+00
3.25E+02
1.30E+01
1.85E+01
6.78E+00
2.15E+02
1.40E+02
7.00E+03
2.60E+03
9.00E+02
6.13E+02
2.13E+02
1.90E+02
6.75E+00
2.72E+01
6.20E+01
7.00E+01
9.80E+00
1.02E+01
1.30E+03
7.00E+02

369.1
959.9

462.0
2240.0
1213.8
388.8
1107.9
2317.6
303.2
1976.2
2250.9
1174.8
2830.2
967.4
380.7
168.1
1968.0
4561.7
378.5
810.5
908.9
1016.7
145.3
499.9
283.5
347.4
244.1
234.0
562.1
748.1
28.3
147.6
281.2
32.5
473.6
494.4
2952.9
349.8
680.3
504.2
195.8
188.3
327.4
146.7
459.4
1325.1
52.7
51.8
11.6
24.7
329.3
606.4

32
56
64
1
1
1
1
1
1
1
1
1
1
1
40
1
1
1
1
1
1
1
1
1
15
8
8
32
14
16
8
6
64
1
1
1
1
1
1
1
1
1
1
1
1
1
1
13
18
48

3.90E+06
1.60E+08
3.84E+06
3.00E+09
3.00E+08
1.30E+09
3.20E+08
1.00E+09
3.13E+04
3.13E+04
1.10E+06
4.00E+07
2.10E+08
1.00E+09
1.60E+07
3.00E+09
2.00E+04
1.00E+09
3.00E+07
2.80E+09
1.00E+09
7.50E+08
5.00E+08
1.00E+05
2.00E+07
1.00E+07
3.00E+07
7.50E+07
6.00E+07
5.00E+07
3.00E+07
4.00E+03
1.00E+07
5.40E+09
2.00E+07
7.00E+07
2.00E+08
8.00E+08
8.80E+09
5.00E+09
2.46E+07
4.10E+08
1.00E+10
5.00E+06
2.50E+05
3.00E+07
8.20E+08
1.00E+08
1.60E+08
1.20E+06

2.19E+03
1.02E+03
7.29E+02
1.67E+02
1.33E+02
1.69E+01
1.25E+02
1.60E+01
3.51E+01
2.78E+00
1.09E+00
2.83E+00
1.66E+02
2.65E+01
2.69E+02
3.67E+00
3.70E+02
3.29E+01
8.67E+01
1.59E+01
3.80E+00
6.00E+00
1.64E+01
1.70E+00
1.29E+02
8.10E+02
1.23E+03
5.20E+02
2.17E+02
7.00E+02
4.23E+02
9.48E+01
4.10E+02
9.26E+01
1.48E+02
9.11E+01
5.75E+01
2.38E+01
3.98E+00
1.70E+00
2.22E+00
5.22E+00
7.93E+00
6.10E+03
8.00E-01
2.00E+02
5.20E+00
3.80E+02
1.44E+02
1.75E+04

101.0
38.2
39.6
51.7
54.1
44.5
6.4
337.0

47.7
89.8

580.2
11148.1
112.3
574.9
258.6
460.4
483.8
195.9
41.5
18.9
6.0
20.6
6415.3
368.2
364.8
99.3
1432.1
96.6
89.2
75.8
33.9
50.2
45.2
3.2
49.7
289.2
674.1
201.2
46.6
303.9
259.6
137.8
101.3
358.4
29.0
61.3
92.8
71.4
68.9
59.3
16.8
11.4
433.7
87.7
2.0
61.5
85.8
293.4
74.2
678.2

18
1
1
1
1
1
1
4
1
1
1
1
21
12
32
11
30
80
43
64

1.70E+07
2.00E+08
2.10E+08
1.00E+08
1.60E+08
1.00E+05
7.00E+07
1.25E+07
2.00E+08
2.50E+10
8.00E+07
4.60E+10
1.50E+08
1.00E+08
2.00E+07
7.80E+07
8.00E+07
4.00E+06
1.00E+07
4.80E+04

2.53E+02
1.15E+01
2.52E+01
8.00E+01
3.10E+01
1.20E+00
6.14E+01
9.66E+00
1.70E+01
3.52E+00
3.00E-01
8.28E+00
1.52E+02
5.40E+02
3.60E+02
1.59E+02
6.56E+01
6.40E+01
3.16E+02
2.52E+03

4.4
20.7
27.6
17.5
26.7
8.1
108.2
452.9

135.1
7.9
30.5
43.2
20.6
6.6
29.6
14.9
8.3
141.1
3.7
557.3
105.8
175.8
75.7
80.2
36.3
27.5
36.5
173.4

FOMS_lf [dB]
132.0

FOMS_hf [dB]
156.4
109.1
141.5
127.7
123.6
129.2
124.5
127.1
151.0
145.9
127.7
134.2
143.3
125.6
139.4
132.4
150.9
156.1
153.6
153.0
146.0
143.3
139.3
129.3
127.3
123.1
131.6
139.1
146.6
137.2
153.8
166.3
118.4
136.7
142.8
155.1
148.1
143.0
129.5
133.7
132.7
128.8
132.2
161.0
160.7
147.2
127.1
144.1
133.7

FOMW_hf/fsnyq FOMS,hf+10log(fsnyq)
1.32E-01
202.40
1.50E-05
208.12
2.56E-04
213.03
1.51E-04
207.74
1.50E-02
192.61
2.62E-05
216.19
2.07E-04
201.46
1.55E-04
207.11
1.93E-03
214.02
3.76E-05
226.12
8.42E-05
208.71
1.38E-04
208.98
1.26E-04
220.36
1.51E-05
211.58
3.96E-05
219.35
1.96E-02
192.45
9.52E-03
210.93
2.32E-03
213.41
3.96E-03
210.59
4.85E-03
209.01
8.71E-05
215.97
1.33E-04
217.14
7.11E-02
195.35
2.01E-02
192.33
1.82E-02
191.27
2.40E-06
219.07
7.04E-06
219.39
4.33E-05
221.70
1.96E-05
223.55
1.63E-03
203.24
4.46E-02
195.87
3.60E-04
226.30
1.99E-06
216.13
1.14E-03
206.70
2.69E-05
221.82
3.11E-05
228.13
2.26E-02
194.33
1.94E-04
212.99
4.15E-06
218.54
3.09E-05
213.72
1.37E-04
208.76
4.80E-06
217.86
1.47E-06
219.19
2.83E-02
207.85
8.34E-04
220.66
4.03E-05
223.32
3.73E-01
190.15
4.44E-07
235.45
2.70E-07
229.14

135.7
133.4

141.7
132.4
152.4
153.0
141.5
147.7
154.5
156.9
157.5
147.2
135.4
153.0
138.9
140.6
130.9
127.5
169.6
160.8
148.8
151.8
150.1
148.0
155.3
155.4
155.2
156.7
148.5
156.8
159.4
142.2
154.9
159.7
137.9
133.2
132.7
149.3
160.5
158.8
162.4
161.1
151.7
158.2
133.8
130.6
162.2
162.9
167.4
161.0
159.5
151.5

4.20E-07
1.02E-04
4.05E-05
9.72E-06
1.11E-04
1.55E-04
1.90E-02
7.90E-04
2.25E-03
2.94E-05
3.54E-06
9.67E-06
4.76E-07
9.61E-08
3.94E-07
4.43E-07
7.57E-03
4.05E-03
2.37E-04
4.42E-05
2.91E-06
1.92E-05
5.67E-06
1.39E-06
1.22E-05
1.17E-05
1.87E-05
7.48E-05
2.83E-07
2.95E-06
2.56E-05
3.25E-04
1.75E-07
6.45E-08
7.38E-05
4.37E-06
1.70E-03
5.04E-04
4.90E-05
2.35E-05
8.19E-06
2.45E-06
3.83E-08
8.28E-08
5.27E-07
1.04E-06
1.16E-06
1.65E-07
3.09E-05
1.52E-05

232.08
205.79
227.15
228.99
211.47
219.48
196.59
220.89
217.49
223.19
224.48
233.01
227.93
233.04
227.92
227.61
216.57
213.79
214.62
225.41
227.09
222.18
232.33
239.39
228.25
229.69
223.29
226.83
239.35
219.17
225.29
209.65
232.23
232.03
208.69
228.36
216.56
218.84
228.47
230.15
227.74
235.98
234.59
232.69
242.23
239.90
237.37
242.74
229.82
227.56

158.3
166.7
164.4
158.2
150.1
148.1
169.8
137.2

168.2
146.8

156.9
127.9
166.4
145.8
151.7
137.8
146.0
144.9
161.8
157.6
163.5
157.0
124.8
141.7
151.8
144.4
141.3
154.2
159.1
153.2
153.9
152.5
157.8
171.0
165.9
158.6
153.1
159.8
168.7
157.5
156.7
155.7
164.8
147.3
171.2
162.6
157.0
155.4
148.0
145.6
157.7
164.8
135.0
177.7
171.7
166.0
147.2
155.2
162.9
164.6

1.49E-04
6.97E-05
2.92E-05
1.92E-07
8.62E-07
3.54E-07
1.51E-06
1.96E-07
1.33E-03
6.06E-04
5.48E-06
5.15E-07
3.05E-05
3.68E-07
2.28E-05
3.31E-08
7.16E-02
9.66E-08
2.97E-06
2.71E-08
3.39E-08
6.70E-08
9.05E-08
3.19E-05
2.49E-06
2.89E-05
2.25E-05
2.68E-06
7.77E-07
6.08E-06
8.65E-06
3.45E-02
1.01E-05
6.64E-08
1.45E-06
8.76E-07
4.64E-07
8.92E-08
7.83E-09
1.19E-08
6.82E-07
2.77E-08
4.34E-08
1.75E-05
8.09E-06
2.05E-06
1.05E-07
2.93E-06
4.64E-07
5.66E-04

222.79
209.94
232.20
240.54
236.51
228.91
231.07
234.95
206.78
202.60
223.94
233.00
208.02
231.66
223.84
239.22
184.32
244.22
233.88
247.64
243.94
241.26
244.77
220.99
238.91
228.60
227.85
238.58
246.51
234.53
231.49
191.74
234.76
244.65
244.20
241.04
240.00
244.46
247.42
242.57
231.63
250.94
235.00
244.72
225.64
240.75
236.37
235.19
244.95
225.34

176.4
166.4
169.0
168.8
168.1
172.9
143.5
134.8

160.2
171.4
163.1
165.1
167.4
163.1
167.2
165.1
172.7
141.2
162.2
133.0
160.1
161.2
166.7
162.7
165.7
168.0
172.5
168.0

7.95E-06
3.96E-08
1.45E-07
4.32E-07
1.29E-07
6.64E-05
4.23E-07
1.19E-06
4.14E-08
5.64E-09
4.59E-08
1.21E-08
7.05E-07
1.76E-06
3.78E-06
1.03E-06
4.54E-07
6.87E-06
3.65E-06
3.61E-03

232.46
254.39
246.29
245.06
249.42
213.10
245.66
236.11
255.70
245.20
241.25
239.64
241.83
241.17
239.74
241.60
244.75
234.05
242.49
214.79

Jitter

1.00E-12 1.00E-13
snr=-20log(2*pi*sigma*f)

f
1.00E+04
1.00E+05
1.00E+06
1.00E+07
1.00E+08
1.00E+09
1.00E+10
1.00E+11

SNR
144
124
104
84
64
44
24
4

SNR
164
144
124
104
84
64
44
24

FOMW

5.00E-15

1.00E-13 fomw=p/fsnyq/2^ENOB

sndr
10
20
30
40
50
60
70
80
90
100
110
120

p_fs [pJ]
1.29E-02
4.08E-02
1.29E-01
4.08E-01
1.29E+00
4.09E+00
1.29E+01
4.09E+01
1.29E+02
4.09E+02
1.29E+03
4.09E+03

p_fs [pJ]
2.58E-01
8.17E-01
2.58E+00
8.17E+00
2.58E+01
8.17E+01
2.58E+02
8.17E+02
2.58E+03
8.18E+03
2.59E+04
8.18E+04

FOMS

160

175

sndr
10
20
30
40
50
60
70
80
90
100
110
120

p_fs [pJ]
5.00E-04
5.00E-03
5.00E-02
5.00E-01
5.00E+00
5.00E+01
5.00E+02
5.00E+03
5.00E+04
5.00E+05
5.00E+06
5.00E+07

p_fs [pJ]
1.58E-05
1.58E-04
1.58E-03
1.58E-02
1.58E-01
1.58E+00
1.58E+01
1.58E+02
1.58E+03
1.58E+04
1.58E+05
1.58E+06

foms=sndr+10log(fsnyq/2/p)

Extraction of 5 best data points to date:


FOMW,hf
FOMW,hf/fsnyq
ISSCC
VLSI
Overall
ISSCC
VLSI
Overall
1
0.85
2.02
0.85 2.26E-09 5.64E-09 2.26E-09
2
1.47
3.19
1.47 6.21E-09 7.83E-09 5.64E-09

Note: I excluded the top ISSCC data p


FOMS,hf
ISSCC
VLSI
177.73
177.29
172.69

3
4
5

2.21
2.36
2.41
1.86

AVG

3.67
6.03
6.64
4.31

2.02
2.21
2.36
1.78

7.80E-09
8.04E-09
1.30E-08
7.45E-09

1.19E-08
1.21E-08
2.71E-08
1.29E-08

FOMW,hf corner frequency:

6.21E-09
7.80E-09
7.83E-09
5.95E-09

176.39
176.28
175.70
176.45

3.00E+08

Now use this info to create plot envelopes, assuming first order roll-off beyond corner:

log(f/Hz)
4
4.1
4.2
4.3
4.4
4.5
4.6
4.7
4.8
4.9
5
5.1
5.2
5.3
5.4
5.5
5.6
5.7
5.8
5.9
6
6.1
6.2
6.3
6.4
6.5
6.6
6.7
6.8
6.9
7
7.1
7.2
7.3
7.4
7.5
7.6
7.7
7.8
7.9
8
8.1

FOMW,hf FOMS,hf
Envelope Envelope
1.00E+04
1.78
176.74
1.26E+04
1.78
176.74
1.58E+04
1.78
176.74
2.00E+04
1.78
176.74
2.51E+04
1.78
176.74
3.16E+04
1.78
176.74
3.98E+04
1.78
176.74
5.01E+04
1.78
176.74
6.31E+04
1.78
176.74
7.94E+04
1.78
176.74
1.00E+05
1.78
176.74
1.26E+05
1.78
176.74
1.58E+05
1.78
176.74
2.00E+05
1.78
176.74
2.51E+05
1.78
176.74
3.16E+05
1.78
176.74
3.98E+05
1.78
176.74
5.01E+05
1.78
176.74
6.31E+05
1.78
176.74
7.94E+05
1.78
176.74
1.00E+06
1.78
176.74
1.26E+06
1.78
176.74
1.58E+06
1.78
176.74
2.00E+06
1.78
176.74
2.51E+06
1.78
176.74
3.16E+06
1.78
176.73
3.98E+06
1.78
176.73
5.01E+06
1.78
176.72
6.31E+06
1.78
176.71
7.94E+06
1.78
176.69
1.00E+07
1.78
176.66
1.26E+07
1.78
176.62
1.58E+07
1.78
176.55
2.00E+07
1.79
176.44
2.51E+07
1.79
176.28
3.16E+07
1.79
176.06
3.98E+07
1.80
175.74
5.01E+07
1.81
175.31
6.31E+07
1.82
174.77
7.94E+07
1.84
174.12
1.00E+08
1.88
173.38
1.26E+08
1.93
172.55

172.49
171.66
171.38
173.95

8.2
8.3
8.4
8.5
8.6
8.7
8.8
8.9
9
9.1
9.2
9.3
9.4
9.5
9.6
9.7
9.8
9.9
10
10.1
10.2
10.3
10.4
10.5
10.6
10.7
10.8
10.9
11
11.1
11.2
11.3
11.4
11.5
11.6
11.7
11.8
11.9
12

1.58E+08
2.00E+08
2.51E+08
3.16E+08
3.98E+08
5.01E+08
6.31E+08
7.94E+08
1.00E+09
1.26E+09
1.58E+09
2.00E+09
2.51E+09
3.16E+09
3.98E+09
5.01E+09
6.31E+09
7.94E+09
1.00E+10
1.26E+10
1.58E+10
2.00E+10
2.51E+10
3.16E+10
3.98E+10
5.01E+10
6.31E+10
7.94E+10
1.00E+11
1.26E+11
1.58E+11
2.00E+11
2.51E+11
3.16E+11
3.98E+11
5.01E+11
6.31E+11
7.94E+11
1.00E+12

2.02
2.14
2.33
2.59
2.96
3.47
4.15
5.05
6.21
7.70
9.59
12.00
15.05
18.89
23.75
29.86
37.57
47.28
59.51
74.90
94.29
118.70
149.42
188.11
236.81
298.12
375.31
472.49
594.82
748.84
942.73
1186.82
1494.12
1880.99
2368.02
2981.16
3753.06
4724.82
5948.20

171.67
170.75
169.80
168.84
167.86
166.87
165.88
164.88
163.89
162.89
161.89
160.89
159.89
158.89
157.89
156.89
155.89
154.89
153.89
152.89
151.89
150.89
149.89
148.89
147.89
146.89
145.89
144.89
143.89
142.89
141.89
140.89
139.89
138.89
137.89
136.89
135.89
134.89
133.89

cluded the top ISSCC data point (2013, 15.5) from the trend calculation, since this is an extreme outlier with very low BW
FOMS,hf+10log(fsnyq)
Overall
ISSCC
VLSI
Overall
177.73
254.79
255.70
255.70
177.29
251.87
254.39
254.79

176.39
176.28
175.70
176.74

250.92
250.83
249.29
251.96

250.94
249.42
247.64
252.63

FOMS,hf corner frequency:

254.39
251.87
250.94
253.89
5.19E+07

1.E+07
1.E+06
1.E+05
1.E+04

P/fsnyq [pJ]
1.E+03
1.E+02

ISSCC 2015
VLSI 2015
ISSCC 1997-2014
VLSI 1997-2014
FOMW=5fJ/convstep
FOMS=175dB

1.E+01
1.E+00
1.E-01
10

20

30

40

50

60

70

SNDR @ fin,hf [dB]

80

90

100

110

120

1.E+11

ISSCC 2015
VLSI 2015
ISSCC 19972014
VLSI 19972014
Jitter=1psrms

1.E+10
1.E+09

fin,hf1.E+08
[Hz]
1.E+07
1.E+06
1.E+05
1.E+04
1.E+03
10

20

30

40

50

60

70

80

90

SNDR @ fin,hf [dB]

100

110

120

5.E+04

5.E+03[fJ/conv-step]
FOMW,hf
5.E+02

5.E+01
ISSCC 2015
VLSI 2015
ISSCC 19972014
VLSI 19972014

5.E+00

5.E-01
1.E+04 1.E+05 1.E+06 1.E+07 1.E+08 1.E+09 1.E+10 1.E+11

fsnyq [Hz]

180

ISSCC 2015
VLSI 2015
ISSCC 19972014
VLSI 19972014

170
160

FOMS,hf
150 [dB]
140
130
120
110
1.E+04 1.E+05 1.E+06 1.E+07 1.E+08 1.E+09 1.E+10 1.E+11 1.E+12

fsnyq [Hz]

Anda mungkin juga menyukai