Anda di halaman 1dari 19

UNIVERSIDAD TCNICA DE COTOPAXI

Ciencias De La Ingeniera Y Aplicadas

SISTEMAS DIGITALES
TEMA: DISEO DE PROYECTOS CON CIRCUITOS
COMBINACIONALES
INTEGRANTES:
JUAN CARLOS LAGLA
NGEL GUALAN
LUIS LVAREZ
RICHARD OA VELASCO
ALEX JIMENEZ
DOCENTE: Ing. MSc. Paulina Freire
CICLO: 4to Electromecanica B
Latacunga- Ecuador

RESOLUCIN DEL EJERCICIO N4


La Figura N1, muestra un diagrama para un circuito de alarma de automvil que se
utiliza para detectar ciertas condiciones indeseables. Los tres interruptores se utilizan
para indicar el estado de la puerta del lado del conductor, el motor y las luces, en forma
respectiva. Disee el circuito lgico con estos tres interruptores como entradas, de
manera que la alarma se active cada vez que exista cualquiera de las siguientes
condiciones: a) Las luces estn encendidas mientras que el motor est apagado. b) La
puerta est abierta mientras que el motor est encendido.

Figura 1
PROCEDIMIENTO DE RESOLUCIN.
1) Interprete el problema y establezca una tabla de verdad para describir su
operacin.
ENTRADAS

SALIDAS

-LUCES (L)

ALARMA (A)

ENCENDIDAS = 1

APAGADAS =0

-PUERTA (P)
ABIERTA=1
-MOTOR

CERRADO=0
(M)

ENCENDIDO=1

APAGADO=0

CONDICIN 1
(L) =1 y (M)=0

: entonces (A)=1

CONDICIN 2
(P)=1 y (M)=1 : entonces (A)=1

TABLA DE VERDAD
L
0
0
0
0
1
1
1
1

P
0
0
1
1
0
0
1
1

M
0
1
0
1
0
1
0
1

A
0
0
0
1
1
0
1
1

2) Escribir la expresin Booleana en Minterms o Maxterms.


PM + L P
M
+ LP M
+ LPM
A= L

3) Simplifique la expresin de salida, si es posible; puede utilizar los teoremas


booleanos o Mapa K.
M

00

01

11

10

LP

+ PM
A=L M
4) Implemente el circuito para la expresin final simplificada.

UNIVERSIDAD TCNICA DE COTOPAXI


UNIDAD DE CIENCIAS DE LA INGENIERIA Y APLICADAS
DOCENTE: Ing. MSc. Paulina Freire Andrade
GRUPO N4
INFORME PRCTICA DE LABORATORIO DE DIGITALES
TEMA: DISEO DE PROYECTOS MEDIANTE EL DISEO DE CIRCUITOS
COMBINACIONALES.
1. OBJETIVO GENERAL
Desarrollar proyectos que ayuden a solucionar un enunciado mediante el diseo de
circuitos lgicos teniendo en cuenta la simplificacin de expresiones simplificadas para
entender el funcionamiento del circuito realizado en la prctica.
2. OBJETIVOS ESPECIFIFCOS
-Utilizar una serie de pasos para resolver el enunciado del problema.
-Trabajar con tablas de verdad y mapas de Karnaugh para simplificar las expresiones.
-Disear el circuito lgico por medio de las expresiones y armar en protoboard para
verificar las seales de salida del circuito.
3. MARCO TERICO
3.1. TABLA DE VERDAD
Es una tabla que contiene variables de entrada y salida, donde el conteo binario depende
n

, n es el nmero de entradas, Las expresiones booleanas depende donde se

encuentren ubicados los 1 en las salidas, por ejemplo:


A
0
0
1
1

B
0
1
0
1

X
1
0
1
1

A B+
AB
X = A B+

Tabla 1
En la Tabla 1, donde se indican son las expresiones booleanas equivalentes del circuito
lgico, donde si la variable de entrada tiene un cero le corresponde una negacin pero si
tiene 1 no les corresponde la negacin.
3.2. LEYES BOOLEANAS
Para la simplificacin de las expresiones que nos dan como resultado de la tabla de
verdad se recomienda utilizar las leyes Booleanas que nos ayuda a simplificar las
expresiones, ya que cumple como papel disear un circuito con menos compuertas.
Las leyes de Boole son.
X .0=0

X +0=1

X .1=1

X +1=1

X . X= X

X + X =X

X . X=0

X + X =1

PROPIEDADES
Conmutativa:

X . Y =Y . X

X . Y . Z=ZYX =ZXY

X +Y =Y + X
Asociativa:

X +Y + Z=Z+Y + X

X +Y + Z=( X +Y )+ Z= X +(Y + Z)

XYZ=( XY ) Z= X (YZ)
Casos Especiales: BX + X=X
X + X B= X +B
X + XB= X + B

3.3. MAPAS DE KARNAUGH


Es una herramienta que se utiliza para graficar una ecuacin lgica o convertir una tabla
de verdad en su correspondiente circuito lgico mediante un proceso simple y ordenado.
Este mtodo solo se utiliza hasta variables de 4 entradas.
3.3.1. FORMATO DE MAPA K.
Consiste en una tabla donde se grafica de acuerdo al nmero de variables. Si la tabla de
verdad es de 2 variables se construye una tabla de 3x3 como se muestra en la tabla 2.
B

B
A

Tabla 2
En la tabla 2, se puede apreciar que en la fila de B solo hay dos posibilidades, lo
mismo sucede para la columna A.
Para las variables de entrada se grafica una tabla de 3x5, ya AB tendr cuatro
posibilidades de combinacin, mientras que C tendr solo dos, ver tabla 3.

A B

AB

A B

AB
A B

Tabla 3
Para las variables de 4 entradas se utiliza la tabla 5x5 , ya que AB tendr cuatro
posibilidades mientras que CD tambin , ver Tabla 4.
D
C
D CD C D

CD
AB
A B

A B

AB

A B

Tabla 4

3.3.2. AGRUPAMIENTO
Para el agrupamiento se hace de acuerdo a los pesos del sistema de numeracin binaria,
por ejemplo se puede hacer grupos de 1, 2, 4 ,8..etc.
Se recomienda hacer grupos de cuatro y de ocho para reducir an ms las expresiones.

EJEMPLO:
Simplifique la expresin de la tabla:
D

D
C

CD

CD

A B

A B

AB

A B

Tabla 5

B C+ B C D

X = A D+
En la tabla 5, los grupos se deben coger en lo posible de 4 y de 8, pero en este caso solo
se est cogiendo de 4 por la cantidad de 1 que se puede agrupar.
Al agrupar se hace una comparacin con cada una de las variables, si hay un
complementado y un no complementado entonces se anula variable. Obsrvese el
procedimiento de las celdas sombreadas de colores para las simplificaciones ya que son
equivalentes a estas expresiones:
A
) ( B+
B ) ( C+C

D
)= A
D

( A+
) ( D+
A ) ( B+
B
) (C +C ) ( D+ D
) =B C
( A+
A ) ( B+
B
)C
D=
B
C
D

( A+
3.4. COMPUERTAS LOGICAS BSICAS
Las compuertas lgicas que se utiliza bsicamente para los circuitos lgicos son la OR,
NOT y AND.
3.4.1. COMPUERTA OR
Esta compuerta cumple la salida de verdadero solo si una de sus entradas son verdadero,
ver tabla 6.
La simbologa esta dado en la figura 1.
A
0
0
1
1

B
0
1
0
1

X
0
1
1
1
Tabla 6

Figura 1

3.4.2. COMPUERTA AND


Esta compuerta cumple la salida de verdadero solo si las dos entradas son verdadero,
ver tabla 7.
La simbologa esta dado en la figura 2.
A
0
0
1
1

B
0
1
0
1

X
0
0
0
1
Tabla 7

Figura 2

3.4.3. COMPUERTA NOT


Esta compuerta cumple la salida de negacin de cualquier variable, ver tabla 7.
La simbologa esta dado en la figura 3.
A X
0 1
0 1
1 0
1 0
Tabla 8

Figura 3

3.5. CIRCUITOS INTEGRADOS


Es una estructura de pequeas dimensiones de material semiconductor, normalmente
silicio, de algunos milmetros cuadrados de superficie (rea), sobre la que se
fabrican circuitos electrnicos generalmente mediante fotolitografa y que est protegida
dentro de un encapsulado de plstico o de cermica.
3.5.1. CIRCUITO INTEGRADO 7432 (COMPUERTA OR)
Este circuito integrado consta de 4 puertas OR de dos entradas con salida. Su funcin es
realizar la suma lgica de las dos variables de entrada, ver figura 4.

Figura 4

3.5.2. CIRCUITO INTEGRADO 7408 (COMPUERTA AND)


Es una compuerta lgica AND basada en tecnologa TTL, ver figura 5, acrnimo Ingls
de Transistor-Transistor Logic o "Lgica Transistor a Transistor". Esta compuerta tiene
muchas aplicaciones en la electrnica digital, dentro de las cuales podemos encontrar
decodificadores, sistemas pasa mensajes, relojes digitales, etc.

Figura 5
3.5.3. CIRCUITO INTEGRADO 7404 (COMPUERTA NOT)
El circuito integrado 7404 consta de 6 inversores con salida totem pole, ver figura 6.
Los inversores son muy usados en electrnica, gracias a ellos podemos adaptar circuitos
que necesitan ser controlados por lgicas inversas. Tambin combinando varios uno
detrs de otro podemos generar retardos pequeos, necesarios a veces para acceder a
circuitos de forma segura.

Figura 6

4. MATERIALES UTILIZADOS EN LA PRCTICA

MULTMETRO

Ilustracin 1, Multmetro Digital.

ALAMBRES

PROTOBOARD

Ilustracin 2 , Protoboard de una


galleta.

CIRCUITO INTEGRADO 74LS32

Ilustracin 4, Circuito
integrado 7432 de 16
pines.

Ilustracin 3, Cables multpares

CIRCUITO INTEGRADO 74LS04

Ilustracin 6, Circuito
integrado 7404 de 16 pines

DIODOS LEDS

Ilustracin 7, Focos LED de diferentes


colores.

CIRCUITO INTEGRADO 74LS08

Ilustracin 5, Circuito
integrado 7408 de 16 pines

A
0
0
0
0
1
1
1
1

B
0
0
1
1
0
0
1
1

C
0
1
0
1
0
1
0
1

Z
0
0
0
1
1
1
1
1

RESISTENCIAS 330 OHMIOS

5. PROCEDIMIENTO

5.1. PROYECTO PLANTEADO POR EL GRUPO


TEMA: ACTIVACIN DE LA ALARMA
Tiene la opcin de ser activada de forma manual desde un interruptor el cual siempre
dispara la alarma.
Adicionalmente tiene un sensor de humo y un sensor de temperatura los cuales
dispararan la alarma solo si uno de los dos estn accionados.
El circuito debe estar optimizado con compuertas lgicas.
1) Primeramente identificamos las variables que vamos a tener:
-

Interruptor manual = A
Sensor de humo =B
Sensor de temperatura = C
Salida de disparo de la alarma = Z

2) Luego determinamos la tabla de verdad:


-

El interruptor el cual siempre dispara la alarma va a ser cuando A siempre este


encendido (1 lgico).
Luego cuando los dos sensores disparan y se encienden (1 lgico).

Tabla 9
Despus hallamos la ecuacin:

Z = A BC + A B C + A B C + AB C

+ ABC

Simplificamos la ecuacin por medio de mapas de Karnaugh:


C
AB
00
01
11
10

1
Tabla 10

Z = BC + A
Z = A + BC
3) Realizamos el diagrama del circuito:

Figura 7, Diagrama del circuito de alarma de incendio.


4) Por ultimo armamos en nuestro protoboard el circuito tal como se muestra en el
diagrama figura 7.

El funcionamiento es el
siguiente:
Al

accionar

en

interruptor manual la alarma debe activarse. Ilustracin 8.

Ilustracin 8.
Interruptor manual.
Al accionar el sensor
de humo no debe activarse la alarma. Ilustracin 9.

Ilustracin 9. Sensor de
humo.
Al accionar el sensor de
temperatura no debe activarse la alarma. Ilustracin 10.

Ilustracin 10. Sensor de temperatura.

Al accionar los dos


sensores la alarma se
activa. Ilustracin 11.

Ilustracin 11, Accionamiento de los dos sensores.

5.2. EJERCICIO PLANTEADO POR LA DOCENTE


Disee un circuito lgico con tres entradas A, B, C cuya salida este en ALTO solo
cuando la mayora de sus salidas estn en ALTO.
1) Elaboracin de la tabla de verdad el cual se tomara la mayora de salidas que estn el
ALTO, ver tabla 11.
TABLA DE VERDAD
A
0
0
0
0
1
1
1
1

B
0
0
1
1
0
0
1
1

C
0
1
0
1
0
1
0
1

Tabla 11

X
0
0
0
1
0
1
1
1

2) Elaboracin y solucin del mapa de Karnaugh en el cual sacamos nuestra ecuacin


que procedemos a realizar el diagrama para pasarlo al protoboard.
MAPA DE KARNAUGH
C
AB

00

01

11

10

Tabla 12
x=BC + AC+ AB

DIAGRAMA

Figura 8, Diagrama Esquemtico


3) Realizamos el montaje de los elementos en el protoboard.
-

Colocamos el bit switch y nos aseguamos de activar sus entradas puenteando un


cable en nuestro caso 1, 2, 3.
En la misma fila del bit switch conectamos nuestras compuertas 7432 (or) y
7408(and).
Realizamos nuestras conexiones del bit switch a la compuerta 7408, conectando
nuestras entradas y salidas correspodientes.
Se conecta el led empatando el nodo con la salida de la resistencia.

3.1) Conexin entre el switch y la compuerta and

Ilustracin 12

3.2) Conexin ente la compuerta and y or

Ilustracin 13 (A)

Ilustracin 13 (B) .Circuito terminado y funcionando


6. CUESTIONARIO
6.1. PREGUNTAS SOBRE EL PROYECTO PLANTEADO
6.1.1. Qu funcin cumple este circuito?
-

Detectar si existe humo o altas temperaturas en un lugar cerrado mediante


sensores el cual activa la alarma contra incendios si es que hay los dos factores
el humo y altas temperaturas.

6.1.2. Para qu sirve la tabla de verdad?


-

La tabla de verdad es un instrumento utilizado para simplificar circuitos digitales


a travs de su ecuacin booleana.

6.1.3. Para qu sirve el mapa de Karnaugh?


-

Nos sirve para simplificar nuestra ecuacin booleana y as ahorra compuertas


lgicas.

6.1.4. Ponga Verdadero o falso?


Si no realizamos bien los grupos en el mapa de Karnaugh tendremos una expresin
bien simplificada?
(Falso)
6.2. PREGUNTAS DEL EJERCICIO PLANTEADO EN CLASE
6.2.1. Como conectar el diodo LED para que se prenda?
Se conecta el led empatando el nodo con la salida de la resistencia.
6.2.2. Complete
Para simplificar funciones algebraicas booleanas utilizamos?
Mapas de Karnaugh.
6.2.3. Que se entiende cuando dice que sus salidas estn en alto?
Se entiende que el variable de salida se debe de tomar los nmeros 1 es decir los
minterms.
6.2.4. Que son minterms?
Es una expresin algebraica booleana de n variables booleanas (ej: bits) que solamente
se evala como verdadera (1) para una nica combinacin de esas variables.
6.2.5. Para que nos sirve el bit switch?
Se utilizar para establecer un determinado estado lgico para la configuracin de un
circuito.
7. CONCLUSIONES

- Se demostr de varias combinaciones de nmeros binarios, el resultado de los valores


de ALTO son las operaciones que requera el circuito.
-Al utilizar los mapas de Karnaugh se puede simplificar las expresiones booleanas para
usar pocas compuertas lgicas en los circuitos ya que si se utiliza las expresiones de
Maxterms o Minterms el circuito sera muy complejo de armar y ya no se puede
economizar con las compuertas.
-Al realizar estos ejercicios se logr tener en claro el funcionamientos de los sistemas de
automatizacin y de control ya que funcionan de manera similar a los circuitos
combinacionales.
-Tambin para reducir las compuertas lgicas se puede utilizar compuertas equivalentes
con las compuertas NAND y NOR para armar en un solo circuito integrado.

8.- RECOMENDACIONES
-Se recomienda antes de realizar la prctica tener en cuenta el nmero de pines y
reconocer las salidas de entradas de las compuertas y tener todos los elementos y
material que necesitamos para la prctica.
-Antes de armar el circuito se recomienda realizar un borrador para realizar diagramas
esquemticos y tener facilidad de armar.
-Es bueno seguir practicando el tema de estudio mediante la prctica, utilizando los
fundamentos tericos y algunos simuladores de nuestra preferencia.
9. BIBLIOGRAFIA (IEEE)
[1] R. J. Tocci, Sistemas Digitales, Mexico: PEARSON, 2007.
[2] T. L. Floyd, Fundamentos de sistemas digitales, Madrid: PEARSON, 2006.
[3] Wikipedia.org, Enciclopedia, Fundacin Wikimedia, 15 10 2008. [En lnea].
Available: https://es.wikipedia.org/wiki/Circuito_integrado. [ltimo acceso: |10 06
2016].
[4] W. Wilson, Monografias, Monografias S.A, 17 03 2011. [En lnea]. Available:
http://www.monografias.com/trabajos71/compuertas-logicas/compuertaslogicas.shtml. [ltimo acceso: 10 06 2016].

Anda mungkin juga menyukai