Anda di halaman 1dari 7

Seven Segmen Display

Diposting oleh Annaas Pamungkas


Tugas FPGA

Seven Segmen Display merupakan sebuah rangkaian komponen logika digital yang
dapat menampilkan sebuah karakter berupa angka-angka maupun huruf sehingga dapat
dimengerti oleh manusia. Seven segmen display terdapat 7(tujuh) dioda berupa LED(Light
Emitting Diode) membentuk sebuah karakter yang diinginkan dengan menyalanya LED
sebagai penanda.
Susunan dari LED yang berada pada seven segmen display :

Masing-masing dioda tersebut membutuhkan sinyal input sebagai pengendali output


yang dihasilkan. Terdapat 2(dua) type dari seven segmen display yakni, Common Anoda akan
mengaktifkan tiap dioda ketika menerima sinyal input bernilai low dan Common Katoda akan
mengaktifkan tiap dioda ketika menerima sinyal input bernilai high.
Cara untuk dapat menghasilkan sinyal-sinyal pengendali dari seven segmen display
dengan menggunakan seven segmen decoder atau berupa sandi Binary Code Decimal (BCD)
yang membutuhkan 4(empat) inputan dengan angka berbasis heksadesimal (bahasa mesin)
yang kemudian sinyal-sinyal inputan tersebut akan diterjemahkan ke dalam 7(tujuh) sinyal
yang setiap sinyalnya mengatur aktif atau tidaknya LED.
Saya akan mengambil contoh logika pembentukan sebuah seven segmen display type
common katoda.

Sebuah IC Dekoder akan menampilkan kode-kode biner menjadi penanda yang dapat
ditanggapi secara visual dari sebuah display. Rangkaian diatas ditandai dengan 4(empat)
switch yang merupakan inputan berupa sandi BCD 8421, kemudian akan diolah oleh IC
decoder sehingga menghasilkan output yang merupakan inputan bagi seven segmen display
untuk mengendalikan LED. Dibawah ini merupakan tabel kebenaran yang dihasilkan dari
decoder seven segmen display type common katoda.

Setelah kita mendapatkan nilai sinyal input/karakter yang akan ditampilkan dan
output sinyal dari a, b, c, d, e, f, dan g. Kemudian kita dapat mencari gerbang logika yang
akan dibentuk dari fungsi Boolean a, b, c, d, e, f, dan g menggunakan peta karnaugh
(karnaugh map).

"(untuk mendapatkan gambar yang lebih jelas, silahkan di klik pada gambar)"
Contoh program vhdl dari bcd to seven segmen display :

Contoh program vhdl dari bcd to seven segmen display menggunakan gerbang logika (Peta
Karnaugh) :

Gerbang logika yang didapat dari program diatas :

Anda mungkin juga menyukai