Anda di halaman 1dari 14

This article has been accepted for publication in a future issue of this journal, but has not been

fully edited. Content may change prior to final publication. Citation information: DOI 10.1109/TC.2016.2576444, IEEE
Transactions on Computers
> REPLACE THIS LINE WITH YOUR PAPER IDENTIFICATION NUMBER (DOUBLE-CLICK HERE TO EDIT) < 1

Latch-Based Structure: A High Resolution and


Self-Reference Technique for Hardware Trojan
Detection
Ghobad Zarrinchian and Morteza Saheb Zamani

houses, and many design companies choose not to fabricate


AbstractHardware Trojan detection has been the subject of their own products and thus outsource them to other equipped
many studies in the realm of hardware security in the recent and up-to-date fabs [1], [2]. Such an issue is getting more
years. The effectiveness of current techniques proposed for severe in recent technologies since upgrading fabs for newer
Trojan detection is limited by some factors, process variation
technologies is not affordable for many companies. That is
noise being a major one. This paper introduces latch-based
structures as a self-reference detection technique which uses in- why many leading companies such as AMD and Texas
circuit path delays as golden reference models. By addressing Instruments have chosen to outsource some of their production
process variation, these structures can achieve high accuracy in lines to third-party fabs (often located in Asia) [3].
detection resolution. The proposed method is a complementary Consequently, because of limited designers control over
approach to current side-channel techniques to cover their poor the production phase, some rogue employees in the fab can
performance in detecting small Trojans. Simulation results show
alter the design for their adversary goals. For this reason,
that this technique can detect small Trojans at the scale of only
one logical gate with 90% probability on average. designers may not simply trust their produced chips and need
a dependable way to measure their chips and detect any
Index TermsHardware Trojan, Latch-Based Structures, unwanted functionality. This issue has led to the introduction
Process variation, Self-Reference detection. of HT detection methods. These methods attempt to use
different characteristics of Trojans to detect them1. Trojans
can have different characteristics and show various behaviors.
I. INTRODUCTION A complete review of Trojan properties and their
characteristics is beyond the scope of this paper and interested
H ARDWARE Trojans (or HT, for short) are extra
functionalities that may be added to a circuit with the aim
of leaking information or distorting the circuit from its
readers can refer to related studies [4][7].
Generally, Trojans can be functional or parametric.
intended functionality. The goals behind implanting HTs in Functional Trojans are those that alter the functionality of the
digital circuits could be various. Degrading the performance of circuit in specific conditions. On the other hand, the Trojans
a circuit to produce a low quality device, leaking information that only affect the performance of a design are known as
in critical applications, such as keys used for encryption, and parametric Trojans. Since a wise attacker tries to hide his/her
disrupting the functionality of the circuit in highly critical Trojan in the circuit, Trojans are usually activated by
scenarios, such as real-time or military applications, all could triggering very rare conditions on internal or external signals
be strong incentives to change a circuit by an attacker. of the design. This would ensure that the design would
Although HTs could be inserted at different design phases, probably pass the manufacturing test without any indication of
it is usually assumed that the final netlist and the generated miss-behavior.
layout are free of HT, and possible attacks are done at later Currently, there are two broad approaches for Trojan
stages, for example, at the manufacturing stage where the detection. Logic testing approaches detect HTs by applying
designer may have no control over the manufacturing process. test vectors and revealing the erroneous behavior of the
This lack of control stems from ever-outsourcing digital design. These approaches can only detect functional Trojans.
designs to third-party fabrication plants (also known as fab) Side-channel analysis is another technique which attempts to
for manufacturing. The main driver for outsourcing designs is use performance signature of the circuit. Since any extra
the billion dollar investments needed to establish fabrication functionality in the circuit would result in side-channel effects,
such as extra power consumption or extra path delay,
G. Zarrinchian is a Ph.D. student at the Department of Computer recognizing these effects are a useful mean to detect abnormal
Engineering and Information Technology of Amirkabir University of behavior, even if the Trojan is not of functional type. For this
Technology, Tehran, Iran. (e-mail: zarrinchian@aut.ac.ir). reason, side-channel-based techniques are strong methods and
M. Saheb Zamani is with the Department of Computer Engineering and
Information Technology of Amirkabir University of Technology, Tehran,
have gained more attention in the literature compared to the
Iran. (e-mail: szamani@aut.ac.ir).
1
For the rest of the paper, we use the terms HT and Trojan
interchangeably.

0018-9340 (c) 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
This article has been accepted for publication in a future issue of this journal, but has not been fully edited. Content may change prior to final publication. Citation information: DOI 10.1109/TC.2016.2576444, IEEE
Transactions on Computers
> REPLACE THIS LINE WITH YOUR PAPER IDENTIFICATION NUMBER (DOUBLE-CLICK HERE TO EDIT) < 2

logic testing approaches. Despite their strength, side-channel become high-transition. Using dummy scan flip flops is
analysis methods pose a major drawback, i.e., their another method in logic testing [14]. In this method, the
vulnerability to process variation which causes some kind of activity of internal low-transition nodes is increased by
Trojans, especially smaller ones, to be undetected. Currently, inserting dummy flip flops which are only used in the test
the main challenge in detecting HTs is to address high process mode and do not affect the normal operation of the design.
variation in fabricated chips and offering higher resolution The main drawbacks of logic testing techniques are two
methods, especially in newer technologies in which process folds. Firstly, these methods are only able to detect functional
variation is getting more severe. Trojans. Secondly, the condition under which a Trojan could
In this paper, we propose a method which uses path delay as be fully activated is not known a priori to the designer, and
a side-channel parameter to detect HTs. In this method, latch- the state space of triggering a Trojan could be generally vast.
based structures are created in the circuit, which convert any As a result, the designer could not test all possible conditions
extra delay caused by Trojans into the changes observable in to reveal the malicious activities. Such methods are only
the functionality of the circuit. As we explain later, the new applicable for detection of small Trojan circuits with few
method is capable of addressing process variation and can triggering inputs.
remove its effects considerably. In some cases, the new Because of the issues mentioned, side-channel analysis
technique could be even used in a manner that the designer is approaches have been used extensively. In these methods,
relieved from having golden chips and use the method as a performance parameters of the circuit to be authenticated are
self-reference technique. By employing the proposed method extracted and compared with those obtained from the golden
in parts of a design where available side-channel approaches circuits. Any extra functionality is detected by the footprint of
perform poorly, we can cover hard-to-detect Trojans and the Trojan on circuit performance parameters, such as
improve the applicability of conventional side-channel transient power (IDDT), leakage power (IDDQ) and delay.
approaches. Due to the Trojans impact on circuit performance, detecting
The organization of this paper is as follows. In Section II, such effects is an appropriate way for HT detection purposes.
an overview on previous studies on HT detection is provided. Studies in [15][22] are some of the proposed methods
In Section III, the main concept of our latch-based structure based on path delay. The authors of [15] introduced shadow
and its capabilities to detect hardware Trojans is presented. registers to measure the timing slack of combinational paths in
Section IV discusses the details of the proposed approach and the design and then compare their measurements with those
its implementation. In Section V, simulation results are obtained from golden chips for detection purposes.
reported and performance of the technique is evaluated in Studies in [16][19] take advantage of ring oscillators
terms of HT detection probability and area overhead. A (ROs) to measure the delay. ROs are useful structures for
discussion on advantages, limitations and security analysis of measuring path delay across a chip, which are implemented by
the technique is presented in Section VI. Finally, Section VII creating a logical loop covering a path with odd number of
concludes the paper. inverting stages and measuring the frequency using a counter.
The work in [20], known as clock sweeping, is similar to
II. PREVIOUS WORK [15], but instead of using shadow registers, the frequency of
There are many studies addressing HT detection. These system clock is increased up to a point where the delay faults
studies can be grouped into two categories, namely, logic are observed. Such frequencies for different paths are used as
testing and side-channel analysis. Logic testing methods signatures of the design, and compared with the signatures
suppose that the HT has stealthy nature and is activated under obtained from the golden designs. Since overclocking for low-
rare conditions. Therefore, these methods try to remove such delay paths may have some difficulties, the authors in [21]
conditions by eliminating low activity nodes in various ways. proposed a special structure to provide zero-slack clock cycle
Chakraborty et al. [8] proposed an algorithm, called MERO, to measure the delay of any arbitrary path in the design.
to generate a set of test vectors that increase signal activity of As delay-based HT detection is done more accurately in
a set of given circuit nodes up to a desired threshold point. An shorter paths due to lower process noise, Shekarian et al. in
improvement over MERO was proposed in [9] by selecting a [22] proposed a retiming technique to shorten the long paths
more compact and more effective set of test vectors to cover of the designs and reported higher HT detection probability.
rare conditions. In this study, the authors used genetic Since any extra circuit affects leakage power of a design,
algorithm together with a SAT (satisfiability) technique to some studies have focused on detecting Trojans based on
generate the test vectors. The authors also considered hard-to- consumed leakage power. The work in [23] is one of the
trigger Trojans for which the payload effect cannot be studies that measure the leakage power for special test vectors
propagated to the output, and reduced the state space of rare applied to the chip. As the leakage power due to a Trojan
combinations by excluding this type of Trojans. Studies in circuit could be negligible compared to the total circuit
[10][12] follow a similar idea and base their work on special leakage power, the study in [24] proposed to measure the
test pattern generation. The study in [13] proposed to invert leakage from different power ports individually, instead of a
polarity of logic gates to obtain inverted functionality. Using global measurement, to enhance the resolution of detection.
this method, AND and OR gates act as NAND and NOR IDDT current or transient power is another performance
gates, respectively, (and vice versa) and low-transition states parameter used in malicious circuitry detection [25][27].

0018-9340 (c) 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
This article has been accepted for publication in a future issue of this journal, but has not been fully edited. Content may change prior to final publication. Citation information: DOI 10.1109/TC.2016.2576444, IEEE
Transactions on Computers
> REPLACE THIS LINE WITH YOUR PAPER IDENTIFICATION NUMBER (DOUBLE-CLICK HERE TO EDIT) < 3

Since transient power of a circuit has direct relation to its Trojan is activated and hence, the set of test vectors required
internal nodes switching activity, a promising way to enhance to apply to the circuit is not known.
the strength of detection is to increase the Trojan circuit As another study in this context, Davoodi et al. [29]
activity or to decrease the main circuit activity. Based on this, presented a method which finds similar sequences in a design
Banga et al. in [25] partition a circuit into different regions and embeds sensors with similar structures as reference
and attempt to investigate each region, individually, for the models. Using this technique, the delay of each path in a
existence of a Trojan. In this study, specific test vectors are fabricated chip could be accurately estimated by measuring
applied to the circuit such that the activity of the region under the sensor delay. Applicability of this method is limited due to
consideration is increased while the activity of other regions is the fact that finding sequences of similar structures is not
kept low. All regions are investigated in this manner. The always possible in a design.
work in [26] follows a different strategy to increase the The study in [30] proposes to use correlation between side-
activity of the regions. This study takes advantage of scan channel signatures to detect infected signatures, called as
chain flip flips (SFFs), and reorders them such that SFFs Outliers. In this approach, test vectors are applied to the
located in the neighborhood of each other are connected circuit and signatures are extracted. Since a given signature
together. This provides the possibility of increasing switching may have correlation with a set of neighboring signatures, it
activity in a local region and keeping the activity of other may be estimated by observing its neighbors. The paper uses
regions as low as possible by feeding appropriate logical this property and compares a measured signature with the
values into the scan chain. The sensitivity of transient power estimated one. If noticeable deviation observed, one can
to Trojan circuits and enhancing detection resolution using deduce the signature is an outlier. To estimate a given
calibration techniques are investigated in [27]. signature, this method requires PV models that should be
The main strength of side-channel-based detection methods provided by the foundry. However, as the foundry is assumed
lies in the fact that every Trojan has effects on circuit to be untrusted, one cannot rely on the provided PV models.
performance, and detecting such effects would indicate The study in [31] uses simulation to extract performance
existence of unwanted circuits, regardless of the type of parameters instead of obtaining golden chips. Since one
Trojan (functional or parametric). However, there are two cannot rely on simulation statistics as they use inaccurate
main drawbacks that limit the effectiveness of these models and variation affects the parameters, the authors
approaches. First of all, performance parameters of fabricated proposed to use PCM (Process Control Monitor)
chips are strongly affected by process variations and measurements to tune the simulation models and remove
measurement noise. Process, Voltage and Temperature (PVT) discrepancy between simulation statistics and real ones. This
variations result in the performance parameters to be expanded method has some limitations. First of all, PCMs may not
in a wide range. Thus, the shift in golden chip parameters always be available in the designs. Secondly, they are
range due to Trojan could be negligible, especially for small themselves subject to attack and the foundry may fabricate
Trojan circuits. Therefore, distinguishing Trojan effects may them in a way that distracts the simulation parameters. Finally,
not be simply feasible, especially in newer technologies with the method can only obtain golden parameters and does not
higher variation effects. Despite different techniques used for address process variation. Therefore, the problem of detecting
removing variation effects, such as local measurement and small Trojans is still a challenging problem.
calibration techniques, this problem is still posing itself as a In this paper, we propose a mechanism which uses path
main barrier against obtaining accurate detection. delays for detecting HTs. The new method attempts to remove
Another challenge relates to the availability of golden chips the Trojan hiding effects of process variation as much as
to use their performance parameters as reference models. possible to enhance the resolution of detection. The new
Providing golden models requires comprehensive testing and method can also be implemented in a manner that increases
measurements on a set of test chips which may be the risk of concealing the HT by the attacker which makes this
accompanied by de-layering those chips to insure that they are technique independent of golden models in some scenarios.
free of Trojans and the measured parameters are valid. Such
comprehensive evaluation may be very time-consuming and III. LATCH-BASED STRUCTURE: BASIC CONCEPT
requires considerable cost and effort. By far, some methods The basic idea of using latch-based structures is to embed
have been proposed to address this challenge. Narasimhan et simple latches in the circuit to compare relative delays of two
al. [28] assumed that the Trojan is a sequential circuit that is given paths. The reason behind using latch elements lies in the
activated when its flip flop states reaches a special pattern. At dependency of their logical outputs to the delay of their
this point, the Trojan circuit would consume some extra elements. To clarify the matter, consider simple SR NAND
transient power which was not present before. Based on this, latch shown in Fig. 1 in which a common signal Test drives S
the paper proposed to measure transient power of the circuit at and R inputs. When Test=0, then Y1Y2=11. By applying a low-
different time slices and compare them to see if any to-high transition on Test, both outputs, i.e., Y1 and Y2, go
considerable deviation is observed. Although this study is a towards changing their value from 1 to 0. Since the gates in
self-referencing method (does not rely on external reference the latch may have different propagation delays, one of the
values), it has serious problems. First, it is only applicable to outputs may change its value sooner than the other, which
sequential Trojans. Secondly, the condition under which a causes the slower gate to keep its logical value. This means

0018-9340 (c) 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
This article has been accepted for publication in a future issue of this journal, but has not been fully edited. Content may change prior to final publication. Citation information: DOI 10.1109/TC.2016.2576444, IEEE
Transactions on Computers
> REPLACE THIS LINE WITH YOUR PAPER IDENTIFICATION NUMBER (DOUBLE-CLICK HERE TO EDIT) < 4

reference path that makes the latch outputs predictable.


Suppose, for example, that path1 in Fig. 2 is a path which may
be used by an attacker to embed a Trojan and its delay is
increased as a consequence. For the rest of the paper, we call
such paths as target paths, since they may be the target of
attack. This issue instructs the designer to choose a second
path (path2) as a reference path to compare the delay of the
two paths and recognize any extra delay by observing the
Fig. 1. An SR latch structure with common input signal changed values occurred at the outputs. For the latch outputs
to be predictable before physical manufacturing, the designer
should select path2 such that its delay is higher than that of
path1. Therefore, in a NAND latch, the original outputs of the
circuit are Y1Y2=01. It should be noted that the delay
difference between path1 and path2 should not be too large as
it causes the Trojans delay effect to be removed. Since
finding a reference path with the proper delay difference may
not be always possible, it may be required to tune the delay
difference between the two paths, after a reference path is
selected. Selecting an appropriate reference path and tuning
Fig. 2. Using latch-based structure to compare the delays of two paths path1 the delay difference is an important factor that determines the
and path2 detection resolution of the method. The desired delay
difference is determined by the amount of the delay variations
that Y1Y2=01, if g1 is faster than g2, and Y1Y2=10, otherwise. of the two paths. This means that the designer should take
Assuming that the latch structure is ideally symmetric and worst case variation of the paths into account in the design
both gates as well as the feedback connections have equal phase to insure that the reference path has always higher delay
delays (or have negligible difference), the main contributing than the target path.
factor that determines the logical values is the propagation Another consideration that should be taken into account is
delay of the Test signal. In other words, such a structure can the structure by which the latch is inserted in the design.
be used to catch the delay difference between the two Although we can use special logical gates for this purpose, we
propagation paths. This comparison mechanism can be applied chose to use functional gates already in the netlist. Advantages
to two arbitrary paths in a design to compare their delays. Fig. of this strategy are two folds. First, using the original circuit
2 shows an example in which two paths, constructed with a set gates instead of adding new ones helps us keep area overhead
of logical cells, are configured in a latch-based structure. In of the scheme as low as possible. Secondly, this scheme resists
this scheme, Test signal is propagated through both paths so against removal attacks. In removal attacks, an attacker may
that the latch outputs can indicate relative delays. Observing try to remove or bypass the added latch gates and change the
Y1Y2=01 after a low-to-high transition on Test means that logical output values back to the original ones, as if the design
path1 has less delay than path2; otherwise, path1 has more is Trojan-free. By employing the original gates of the design
delay. Such a structure may also be implemented by NOR as the latch gates, committing removal attacks are not simply
latches similarly, except that the direction of transition and feasible. This structure causes the circuit to have two
logical outputs are reciprocal. operating modes, namely normal mode and test mode. In the
By using latch-based structures which translate relative normal mode, the circuit is in its normal operating phase. In
delays into the functionality observed at the output, we can the test mode, the circuit is tested for HT detection.
measure the delay of Trojan-susceptible paths in the design To separate the two modes, the structure should be
using other paths as reference delay to find out whether the modified. This modification could be done in several ways.
delay has been changed or not. This implementation has many Fig. 3 illustrates a simple scheme which uses multiplexers
advantages which will be discussed later in the paper. It (MUXs) to separate the operating modes. In this figure, the
should be noted that the idea of using latches as hardware delays of two paths of the design, path1=ABCDEF and
security primitives is not new, and SRAM-based PUFs or path2=GHIJKL, are compared using the latch-based structure.
latch-based PUFs have been introduced before [32]. However, MUXs are used to separate operating modes. When signal Sel
to the best of our knowledge, the idea of using latch structures is 0, the circuit operates in the normal mode and signals b, i, q
for the goal of HT detection has not been proposed before. and r are fed to their original cells. When Sel is 1, the circuit
To make the latch-based structure applicable for HT operates in the test mode and signal Test is fed to the first cells
detection, some important considerations must be taken into of the two paths to propagate. In this mode, cells F and L are
account. To find out whether the latch outputs have been configured as a latch element and a feedback loop is
changed due to increase in the delay by a Trojan circuit, the established using their outputs. If the cells at the end of the
original Trojan-free outputs must be known before the chip is two paths are not of types NAND or NOR, the logical
fabricated. This requires selecting a special path as the structure of the end cells should be modified so that NAND or

0018-9340 (c) 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
This article has been accepted for publication in a future issue of this journal, but has not been fully edited. Content may change prior to final publication. Citation information: DOI 10.1109/TC.2016.2576444, IEEE
Transactions on Computers
> REPLACE THIS LINE WITH YOUR PAPER IDENTIFICATION NUMBER (DOUBLE-CLICK HERE TO EDIT) < 5

removed and the only affecting factor is within-die variation.


It is even possible to address within-die variation and alleviate
its effects as much as possible. Within-die variation is
composed of two components: Systematic variation and
random variation. Systematic variation corresponds to the
location-dependent deviation of device parameters from their
nominal values. This kind of variation is completely
dependent on the location of the devices on the die and has
similar effects on devices located close to each other. Random
variations correspond to that part of within-die variation which
is not related to the physical location of devices, and is
Fig. 3. Using MUXs as a simple structure to separate normal and test mode
completely random in nature. In other words, the devices
located close to each other may exhibit different properties
NOR types are created, without changing the functionality. It
which are known as the random component of variation.
must be noted that to propagate Test signal through the paths,
the side inputs of the cells located on the paths must be Our latch-based structure can be implemented in a way that
controlled with non-controlling values. This can be done by can decrease the effects of systematic variation. By selecting a
applying appropriate test vectors and preparing paths for reference path sufficiently near the target path, we can expect
testing purposes. In Fig. 3 the non-controlling values for each systematic variations to have similar effects on both paths.
cell are shown. This means that only within-die random variation could be
The structure described above is the basis of the proposed considered when tuning delays. Such reduction in process
technique: a path which may be used by the attacker to insert a variation can remarkably increase HT detection resolution
Trojan is determined, and then an appropriate second path is which is not seen in previous studies.
selected as the corresponding reference path. Finally, these
two paths are configured in a latch-based structure and their IV. LATCH-BASED STRUCTURE: IMPLEMENTATION
delay difference is tuned. This strategy can be done for every The basic idea of using the proposed latch-based structure
path which may be the target of attack. was elaborated in the previous section. The way in which this
In the proposed method, selecting a reference path and concept can be implemented in a given design is dependent on
configuring the latch-based structure is done at the design the designers strategy. As explained, a path, which may be
phase. In this phase, the delays of paths are extracted based on the target of the HT attack, should be determined, and an
the timing information available in technology libraries. To appropriate reference path must be selected nearby. These two
obtain more accurate results, the designer can place and route paths are then configured as a latch-based structure.
the design and generate an initial layout for the circuit. At this Recognizing target paths depends on the application for which
stage, more accurate timing and parasitic information are the circuit is designed, or the designer can choose Trojan-
available. Despite using accurate models and layout-generated susceptible paths based on his/her knowledge of the design.
timing information, different chips may exhibit different Generally, any combinational path can be configured and
timing behavior after fabrication. This is because process therefore, the method does not limit the designer to choose
variation drastically affects device parameters and such effects among a set of particular paths.
are not known before the chips are manufactured. That is why To evaluate the proposed method, we assumed that an
side-channel analysis techniques need golden models and attacker may choose to insert the Trojan in the nodes of the
cannot rely on post-layout simulation results. circuit which are not easily controllable. The rationale behind
To see how our technique addresses variation, we should this assumption is that highly controllable nodes are expected
take a deeper look at process variation and its components. to have considerable activity during post-manufacturing
Generally, process variation is composed of two parts: die-to- testing phase and side-channel effects of Trojan circuits
die (or inter-die) and within-die (or intra-die) variation. Die- connected to such nodes cannot be easily concealed. It is
to-die variation corresponds to the variation seen for the normally assumed that a wise attacker attempts to cover
structures between different dies. Within-die variation his/her Trojan circuit in a design such that it exposes
represents variation across a given die. Traditionally, die-to- minimum side channel effects. Determining low-controllable
die variation has been the major part of total variation. Even in nodes as target nodes have also been considered in other
newer technologies in which within-die variation is following studies like [8], [14]. Since the concept of latch-based
an increasing trend, die-to-die component still dominates structure is to compare the delay of a target path with a
within-die factor [33], [34]. As mentioned previously, the reference path, we need to establish combinational paths, if
major factor that determines the amount of the delay possible, containing the target nodes.
difference between the target and reference paths is process Choosing appropriate combinational paths for target nodes
variation, and designers should consider worst case scenario to establish target paths may be done in various ways. In this
when tuning the delays to preclude false alarms. paper, we chose to have as few target paths as possible. Our
In the latch-based structure, since both target and reference goal by this strategy is to reduce the number of target paths in
paths are located on the same die, die-to-die variation is a design and hence, reducing the overhead of latch-based

0018-9340 (c) 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
This article has been accepted for publication in a future issue of this journal, but has not been fully edited. Content may change prior to final publication. Citation information: DOI 10.1109/TC.2016.2576444, IEEE
Transactions on Computers
> REPLACE THIS LINE WITH YOUR PAPER IDENTIFICATION NUMBER (DOUBLE-CLICK HERE TO EDIT) < 6

structure. designer can only make a rough estimation of the delay


The next step after recognizing target paths is to choose changes when creating the latch structures at the design time.
appropriate reference paths. To select the reference paths, To take such timing issues into account, we chose to use a
different strategies may be used. The first one is to choose constant value as another factor of the delay difference, which
corresponding reference paths based on the netlist structure compensates for the difference between the estimated timing
(before doing physical design). This strategy has the and the desired one. Equation (1) describes the way in which
advantage of choosing the best candidate paths as reference the delay difference ( ) is calculated. In this equation,
paths, however, it does not guarantee that target and reference corresponds to the standard deviation of the target path
paths are located near each other when the final layout is delay. The coefficient is selected to be 6 to guarantee that in
generated. To alleviate the effects of process variation, the every die the reference path delay is always larger than the
target and reference paths must be close to each other. One target path delay assuming that the delays can deviate in the
solution is to manually place the nodes of the target and range of -3 to +3. The CO (compensation offset) parameter
reference paths close to each other in the layout, while other is the constant value that is considered when determining the
circuit nodes are placed automatically using the CAD tool. delay differences. The appropriate CO value may be different
The major drawback of this strategy is that it may affect the for each structure. Moreover, the value is not known in the
quality of placement. Another strategy is to create an initial first pass of the design cycle, and the designer should attempt
layout of the design and determine target and reference paths with a set of values to find the appropriate one.
based on their relative position in the layout. Since this = 6 + (1)
strategy does not affect the placement quality, we chose to use To obtain high resolution HT detection, the delay difference
this scheme in our implementation. between a given target and a reference path should not exceed
As the target and reference paths should be close to each the determined value ( ). Since finding a reference path
other, we have to consider them in a limited-size region which which satisfies this constraint may not always be possible, we
fits to our definition of proximity. In this regard some studies, can select an appropriate path (among a set of available
such as [35]-[37], have investigated the amount of within-die combinational paths), and then tune its delay according to the
systematic variation for different regions of a die. They show intended value. Tuning path delays could be done using
that the neighbor regions exhibit similar variation noise. Based transistor sizing or adding extra delay cells. In our
on the region size for which these studies have reported their implementation, we chose the second option, where necessary,
results, we tried to choose a smaller size to insure that there is to reach the intended . One advantage of this strategy is
negligible systematic noise in a given region. Based on this,
that it does not have any impact on the circuit timing, since
we considered a region of size 50 m 50 m as a region
delay elements are added in the Test signal path. Moreover, by
with no considerable systematic variations. Such a region size
choosing 2-input delay elements (like simple 2-input AND or
is somewhat pessimistic and we could even consider larger
OR gates) instead of simple buffers, the existence of these
regions without any noticeable systematic effects inside.
delay elements in the circuit can be checked (using their
Based on the defined size, the whole area of the layout is
second input) and an attacker cannot simply remove or bypass
partitioned into different regions, and the latch structures are
them to mask the Trojan effects.
embedded in each region, exclusively. In other words, for each
As a brief overview, the following is a step-wise procedure
region, the target and corresponding reference paths are
for implementing the proposed method:
recognized, and the technique is implemented in that region.
Step1: Generate an initial layout for the deign
As discussed, the delay of a reference path must be slightly
higher than that of the corresponding target path to insure that Step2: Find target nodes, based on their activity level
the latch output is predictable after the chip is fabricated. The (see Fig. 4(a) as an example)
amount of delay difference is mainly determined by the Step3: Partition the layout into disjoint regions (Fig.
random variation. We should take within-die random variation 4(b))
into account to guarantee that in every die, the reference path Step4: Find available combinational paths containing
has always higher delay than the target path. target nodes in each region to form target paths (Fig.
Beside the random variation, there is still a second factor 4(c)).
that should be considered when tuning the delays. This factor Step5: Select an appropriate nearby reference path for
is related to the error of delay estimations in the gate-level each target path, create the latch-based structure, and
modifications with respect to their effects on the actual delays finally, tune the delay difference if necessary (Fig.
in the final layout. Given a pair of target and reference paths, 4(d)). This step is done for every target path in each
the last cells of these paths should be modified to create a region until all target paths are covered.
latch structure. Such modifications incur some changes in the
fan-out properties of these cells, and their delay values are not A question that may arise in the context of finding reference
exactly known before generating the final layout. Moreover, paths is whether it is always possible to find a reference path
additional elements must be added to the circuit to separate the for a given target path. To answer this question, we have
normal from the test operation mode. The exact delay of these analyzed target paths of some ISCAS89 benchmarks in terms
elements is only known after the layout is generated, and the of the availability of reference paths. Fig. 5 to Fig. 7 illustrate

0018-9340 (c) 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
This article has been accepted for publication in a future issue of this journal, but has not been fully edited. Content may change prior to final publication. Citation information: DOI 10.1109/TC.2016.2576444, IEEE
Transactions on Computers
> REPLACE THIS LINE WITH YOUR PAPER IDENTIFICATION NUMBER (DOUBLE-CLICK HERE TO EDIT) < 7

statistics about the number of reference path options for the


target paths of three different benchmarks. We chose p=0.001
as the threshold value for the activity level, and the circuit
nodes with lower activity were considered as the target nodes.
As can be seen from the figure, finding a reference path is not
a challenging task, and we can expect to find a suitable one for
almost all target paths. The only case in which a reference
path may not be found is when the corresponding target path is
very long (as can be seen in the figures, the number of such
cases are very limited). To address this issue we can simply
break long paths into smaller ones to insure that a reference
path can always be found.
(a) Finding target nodes of a design
V. SIMULATION RESULTS
A. Simulation setup
To evaluate the proposed latch-based structure, we used a
set of ISCAS89 benchmarks and extracted their post-layout
simulation results. To synthesize and generate the layout of
the circuits, we used Nangate 45nm technology node. To
conduct our experiments, we considered 3/=6% within-die
random variation, which is consistent with the data reported in
the literature [34]. We also assumed 3/=3% for each 100
m of the die as within-die systematic variation. This means,
for example, that a die with dimensions 200 m 200 m
(b) Partitioning the layout into disjoint regions experiences a 3/=6% systematic variation across its area. To
apply systematic variation, we used VARIUS [38], a tool
capable of creating variation map models across a given area.
B. Analysis of HT detection probability
In order to evaluate HT detection probability (HDP), latch-
based structure was used for some of them. Table I shows the
results for five sample target paths of three benchmarks. In
this table, HDP has been evaluated by experimenting four
different values for CO, namely 0, 10, 20 and 30 ps. In each
experiment, the columns labeled as FP report the probability
of false positive (probability of detecting HT when the target
path is HT-free), and columns denoted by 2G and 1G
represent the HDP for two and one minimum-sized AND gate
(c) Finding appropriate target paths (dark circles represents intermediate
when used as a Trojan circuit in the corresponding target path,
nodes used in forming target paths)
respectively.
As it can be seen from Table I, when considering no
compensation offset (CO = 0 ps), some of the paths experience
high FP (false positive) rate. This is because the post-layout
path delays do not follow the values estimated when the
latches are being inserted. Since high FP rates is not
acceptable for a given target path, no HT detection
experiments were done in high FP rate scenarios (more than
10% in our experiments). Such scenarios are indicated with
dash symbol in the table. By increasing CO, we can expect a
decrease in FP, which is clear from the reported results in
many of the scenarios. For example, path #1 in s1423
(d) Selecting appropriate reference path for a given target path (reference benchmark experiences a decreasing trend in FP (from 82% to
paths are represented by dashed lines) 0%) when increasing the offset (from 0 ps to 30 ps).
It must be noted that a one-step increase in the offset value
does not necessarily result in FP reduction. However, further
Fig. 4. An example to illustrate the required steps to implement our latch-
based method
continuing the trend would guarantee the reduction of FP rate.
This is due to the fact that each time the offset is increased, a

0018-9340 (c) 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
This article has been accepted for publication in a future issue of this journal, but has not been fully edited. Content may change prior to final publication. Citation information: DOI 10.1109/TC.2016.2576444, IEEE
Transactions on Computers
> REPLACE THIS LINE WITH YOUR PAPER IDENTIFICATION NUMBER (DOUBLE-CLICK HERE TO EDIT) < 8

relatively high probability in many of the scenarios. The best


achieved HDP results are highlighted for each target path in
the table.
It should be noted that no more CO values are tested, when
the best possible HDP result is obtained for a given CO value.
For this reason, some of the target paths were not tested for
some of the CO values, which are indicated with dash symbol
in the table. For example, path #4 of s9234 benchmark has
gained the best possible HDP for CO = 0 ps, and hence, other
CO values were not tested in the experiments. Briefly
speaking, a new CO value from the set of pre-selected offset
values is tested only if the previous tested CO value results in
high FP rate, or the best possible results are not achieved and
we can expect higher HDPs by testing more CO values from
the set.
It is worth noting that the selected compensation offset has
Fig. 5. Number of reference path options for s5378 benchmark
a direct impact on the resulting accuracy, and by attempting a
set of values and choosing the best one, we can achieve more
accurate results. In other words, the results reported in Table I
are not the best possible ones, and better results are likely
achieved by attempting more offset values. In doing so, we
tested some of the target paths with new offset values, and the
results are reported in Table II. In this table, the results are
reported for some of the target paths with lower HDP
compared to the other paths. We used 5 ps step for COs,
including negative values, in our experiments. As can be seen
from the table, paths #2 and #3 of s9234, and path #3 of s5378
have gained higher HDP compared to the previous results. The
only exception is path #5 of s5378 which does not gain benefit
from testing more offset values.
C. Analytical comparison of HDP results
To gain insight into the capability of our approach in
Fig. 6. Number of reference path options for s9234 benchmark mitigating the variation effects, we performed an extreme-case
analytical comparison in which the HDP of the proposed
latch-based structure technique is compared with the best
possible HDP that is theoretically achievable. Since current
delay-based HT detection techniques rely on golden delay
measurements, they have to take all components of variations
into account, including die-to-die and within-die variation in
their reference delay fingerprints. For a typical 45nm
technology, this means an average process variation of about
3/=30% [34].
Table III illustrates the theoretical HDP results in
comparison with the ones obtained from the practical latch-
based structure, with the higher HDPs highlighted in the table.
In this table, column 2 reports the path delay of the previously
tested target paths in an increasing order. The effectiveness of
the Trojan circuit in terms of delay is reported in the third
column. Column 4, labeled as T-HDP, reports the theoretically
achievable HDP for the corresponding target path and Trojan
Fig. 7. Number of reference path options for s15850 benchmark
delays, when considering 3/=30% for the delay variation.
reference path with higher delay is expected to be selected, The HDPs reported in this column represent an upper-bound
while the target path delay is constant. on the results that are practically achievable by using previous
Based on the reported HDP results, Trojan circuits with two delay-based HT detection techniques. Finally, the last column,
AND gates are 100% detected in nearly all experiments. labeled as L-HDP, reports the best HDPs achieved by the
Trojan circuits with one AND gate are also detected with proposed approach, when considering within-die random and

0018-9340 (c) 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
This article has been accepted for publication in a future issue of this journal, but has not been fully edited. Content may change prior to final publication. Citation information: DOI 10.1109/TC.2016.2576444, IEEE
Transactions on Computers
> REPLACE THIS LINE WITH YOUR PAPER IDENTIFICATION NUMBER (DOUBLE-CLICK HERE TO EDIT) < 9

TABLE I
HDP RESULTS FOR A SET OF TARGET PATHS
CO = 0 ps CO = 10 ps CO = 20 ps CO = 30 ps
Benchmark Path# FP 2G 1G FP 2G 1G FP 2G 1G FP 2G 1G
#1 82% - - 34% - - 0% 100% 10% 0% 100% 100%
#2 62% - - 0% 98% 76% 0% 84% 66% 0% 74% 0%
s1423 #3 0% 100% 2% 8% 100% 100% 0% 100% 100% - - -
#4 100% - - 100% - - 0% 100% 62% 0% 0% 0%
#5 2% 100% 100% - - - - - - - - -
#1 100% - - 0% 0% 0% 0% 0% 0% 0% 100% 98%
#2 24% - - 10% 100% 100% 0% 100% 100% - - -
s5378 #3 0% 0% 0% 6% 100% 22% 0% 100% 54% 0% 0% 0%
#4 64% - - 90% - - 0% 100% 100% - - -
#5 0% 100% 0% 0% 58% 0% 0% 84% 52% 0% 24% 0%
#1 2% 100% 100% - - - - - - - - -
#2 72% - - 0% 100% 72% 0% 100% 0% 0% 8% 0%
s9234 #3 22% - - 0% 100% 34% 0% 0% 0% 0% 100% 32%
#4 0% 100% 100% - - - - - - - - -
#5 28% - - 16% - - 0% 100% 66% 0% 4% -

TABLE II
HDP OF SOME TARGET PATHS FOR EXTENDED CO VALUES
CO = -10 ps CO = -5 ps CO = 5 ps CO = 15 ps CO = 25 ps
Benchmark Path# FP 2G 1G FP 2G 1G FP 2G 1G FP 2G 1G FP 2G 1G
#3 38% - - 0% 100% 2% 0% 0% 0% 0% 100% 46% 0% 100% 100%
s5378
#5 54% - - 0% 100% 0% 0% 58% 0% 0% 78% 10% 0% 0% 0%
#2 100% - - 0% 100% 100% - - - - - - - - -
s9234
#3 0% 100% 20% 0% 0% 0% 0% 0% 0% 0% 100% 96% 0% 14% 0%

TABLE III deviation ().


A COMPARISON BETWEEN THE PROPOSED LATCH- Any HT affecting a given path would shift the normal
BASED STRUCTURE AND THE THEORETICAL distribution of the delay for the corresponding path. Thus, the
APPROACH IN TERMS OF HT DETECTIONS HT can be detected if it causes the path delay to be outside its
PROBABILITY original distribution range. Assuming that the delay shift
Case # Path delay Trojan delay T-HDP L-HDP introduced by the HT is denoted by d, Eq. (2) calculates the
1 46 30 99% 100% HT detection probability for a path with standard deviation
2 82 38 99% 100% of the normal distribution. In this equation, corresponds to
3 97 69 99% 66% the desired level of false positive rate, which was assumed to
4 112 57 99% 62% be 2% in the reported results in Table III. The erf( ) function
5 144 59 98% 100% used in Eq. (2) is in fact the error function, and is computed
6 145 54 95% 100% using Eq. (3).
1
7 146 41 79% 100% [1 ( )]
2 2
8 159 46 81% 52% = {1
(2)
9 161 51 87% 100% [1 + ( )] >
2 2
2 2
10 163 34 53% 76% erf() = (3)
0
11 165 58 93% 96%
As can be seen from Table III, for the paths with small
12 178 32 41% 100%
delay values, (e.g., cases 1 to 4) the probability of theoretically
13 181 29 34% 98%
detecting the Trojan circuits is high (HDP=99%). This means
14 210 63 84% 100%
that for low delay paths, previous delay-based detection
15 214 37 39% 100%
techniques can potentially perform well, even when all
components of variation are considered. For such cases, the
systematic variations with the values previously reported in detection probability of the latch-based structure depends
Section V-A. heavily on how successful the designer is to tune the delay
To extract the theoretical HDP results, we used Eq. (2) difference of the target and reference paths. For the latch-
introduced in [22] which gives a theoretical upper-bound on based technique, while cases 1 and 2 gained maximum HDP,
the detection probability in the presence of process variation. the results in cases 3 and 4 are below the highest achievable
This equation assumes a normal distribution for the path one. This can be attributed to the limitations of the method in
delays, which is characterized by a mean () and a standard tuning the path delay differences.

0018-9340 (c) 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
This article has been accepted for publication in a future issue of this journal, but has not been fully edited. Content may change prior to final publication. Citation information: DOI 10.1109/TC.2016.2576444, IEEE
Transactions on Computers
> REPLACE THIS LINE WITH YOUR PAPER IDENTIFICATION NUMBER (DOUBLE-CLICK HERE TO EDIT) < 10

As expected, with the increase of path delays, the


theoretical HDP starts to fall. In high delay paths, the
theoretical HDP may not be large due to the masking effects
of the process variation. This issue is clear from the lower T-
HDP results reported for high delay paths, except for the ones
with high Trojan delay, like cases 11 and 14. In contrast, the
latch-based structure has performed close to optimum in
nearly all scenarios, thanks to mitigating variation effects.
Even if the designer is not completely successful in tuning
the path delays, the resulting HDP may still be higher Fig. 8. An example of constructing latch-based structure using simple OR
gates
compared to the theoretical results, especially for high delay
path. Cases 10 and 11 in Table III are of such examples in
the normal and the test mode. Although separating these two
which the latch-based technique has gained improved HDP
operating phases can be done with the help of MUX-based
over the theoretical approach, despite not achieving the best
scheme shown in Fig. 3, we chose to use simple AND and OR
possible results. As the path delay increases, the difference in gates to isolate the two operating modes. An example of this
HDP between the theoretical and the latch-based technique is scheme is shown in Fig. 8. To put the circuit into the test
more highlighted, which is clear from the results. mode, Sel is kept low (non-controlling value for the OR gates)
The results reported in Table III show comparisons under which makes the two paths configured in the latch-based
extreme-case conditions. The extremity of the conditions can structure. When Sel is set to high, the circuit operates in its
be explained from the following aspects. normal mode. This scheme incurs lower area overhead
Firstly, the values of process variation we used in our compared to the MUX-based structure.
theoretical analysis represent an average value. However, the Delay elements and logical gates required to construct the
real amount of variation may be larger, which in turn, latches constitute another part of the overhead for each
culminates in lower theoretical HDP. embedded latch. Obviously, the total area overhead of the
Secondly, the efficacy of previous practical delay-based HT scheme has a direct relation to the total number of target paths
detection techniques may be limited by some factors. For that should be covered. As discussed, a designer may choose
example, the resolution of the steps by which the clock phase to cover any arbitrary path in a design. Here, we chose to
is shifted has a direct impact on the accuracy of frequency cover low transition nodes as sensitive points that may be the
testing approaches, which can prevent them from achieving target of attack.
the best possible HDP. Table IV shows area overhead results of our
Thirdly, the theoretical HDP results are extracted with the implementation. In this table, the results are reported for two
assumption of 2% false positive rate, whereas the results threshold values of nodes activity, namely p=0.0001 and
reported for the latch-based HDP have no false positive in p=0.001. For each activity threshold, the first column
many of the cases. Clearly, lower theoretical HDPs are represents the number of target nodes. The number of target
achieved with the assumption of zero false positive, compared paths that cover these target nodes is reported in the second
to the reported results. column. The third column reports the ratio of covered nodes to
Fourthly, the target paths tested in our experiments are in the total number of circuit nodes. Finally, the overhead is
fact sub-paths, i.e., they are parts of complete paths. Current shown in the fourth column.
path delay measurement techniques cannot measure the delay While we can observe low overhead for some of the
of sub-paths. They can only measure the delay of complete benchmarks, some other benchmarks experience a relatively
paths located between flip flops. This means that the high area overhead. For example, s5378 results in about 60%
theoretical HDP values reported in Table III may not be overhead, when p=0.001. The main reasons for this high
practically achievable by current delay-based techniques, as overhead are twofold. First of all, we did not employ scan
they have to measure the delay of longer paths with higher chains when synthesizing the benchmark circuits. As a result,
variation effects, resulting in lower HDP values. controlling internal flip flops of the circuit is only possible by
Despite all the extreme-case conditions described above and applying appropriate test vectors to the primary inputs of the
the limitations of the latch-based technique in adjusting the circuits. A direct consequence of this issue is an increase in
delay difference, we can still see that the proposed technique the number of low transition nodes which logically lie at the
has practically resulted more efficient HDP than the ones middle and ending stages of the design. Obviously, many of
theoretically achievable by the other techniques. such low transition nodes are removed if scan chains already
exist in the design. Moreover, dummy scan flip flops can also
D. Overhead analysis
be used to increase the level of activity. Of course, a positive
The resulting detection probability is achieved at the cost of aspect of considering large number of sensitive nodes is the
area for embedding the latch structures. To evaluate the area high coverage of the circuit (42.8% coverage at the cost of
overhead of the proposed scheme, we should consider the 60.6% overhead for s5378 benchmark).
extra logic elements that are added to the design. The main Another reason for the high area overhead is that the
part of these elements is attributed to those used to separate number of sensitive nodes covered by a given target path is

0018-9340 (c) 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
This article has been accepted for publication in a future issue of this journal, but has not been fully edited. Content may change prior to final publication. Citation information: DOI 10.1109/TC.2016.2576444, IEEE
Transactions on Computers
> REPLACE THIS LINE WITH YOUR PAPER IDENTIFICATION NUMBER (DOUBLE-CLICK HERE TO EDIT) < 11

TABLE IV
AREA OVERHEAD RESULTS FOR SOME BENCHMARKS
P = 0.0001 P = 0.001
Benchmark
# of nodes # of paths Covered nodes (%) Overhead (%) # nodes # paths Covered nodes (%) Overhead (%)
s1423 0 0 0 0% 1 1 0.6% 1.1%
s1488 5 4 3% 8.3% 19 14 10.7% 29.1%
s5378 23 16 3.9% 8.7% 241 121 42.8% 60.6%
s9234 32 22 8.2% 13.3% 54 28 12.4% 17.9%
s15850 96 52 6.8% 9.3% 502 240 30.2% 42.8%
s13207 63 40 6.2% 7% 303 133 25.2% 23.3%
s38417 53 26 1% 1.6% 342 185 6.8% 11.4%

TABLE V
limited. Experimental results revealed that many of the target PATH LENGTH VS. DETECTION RESOLUTION FOR
paths contain only one or two target nodes. This issue is DIFFERENT NUMBER OF STAGES
caused by the netlist structure in which no or few No. of
combinational paths exist among target nodes. Therefore, the 4 7 10 13
stages
number of target paths is comparable to the number of Average
sensitive nodes. This issue is clear from the results reported in 98% 96% 78% 66%
HDP
Table IV.
A promising way to address this problem can be to bypass Clearly, considering 13 stages as the limit on the target path
flip flops in the test mode and to form target paths containing length results in fewer target paths, and incurs lower area
many target nodes which had been separated by the flip flops. overhead compared to when 7-stage length is used as the
In this way, the number of target paths is considerably threshold value. This is achieved at the cost of lower HDP
reduced. Of course, this strategy requires additional based on the data reported in Table V. This instructs the
multiplexers to separate the two operating modes of the designers to choose an appropriate target path length
circuit, and the overhead of these multiplexers must be taken according to their desirable HDP and area overhead
into account. constraints dictated by the design goals.
We should constrain the length of long target paths created E. CAD flow and run time analysis
by this technique. This constraint is applied for two reasons.
The required steps to implement the proposed technique are
The first one is that longer target paths are more affected by
shown in the flow chart depicted in Fig. 9. The input to the
the process variation which adversely affects the detection
flow chart are some parameters that should be provided by the
resolution. The second reason is that finding reference paths
designer. These parameters are defined in Table VIII.
for very long target paths may not always be possible.
According to the flow, target nodes are recognized and then
To study the efficiency of the bypassing technique, some
are clustered into disjoint regions. In each region, target paths
experiments were conducted and the results are shown in
are established by the target nodes, and then are added to the
Tables V and VI. A set of experiments were done to
list TP_LST. For each target path in the list, different reference
investigate the effects of path length on HT detection
paths may be tested and the one with acceptable HDP result is
resolution. In these experiments for which the results are
selected to configure a latch structure.
shown in Table V, we considered four different path lengths
Based on the presented flow, a possible concern may arise
and HDP values are reported. As expected, when the target
regarding the time required to configure a circuit by the
path length increases (i.e., more target paths are concatenated
proposed structure. The whole time required to create latch-
together) lower HDP values are achieved. In contrast, longer
based structures depends on the number of target paths that
paths incur lower area overhead due to fewer paths. Therefore,
should be covered as well as the size of the circuit. Larger
the designer should consider a trade-off between the area and
circuits have more candidate reference paths, and thus it takes
the desirable HT detection accuracy.
more time to choose an appropriate reference path. Table VII
A second set of experiments were performed to investigate
reports the time spent by our automated flow for some of the
the overhead reduction of the bypassing scheme. Table VI
benchmarks. The results are based on the set of target paths
shows the area overhead for different benchmarks when
achieved for the activity threshold P=0.0001.
employing bypassing strategy. For each benchmark in the
The run time for large circuits may be tolerated since the
table, the results of experiments are reported for two activity
technique is implemented at the design-time. Moreover, the
thresholds and two different lengths of target paths. In each
designer can speed up the flow by limiting the number of
experiment, the first column represents the number of target
reference paths to be tested for a given target path at the cost
paths, the second column represents the number of
of area overhead.
multiplexers used for bypassing the flip flops, and finally, the
third column represents the resulting area overhead.
VI. ADVANTAGES, LIMITATIONS AND SECURITY ANALYSIS
Compared to Table IV, we achieved a significant reduction
in the area overhead (between 30% and 60% improvement). The proposed detection structure has many advantages over

0018-9340 (c) 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
This article has been accepted for publication in a future issue of this journal, but has not been fully edited. Content may change prior to final publication. Citation information: DOI 10.1109/TC.2016.2576444, IEEE
Transactions on Computers
> REPLACE THIS LINE WITH YOUR PAPER IDENTIFICATION NUMBER (DOUBLE-CLICK HERE TO EDIT) < 12

TABLE VI
AREA OVERHEAD RESULTS FOR THE TECHNIQUE OF BYPASSING FLIP FLOPS
P = 0.0001 P = 0.001
Benchmark 7 stages 13 stages 7 stages 13 stages
# of # of Overhead # of # of Overhead # of # of Overhead # of # of Overhead
paths MUXs (%) paths MUXs (%) paths MUXs (%) paths MUXs (%)
s1423 0 0 0% 0 0 0% 1 0 1.1% 1 0 1.1%
s1488 2 2 5.2% 1 3 3.7% 7 7 18.3% 5 10 15.8%
s5378 6 10 4.3% 4 12 3.5% 60 65 38.5% 34 67 28.3%
s9234 9 13 7.9% 5 17 6% 15 14 11.9% 8 20 8.4%
s15850 28 25 6.1% 16 37 4.5% 119 132 27.3% 66 174 19.8%
s13207 22 18 4.6% 12 28 3.3% 82 60 17.1% 44 90 11.8%
s38417 16 10 1.1% 9 17 0.8% 107 85 7.9% 58 127 5.6%

TABLE VII
AUTOMATED FLOW RUN TIME
Bench. s1488 s5378 s9234 s15850 s13207 s38417
Run
time 12 80 84 447 680 940
(minute)

TABLE VIII
PARAMETER DEFINITION FOR THE CAD FLOW
Parameter Definition
P Threshold value for the activity of the target nodes
S Region size to cluster the target nodes
L Maximum length for the target paths
MHDP Minimum desirable HDP
Trojan size (in terms of delay) for which the MHDP
D
is desirable
CO_LST List of CO values to be tested

other techniques. First, the new method is self-reference. It


uses the delay of in-circuit paths as the reference models to
measure the delay of target paths, and the designer is relieved
from pre-extracting golden parameters (which is not always
possible). This is in contrast to many of the HT detection
techniques that rely solely on golden models for comparison
purposes.
Another strong point is that the method is not bound to a
particular type of attack. Every malicious circuitry which
increases the delay of combinational paths has potential to be
detected, regardless of its activation model and its malicious
consequences.
As another important advantage, the effects of process
variation are considerably reduced. Since the target and
reference paths are located on the same die and close to each
other, die-to-die and systematic within-die variations are
nullified. Moreover, these paths experience similar
environmental conditions, like temperature, which means even
less variation effects. Whereas in other techniques, Fig 9. The required flow to implement the design
environmental variation is an important factor which may
adversely affect the resolution of detection. on the clock tree adds further to the existing variation.
Another factor that further mitigates variation effects is that Another capability of the proposed method is that it can be
the proposed method does not involve clocking and hence, its used for every combinational path of the design. In other
resolution is not affected by the variation on the clock tree. In words, every path (or part of a path) in the design can be
delay-based techniques that use frequency testing for path considered as a target path. This is in contrast to frequency
delay measurement, delay variation of logical gates located on testing techniques that consider a complete path (by complete
the paths is not the only source of variation. Process variation path, we mean a path that starts and ends with flip flops).

0018-9340 (c) 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
This article has been accepted for publication in a future issue of this journal, but has not been fully edited. Content may change prior to final publication. Citation information: DOI 10.1109/TC.2016.2576444, IEEE
Transactions on Computers
> REPLACE THIS LINE WITH YOUR PAPER IDENTIFICATION NUMBER (DOUBLE-CLICK HERE TO EDIT) < 13

Obviously, testing the delay of a part of a path is not possible seeking for. Achieving higher HDP requires selecting the best
using frequency testing, and requires adding extra flip flops, compensation offset among a larger set of options, which in
which results in timing problems. Considering only a part of a turn prolongs the design time. Of course, the results reported
path for delay comparison can be a promising way for further in Section V-B for the target paths show that the set of options
decreasing variation effects of long paths. is not very large, and good candidates can be selected by
As another advantage, we can point out the use of available testing few offset values.
circuit structures for delay measurement. In our method, delay
comparison is done by embedding latch-based structures. VII. CONCLUSION AND FUTURE WORKS
Since logical gates used in these structures are part of the main A delay-based HT detection technique was proposed in
circuit, an attacker may not simply manipulate or remove which the delay of a Trojan-susceptible path is compared with
them, as it may change the delay of the paths or functionality the delay of other paths of the design by embedding latches at
of the circuit. This issue helps to remove some of vulnerable the end of the two paths. These latches indicate the existence
points in the design. Consider, for example, RO-based of Trojans by converting any extra delay to the changes in the
detection methods. In RO structures, the path delays are functionality of the circuit. Using the delay of in-circuit paths
measured using embedded counters. Although these counters as golden reference models can make this technique a golden
are in-circuit elements, since they play no role in the main chip-free approach. The erroneous effects of die-to-die,
functionality of the design, an attacker may alter or bypass systematic within-die and environmental variations are
them in the test mode to conceal his/her Trojan side-effects. considerably removed by selecting near target and reference
As an example, the work in [39] shows a successful attempt to paths on a die. Security analysis of the technique indicates that
embed a Trojan circuit in a design equipped with RO the method can achieve high detection resolution. The
structures. proposed method can be used together with other side-channel
One possible attack to our technique is to embed a Trojan in approaches to improve their detection probability by covering
a target path and neutralizing the extra delay by increasing the parts of a design wherein inserted Trojans are hard-to-detect
delay in the corresponding reference path. We should note that by available approaches.
this kind of attack is risky for the attackers since they have to As future works, layout-level techniques can be considered
double their Trojans side effects. Moreover, the designers can to tune the delay differences in finer granularity, hence
make this attack more risky by using subsequent latching achieving higher Trojan detection accuracy.
scheme. Consider, for example, that a target path T1 forms a
latch with a peer reference path R1. R1 can also form a latch REFERENCES
with another reference path R2, and this trend can be [1] F. Koushanfar, Provably secure active IC metering techniques for
continued. Given this structure, thwarting the Trojan in the piracy avoidance and digital right management, IEEE Transactions
path T1 needs adding extra delay to the path R1, which in turn, on Information Forensics And Security, vol. 7, Issue 1, pp. 51-63,
necessitates adding more delay to the path R2 and all other 2012.
[2] Defense Science Board (DSB) Study on High Performance Microchip
reference paths. Another way to conceal the Trojan effects is Supply[Online].Available:http://www.dtic.mil/docs/citations/ADA43
to reduce the delay of the target path. In this case, the 5563.
adversary has to replace the original standard cells with the [3] J. Roy, F. Koushanfar and I. L. Markov, Ending piracy of integrated
circuits, IEEE Transactions on Computer, vol. 43, Issue 10, pp. 30-
ones that have wider transistors and higher driving strength 38, 2010.
which results in more power consumption. Moreover, the [4] M. Tehranipoor and C. Wang, Introduction to Hardware Security
position in the layout wherein the target path is located may be and Trust, Springer, 2012.
[5] M. Tehranipoor, H. Salmani and X. Zhang, Integrated Circuit
fully occupied with other cells and the attacker may need to Authentication, Springer, 2014.
make room to place wider cells. This is too difficult as it may [6] M. Tehranipoor and F. Koushanfar, A survey of hardware trojan
require to move many of the standard cells in the nearby to taxonomy and detection, IEEE Design & Test of Computers, vol. 27,
Issue 1, pp. 10-25, 2010.
make enough room which may also change the routing of [7] S. Bhunia, M. Abramovici, D. Agrawal, P. Bradley, M. S. Hsiao, J.
some interconnections. This issue can considerably affect the Plusquellic and M. Tehranipoor, Protection against hardware trojan
background effects and increase Trojan-to-circuit ratio which attacks: towards a comprehensive solution, IEEE Design & Test,
vol. 30, Issue 3, pp. 6-17, 2013.
is not desirable for an adversary. [8] R. S. Chakraborty, F. Wolff, S. Paul, C. Papachristou and S. Bhunia,
As a drawback for the proposed method, we can point out MERO: a statistical approach for hardware trojan detection, in
the area overhead of the design. Since embedding latch-based Proc. CHES, 2009, pp. 396-410.
structures requires adding extra elements, if large number of [9] S. Saha, R. S. Chakraborty, S. S. Nuthakki, Anshul and D.
Mukhopadhyay, Improved test pattern generation for hardware
design paths are to be covered, the area overhead would be trojan detection using genetic algorithm and boolean satisfiability, in
high. This issue prevents the technique to be used to cover all Proc. CHES, 2015, pp. 577-596.
parts of a design. As a result, according to the overhead [10] S. Jha and S.K. Jha, Randomization based probabilistic approach to
detect trojan circuits, in Proc. HASE, 2008, pp. 117-124.
constraints dictated by the designer, latches can be augmented [11] F. Wolff, C. Papachristou, S. Bhunia and R. S. Chakraborty,
only in highly critical parts of a design. Towards trojan-free trusted ICs: problem analysis and detection
The other issue is the iterative process required to tune the scheme, in Proc. DATE, 2008, pp. 1362-1365.
[12] R. S. Chakraborty, S. Paul and S. Bhunia, On-demand transparency
delay of target and reference paths. The number of iterations for improving hardware trojan detectability, in Proc. HOST, 2008,
depends on the accuracy of detection which the designer is pp. 48-50.

0018-9340 (c) 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
This article has been accepted for publication in a future issue of this journal, but has not been fully edited. Content may change prior to final publication. Citation information: DOI 10.1109/TC.2016.2576444, IEEE
Transactions on Computers
> REPLACE THIS LINE WITH YOUR PAPER IDENTIFICATION NUMBER (DOUBLE-CLICK HERE TO EDIT) < 14

[13] M. Banga and M. S. Hsiao, VITAMIN: voltage inversion technique [35] J. Plusquellic, D. Acharyya and K. Agarwal, Measuring within-die
to ascertain malicious insertions in ICs, in Proc. HOST, 2009, pp. spatial variation profile through power supply current measurements,
104-107. in Proc. ISQED, 2011, pp. 1-5.
[14] H. Salmani, M. Tehranipoor and J. Plusquellic, A novel technique [36] N. Drego, A. Chandrakasan and D. Boning, All-digital circuits for
for improving hardware trojan detection and reducing trojan measurement of spatial variation in digital circuits, IEEE Journal of
activation time, IEEE Transactions on Very Large Scale Integration, Solid-State Circuits, vol. 45, Issue. 3, pp. 640-651, 2010.
vol. 20, Issue 1, pp. 112-125, 2012. [37] S. Realov and K. L. Shepard, On-chip combined C-V/I-V
[15] J. Li and J. Lach, At-speed delay characterization for IC characterization system in 45-nm cmos technology, IEEE Journal of
authentication and trojan horse detection, in Proc. HOST, 2008, pp. Solid-State Circuits, vol. 48, Issue. 3, pp. 814-826, 2013.
8-14. [38] S. R. Sarangi, B. Greskamp, R. Teodorescu, J. Nakano, A. Tiwari and
[16] X. Wang, M. Tehranipoor and R. Datta, Path-RO: a novel on-chip J. Torrellas, VARIUS: a model of process variation and resulting
critical path delay measurement under process variations, in Proc. timing errors for microarchitects, IEEE Transactions on
ICCAD, 2008, pp. 640-646. Semiconductor Manufacturing, vol. 21, Issue 1, pp. 3-13, 2008.
[17] X. Zhang and M. Tehranipoor, RON: an on-chip ring oscillator [39] X. Zhang, N. Tuzzio and M. Tehranipoor, Red team: design of
network for hardware trojan detection, in Proc. DATE, 2011, pp. 1- intelligent hardware trojans with known defense schemes, in Proc.
6. ICCD, 2011, pp. 309-312.
[18] J. Rajendran, V. Jyothi, O. Sinanoglu and R. Karri, Design and
analysis of ring oscillator based design-for-trust technique, in Proc.
VTS, 2011, pp. 105-110.
[19] A. Ferraiuolo, X. Zhang and M. Tehranipoor, Experimental analysis
of a ring oscillator network for hardware trojan detection in a 90nm G. Zarrinchian is a Ph.D. student at the
ASIC, in Proc. ICCAD, 2012, pp. 37-42. department of Computer Engineering and
[20] K. Xiao, X. Zhang and M. Tehranipoor, A clock sweeping technique
Information Technology of Amirkabir
for detecting hardware trojans impacting circuits delay, IEEE Design
& Test, vol. 30, Issue 2, pp. 26-34, 2013. University of Technology. His research
[21] A. Nejat, S. M. H. Shekarian and M. Saheb Zamani, A study on the interests include hardware security and
efficiency of hardware trojan detection based on path-delay digital system design.
fingerprinting, Microprocessors and Microsystems, vol. 38, Issue 3,
pp. 246-252, 2014.
[22] S. M. H. Shekarian and M. Saheb Zamani, Improving hardware
trojan detection by retiming, Microprocessors and Microsystems,
vol. 39, Issue 3, pp. 145-156, 2015.
[23] M. Potkonjak, A. Nahapetian, M. Nelson and T. Massey, Hardware M. Saheb Zamani received his B.Sc.
trojan horse detection using gate-level characterization, in Proc.
DAC, 2009, pp. 688-693. degree in Computer Engineering from
[24] J. Aarestad, D. Acharyya, R. Rad and J. Plusquellic, Detecting Isfahan University of Technology in 1989,
trojans though leakage current analysis using multiple supply pad and the M.Eng.Sc. and Ph.D. degrees in
IDDQs, IEEE Transactions on Information Forensics and Security, Computer Engineering from the
vol. 5, Issue 4, pp. 893-904, 2010.
[25] M. Banga and M. S. Hsiao, A region based approach for the University of New South Wales, Australia
identification of hardware trojans, in Proc. HOST, 2008, pp. 40-47. in 1992 and 1996, respectively. He joined
[26] H. Salmani and M. Tehranipoor, Layout-aware switching activity Amirkabir University of Technology in 1996 and He is now
localization to enhance hardware trojan detection, IEEE an associate professor at the department of Computer
Transactions On Information Forensics And Security, vol. 7, Issue 1,
pp. 76-87, 2012. Engineering and IT. His research interests are hardware
[27] R. Rad, J. Plusquellic and M. Tehranipoor, A sensitivity analysis of security and trust, quantum computing, electronic design
power signal methods for detecting hardware trojans under real automation, and biological design automation.
process and environmental conditions, IEEE Transactions on Very
Large Scale Integration Systems, vol. 18, Issue 12, pp. 1735-1744,
2010.
[28] S. Narasimhan, X. Wang, D. Du, R. S. Chakraborty and S. Bhunia,
TeSR: a robust temporal self-referencing approach for hardware
trojan detection, in Proc. HOST, 2011, pp. 71-74.
[29] A. Davoodi, M. Li and M. Tehranipoor, A sensor-assisted self-
authentication framework for hardware trojan detection, IEEE
Design & Test, vol. 30, Issue 5, pp. 74-82, 2013.
[30] J. Zhang, H, Yu and Qiang Xu, HTOutlier: hardware trojan detection
with side-channel signature outlier identification, in Proc. HOST,
2012, pp. 55-58.
[31] Y. Liu, K. Huang and Y. Makris, Hardware trojan detection through
golden chip-free statistical side-channel fingerprinting, in Proc.
DAC, 2014, pp. 1-6.
[32] Y. Su, J. Holleman and B. P. Otis, A digital 1.6 pj/bit chip
identification circuit using process variations, IEEE Journal of Solid-
State Circuits, vol. 43, Issue 1, pp. 69-77, 2007.
[33] L. Cheng, P. Gupta, C. J. Spanos, K. Qian and L. He, Physically
justifiable die-level modeling of spatial variation in view of
systematic across wafer variability, IEEE Transactions on
Computer-Aided Design of Integrated Circuits and Systems, vol. 30,
Issue 3, pp. 388-401, 2011.
[34] L. T. Pang, K. Qian, C. J. Spanos and B. Nikolic, Measurement and
analysis of variability in 45 nm strained-si cmos technology, IEEE
Journal of Solid-State Circuits, vol. 44, Issue. 8, pp. 2233-2243, 2009.

0018-9340 (c) 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

Anda mungkin juga menyukai