discussions, stats, and author profiles for this publication at: https://www.researchgate.net/publication/3250479
CITATIONS READS
101 113
7 authors, including:
All content following this page was uploaded by Janusz Rajski on 21 July 2015.
The user has requested enhancement of the downloaded file. All in-text references underlined in blue are added to the original document
and are linked to publications on ResearchGate, letting you access and read them immediately.
High-Frequency, At-Speed
Scan Testing
Xijiang Lin, Ron Press, Janusz Rajski, Paul Reuter,
Thomas Rinderknecht, Bruce Swanson, and
Nagesh Tamarapalli
Mentor Graphics
0740-7475/03/$17.00 2003 IEEE Copublished by the IEEE CS and the IEEE CASS SeptemberOctober 2003
17
Speed Test and Speed Binning for DSM Designs
functional-test patterns is daunting, more companies are be easily applied from an external interface. Many
moving to at-speed scan-based testing. designs use an on-chip PLL to generate high-speed inter-
Logic BIST can perform at-speed test but is usually nal clocks from a far slower external reference signal.
combined with ATPG to get high enough coverage. The The problem of importing high-speed clock signals into
value of logic BIST is that it provides test capabilities, the device is also an issue during at-speed device test-
such as secure products or in-system testing, when tester ing. It is difficult and costly to mimic high-frequency
access is impossible. Logic BIST uses an on-chip pseudo- (PLL) clocks from a tester interface. Studies have shown
random pattern generator (PRPG) to generate pseudo- that both high-speed functional and at-speed scan tests
random data that loads into the scan chains. A second are necessary to achieve the highest test coverage pos-
multiple-input shift register (MISR) computes a signature sible.4 To control costs, more testing will move from cost-
based on the data that is shifted out of the scan chains. ly functional test to at-speed scan test. Some companies
There is reasonable speculation that supplementing have already led the way to new at-speed scan testing by
a high-quality test suite in production with logic BIST using on-chip PLLs.6 Although this is a new idea, it is gain-
might detect some additional unmodeled defects. At- ing acceptance and use in industry designs. These tech-
speed logic BIST is possible using internal PLLs to pro- niques are useful for any type of scan design, such as
duce many pseudorandom at-speed tests. However, mux-DFF or level-sensitive scan design (LSSD).
employing this test strategy requires additional deter- Because a delay tests purpose is to verify that the cir-
ministic at-speed tests to ensure higher test quality. This cuitry can operate at a specied clock speed, it makes
test strategy also requires adhering to strict design rule sense to use the actual on-chip clocks, if possible. You
checking and using on-chip hardware to increase testa- not only get more accurate clocks (and tests), but you
bility and avoid capturing unknown values. Some spec- also do not need any high-speed clocks from the tester.
ulate that logic BIST will improve defect coverage This lets you use less-sophisticated, and hence cheap-
because it will detect faults many times. However, er, testers. In this scenario, the tester provides the slow-
although it does provide multiple detections, they occur er test shift clocks and control signals, and the
only at the fault sites that are random-pattern testable. programmable on-chip clock circuitry provides the at-
Also, although logic BIST may be useful for transition speed launch and capture clocks.
fault testing, the low probability of sensitizing critical To handle these fast on-chip clocks, we have
paths with pseudorandom vectors makes logic BIST enhanced ATPG tools to deal with any combination of
unsuitable for path delay testing. clock sequences that on-chip logic might generate.6 The
Scan-based tests and ATPG provide a good general ATPG user must simply define the internal clocking
solution for at-speed testing. This approach is gaining events and sequences as well as the corresponding
industry acceptance and is a standard production test external signals or clocks that initiate these internal sig-
requirement at many companies. However, scan-based, nals. That way the clock control logic and PLL, or other
at-speed ATPG grows the pattern set size significantly. clock-generating circuitry, can be treated like a black
This is because it is more complicated to activate and box for ATPG purposes, and the pattern generation
propagate at-speed faults than stuck-at faults. Because process is simpler.
of this complexity, compressing multiple at-speed faults
per pattern is less efficient than for stuck-at faults. At-speed test methodology
Fortunately, embedded compression techniques can The two prominent fault models for at-speed scan
support at-speed scan-based testing without sacricing testing are the path-delay and transition fault models.
quality. When using any kind of embedded compres- Path delay patterns check the combined delay through
sion solution, however, engineers must take care not to a predened list of gates. It is unrealistic to expect to test
interfere with the functional design, because core logic every circuit path, because the number of paths increas-
changes can signicantly affect overall cost. es exponentially with circuit size. Therefore, it is com-
mon practice to select a limited number of paths using
Moving high-frequency clocking from a static timing-analysis tool that determines the most crit-
the tester to the chip ical paths in the circuit. Most paths begin and terminate
In the past, most devices were driven directly from an with sequential elements (scan cells), with a few paths
externally generated clock signal. However, the clock having primary inputs (PIs) for start points or primary
frequencies that high-performance ICs require cannot outputs (POs) for endpoints.
Launch
at every gate terminal. We test transition faults in much
the same way as path delay faults, but the pattern gen-
eration tools select the paths. Transition fault tests tar- Clock
get each gate terminal for a slow-to-rise or slow-to-fall
delay fault. Engineers use transition test patterns to nd Scan
manufacturing defects because such patterns check for enable
(SE) Shift Shift Last Capture Shift
delays at every gate terminal. Engineers use path delay
shift
patterns more for speed binning.
At-speed scan testing for both path-delay and transi- Figure 1. Launch-off-shift pattern timing.
tion faults requires patterns that launch a transition from
a scan cell or PI and then capture the transition at a scan
cell or PO. The key to performing at-speed testing is to
Capture
Launch
generate a pair of clock pulses for the launch and cap-
ture events. This can be complicated because modern
designs can contain several clocks operating at different
Clock
frequencies.
One method of applying the launch and capture events
is to use the last shift before capture (functional mode) as SE
the launch eventthat is, the launch-off-shift approach. Shift Shift Dead Shift
Figure 1 shows an example waveform for a launch-off-shift cycle
pattern for a mux-DFF type design; you can apply a simi-
lar approach to an LSSD. The scan-enable (SE) signal is Figure 2. Broadside-pattern timing.
high during test mode (shift) and low when in functional
mode. The gure also shows the launch clock skewed so
that its late in its cycle, and the capture clock is skewed so in functional mode. Adding extra dead cycles after the
that its early in its cycle. This skewing creates a higher last shift can give the SE additional time to settle.
launch-to-capture clock frequency than the standard shift Logic BIST and ATPG test can generate launch-off-
clock frequency. (Saxena et al.7 list more launch and cap- shift and broadside patterns. Logic BIST includes clock-
ture waveforms used by launch-off-shift approaches.) The control hardware to provide at-speed clocks from a PLL.
main advantage of this approach is simple test pattern gen- The clocks sequence is usually constructed in a BIST
eration. The main disadvantage (for mux-DFF designs) is approach such that the clocks that control a higher
that we must treat the SE signal as timing critical. When amount of logic will be pulsed more often during the
using a launch-off-shift approach, pipelining an SE within pseudorandom patterns. When using deterministic test
the circuit can simplify that SEs timing and design. pattern generation, an ATPG tool can perform the analy-
However, the nonfunctional logic related to operating SE sis to select the desired clock sequence on a per-pattern
at a high frequency can contribute to yield loss. basis to detect the specic target faults. ATPG can use
An alternate approach called broadside patterns programmable PLLs for at-speed clock generation if the
uses a pair of at-speed clock pulses in functional mode. PLL outputs are programmable. Both logic BIST and
Figure 2 shows an example waveform for a broadside ATPG generally shift at lower frequencies than the
pattern. Each clock waveform is crafted to test only a fastest at-speed capture frequencies to avoid power
subset of all possible edge relationships between the problems during shift. In addition, a fast shift frequen-
same and different clock domains. The rst pulse initi- cy would force high-speed design requirements for the
ates (launches) the transition at the targeted terminal, scan chain. It is the timing from launch to capture that is
and the second pulse captures the response at a scan important for accurate at-speed testing.
cell. This method also allows using the late and early
skewing of the launch and capture clocks within their Controlling complex clock-generator
cycles. The main advantage of this broadside approach circuits
is that the timing of the SE transition is no longer criti- To properly use high-frequency clocks that are gen-
cal, because the launch and capture clock pulses occur erated on chip, engineers must address several issues.
SeptemberOctober 2003
19
Speed Test and Speed Binning for DSM Designs
Coverage (%)
number of external cycles. The number of internal and
50
external cycles within a named-capture procedure can
vary as long as the total times for internal and external
Stuck-at
are equal. This can dramatically improve pattern gen- Transition
eration for these types of circuits. 0
Nonintrusive macrotesting techniques provide a 0 2,000 4,000 6,000
all test pattern count, we can merge the pattern sets for No. of patterns
multiple fault models.
Figure 5 illustrates the stuck-at test coverage prole Figure 6. Transition patterns with supplemental
for a half-million gate design with 45,000 scan cells. The stuck-at patterns.
gure shows that 2,000 patterns are required to achieve
98.84% stuck-at coverage. For this design, approximately
10,800 patterns, or about ve times the number of stuck- 98.84%. Thus, by rst generating transition patterns and
at test patterns, are required to achieve broadside tran- fault-simulating them for stuck-at faults, we can obtain
sition fault coverage of 87.86%. Assume that the tester a transition test coverage of 83.44% and a stuck-at test
memory capacity can store only 6,000 patterns. Then, coverage of 98.84% with 5,180 total patterns instead of
as Figure 5 shows, one solution is to apply the original 6,000 patterns.
2,000 stuck-at test patterns followed by a truncated tran- Figure 7 illustrates a general pattern generation ow
sition pattern set composed of 4,000 patterns, yielding for multiple-fault models, to achieve a compact pattern
transition test coverage of 83.44%. set. As Figure 7 shows, if path delay testing is desired,
The end test quality should be better with the test pat- then the pattern generation effort can commence with
tern set composed of stuck-at and transition patterns com- path delay ATPG. We can simulate the resulting path
pared to only stuck-at patterns. However, we can obtain delay pattern set against transition faults to eliminate
a more efcient compact pattern set because the transi- the transition faults that the path delay pattern set
tion patterns detect a signicant percentage of stuck-at detects from our target fault list. If the resulting transi-
faults as well. In fact, for this example, stuck-at fault sim- tion test coverage has not reached the target coverage,
ulation of the 4,000 transition patterns results in 93.07% we can perform ATPG for the remaining undetected
of stuck-at faults detected by the transition patterns. transition faults. Simulating the path delay patterns and
As Figure 6 illustrates, only 1,180 extra stuck-at pat- the transition patterns detects many of the stuck-at
terns are required to obtain final stuck-at coverage of faults. Furthermore, we perform ATPG for the unde-
SeptemberOctober 2003
21
Speed Test and Speed Binning for DSM Designs
SeptemberOctober 2003
23
Speed Test and Speed Binning for DSM Designs
The nal test set of the design includes 15,000 test pat-
Stuck-at coverage for
stuck-at patterns (Cstuck) terns. The stuck-at test coverage achieved was 96.56%,
Stuck-at coverage grade and the transition test coverage was 78.28%. Due to the
for transition patterns (Ctran)
test pattern truncation required to t on the tester, 1.39%
100 of the possible transition test coverage was lost.
Stuck-at test coverage (%) Because the at-speed test strategy in this case holds
TC PI values constant, treats all POs as nonobservable, and
ignores the faults in cross-clock domains during test
50 generation for transition faults, the highest transition test
coverage achieved was only 79.67% before test pattern
truncation. However, the ATPG tool determined that
Ntran 99.91% of all transition faults were classied. This means
0
Nstuck that most of the undetected faults were ATPG
No. of patterns untestable. If we could remove these constraints, we
could substantially increase the transition test coverage.
Figure 8. Stuck-at coverage for transition and However, it is impractical to change PI values and mea-
stuck-at patterns. sure POs when using a low-cost tester to test the high-
frequency chips at speed.
SeptemberOctober 2003
25