Anda di halaman 1dari 10

See

discussions, stats, and author profiles for this publication at: https://www.researchgate.net/publication/3250479

High-Frequency, At-Speed Scan Testing

Article in IEEE Design and Test of Computers October 2003


DOI: 10.1109/MDT.2003.1232252 Source: IEEE Xplore

CITATIONS READS

101 113

7 authors, including:

Janusz Rajski Thomas Rinderknecht


Mentor Graphics Mentor Graphics
315 PUBLICATIONS 7,738 CITATIONS 17 PUBLICATIONS 276 CITATIONS

SEE PROFILE SEE PROFILE

All content following this page was uploaded by Janusz Rajski on 21 July 2015.

The user has requested enhancement of the downloaded file. All in-text references underlined in blue are added to the original document
and are linked to publications on ResearchGate, letting you access and read them immediately.
High-Frequency, At-Speed
Scan Testing
Xijiang Lin, Ron Press, Janusz Rajski, Paul Reuter,
Thomas Rinderknecht, Bruce Swanson, and
Nagesh Tamarapalli
Mentor Graphics

Editors note: tic stuck-at scan testing and a limited


At-speed scan testing has demonstrated many successes in industry. One amount of functional test patterns for at-
key feature is its ability to use on-chip clock for accurate timing in the
speed testing to uncover these defective
application of test vectors in a tester. The authors describe new strategies
ICs. They often supplement these tests
where at-speed scan tests can be applied with internal PLLs. They present
techniques for optimizing ATPG across multiple clock domains and propose
with IDDQ tests, which detect many types
methodologies to combine both stuck-at-fault and delay-test vectors into an of defects, including some timing-related
effective test suite. ones.1 In the past, these tests effectively
Li-C. Wang, University of California, Santa Barbara screened out enough of the limited num-
ber of timing-related defects. However,
at the smaller geometry sizes of todays
IC MANUFACTURING TEST is changing, with an ICs, the number of timing-related defects is growing,2 a
increased emphasis on at-speed testing to maintain test problem exacerbated by the reduced effectiveness of
quality for larger, more complex chips and new fabrica- functional and IDDQ testing. Functional testing is less
tion processes. Growing gate counts and increasing tim- effective, because the difficulty and time to generate
ing defects with small fabrication technologies force these tests grows exponentially with increasing gate
improvements in test quality to maintain the quality level counts. The electrical properties of 0.13-micron and
of chips delivered to customers after testing. Improving smaller technologies have caused many companies to
the stuck-at test coverage alone still might leave too many rely less on IDDQ tests, because a defective devices cur-
timing-based defects undetected to reach quality goals. rent will be difcult to distinguish from the normal qui-
Therefore, at-speed testing is often necessary. escent current. Some have abandoned IDDQ tests
Scan-based ATPG solutions for at-speed testing ensure altogether for these small-geometry devices.
high test coverage and reasonable development effort. The main force behind the need for at-speed testing is
This article explores applying at-speed scan testing. We the defect characteristics of 0.13-micron and smaller tech-
introduce new strategies to optimize ATPG to apply spe- nologies that are causing more timing-related defects.3 For
cic clock sequences that are valid with the circuit oper- example, one study on a microprocessor design showed
ation. We also use these strategies to have ATPG generate that if scan-based at-speed tests were removed from the
tests that use internal clocking logic. Furthermore, we test program, the escape rate went up nearly 3%.4 This was
combine the same technique with programmable phase- on a chip with a 0.18-micron feature size.
locked loop (PLL) features to support applying high-fre- We can use functional tests to provide at-speed tests,
quency, at-speed tests from internal PLLs. As a result, we but the functional test development problem is explod-
can base the application of precise clocks for at-speed ing exponentially with chip growth. For a different micro-
tests on on-chip clock generator circuitry instead of testers. processor design, the development effort to create the
functional test set took three person-years to complete.5
Motivation for at-speed scan testing Furthermore, these tests consumed a large percentage
IC fabrication processes produce a given number of of the tester memory, and the test time to run them was
defective ICs. Many companies have gotten by with sta- signicant. Because the effort to create effective at-speed

0740-7475/03/$17.00 2003 IEEE Copublished by the IEEE CS and the IEEE CASS SeptemberOctober 2003
17
Speed Test and Speed Binning for DSM Designs

functional-test patterns is daunting, more companies are be easily applied from an external interface. Many
moving to at-speed scan-based testing. designs use an on-chip PLL to generate high-speed inter-
Logic BIST can perform at-speed test but is usually nal clocks from a far slower external reference signal.
combined with ATPG to get high enough coverage. The The problem of importing high-speed clock signals into
value of logic BIST is that it provides test capabilities, the device is also an issue during at-speed device test-
such as secure products or in-system testing, when tester ing. It is difficult and costly to mimic high-frequency
access is impossible. Logic BIST uses an on-chip pseudo- (PLL) clocks from a tester interface. Studies have shown
random pattern generator (PRPG) to generate pseudo- that both high-speed functional and at-speed scan tests
random data that loads into the scan chains. A second are necessary to achieve the highest test coverage pos-
multiple-input shift register (MISR) computes a signature sible.4 To control costs, more testing will move from cost-
based on the data that is shifted out of the scan chains. ly functional test to at-speed scan test. Some companies
There is reasonable speculation that supplementing have already led the way to new at-speed scan testing by
a high-quality test suite in production with logic BIST using on-chip PLLs.6 Although this is a new idea, it is gain-
might detect some additional unmodeled defects. At- ing acceptance and use in industry designs. These tech-
speed logic BIST is possible using internal PLLs to pro- niques are useful for any type of scan design, such as
duce many pseudorandom at-speed tests. However, mux-DFF or level-sensitive scan design (LSSD).
employing this test strategy requires additional deter- Because a delay tests purpose is to verify that the cir-
ministic at-speed tests to ensure higher test quality. This cuitry can operate at a specied clock speed, it makes
test strategy also requires adhering to strict design rule sense to use the actual on-chip clocks, if possible. You
checking and using on-chip hardware to increase testa- not only get more accurate clocks (and tests), but you
bility and avoid capturing unknown values. Some spec- also do not need any high-speed clocks from the tester.
ulate that logic BIST will improve defect coverage This lets you use less-sophisticated, and hence cheap-
because it will detect faults many times. However, er, testers. In this scenario, the tester provides the slow-
although it does provide multiple detections, they occur er test shift clocks and control signals, and the
only at the fault sites that are random-pattern testable. programmable on-chip clock circuitry provides the at-
Also, although logic BIST may be useful for transition speed launch and capture clocks.
fault testing, the low probability of sensitizing critical To handle these fast on-chip clocks, we have
paths with pseudorandom vectors makes logic BIST enhanced ATPG tools to deal with any combination of
unsuitable for path delay testing. clock sequences that on-chip logic might generate.6 The
Scan-based tests and ATPG provide a good general ATPG user must simply define the internal clocking
solution for at-speed testing. This approach is gaining events and sequences as well as the corresponding
industry acceptance and is a standard production test external signals or clocks that initiate these internal sig-
requirement at many companies. However, scan-based, nals. That way the clock control logic and PLL, or other
at-speed ATPG grows the pattern set size significantly. clock-generating circuitry, can be treated like a black
This is because it is more complicated to activate and box for ATPG purposes, and the pattern generation
propagate at-speed faults than stuck-at faults. Because process is simpler.
of this complexity, compressing multiple at-speed faults
per pattern is less efficient than for stuck-at faults. At-speed test methodology
Fortunately, embedded compression techniques can The two prominent fault models for at-speed scan
support at-speed scan-based testing without sacricing testing are the path-delay and transition fault models.
quality. When using any kind of embedded compres- Path delay patterns check the combined delay through
sion solution, however, engineers must take care not to a predened list of gates. It is unrealistic to expect to test
interfere with the functional design, because core logic every circuit path, because the number of paths increas-
changes can signicantly affect overall cost. es exponentially with circuit size. Therefore, it is com-
mon practice to select a limited number of paths using
Moving high-frequency clocking from a static timing-analysis tool that determines the most crit-
the tester to the chip ical paths in the circuit. Most paths begin and terminate
In the past, most devices were driven directly from an with sequential elements (scan cells), with a few paths
externally generated clock signal. However, the clock having primary inputs (PIs) for start points or primary
frequencies that high-performance ICs require cannot outputs (POs) for endpoints.

18 IEEE Design & Test of Computers


Capture
The transition fault model represents a gross delay

Launch
at every gate terminal. We test transition faults in much
the same way as path delay faults, but the pattern gen-
eration tools select the paths. Transition fault tests tar- Clock
get each gate terminal for a slow-to-rise or slow-to-fall
delay fault. Engineers use transition test patterns to nd Scan
manufacturing defects because such patterns check for enable
(SE) Shift Shift Last Capture Shift
delays at every gate terminal. Engineers use path delay
shift
patterns more for speed binning.
At-speed scan testing for both path-delay and transi- Figure 1. Launch-off-shift pattern timing.
tion faults requires patterns that launch a transition from
a scan cell or PI and then capture the transition at a scan
cell or PO. The key to performing at-speed testing is to

Capture
Launch
generate a pair of clock pulses for the launch and cap-
ture events. This can be complicated because modern
designs can contain several clocks operating at different
Clock
frequencies.
One method of applying the launch and capture events
is to use the last shift before capture (functional mode) as SE
the launch eventthat is, the launch-off-shift approach. Shift Shift Dead Shift
Figure 1 shows an example waveform for a launch-off-shift cycle
pattern for a mux-DFF type design; you can apply a simi-
lar approach to an LSSD. The scan-enable (SE) signal is Figure 2. Broadside-pattern timing.
high during test mode (shift) and low when in functional
mode. The gure also shows the launch clock skewed so
that its late in its cycle, and the capture clock is skewed so in functional mode. Adding extra dead cycles after the
that its early in its cycle. This skewing creates a higher last shift can give the SE additional time to settle.
launch-to-capture clock frequency than the standard shift Logic BIST and ATPG test can generate launch-off-
clock frequency. (Saxena et al.7 list more launch and cap- shift and broadside patterns. Logic BIST includes clock-
ture waveforms used by launch-off-shift approaches.) The control hardware to provide at-speed clocks from a PLL.
main advantage of this approach is simple test pattern gen- The clocks sequence is usually constructed in a BIST
eration. The main disadvantage (for mux-DFF designs) is approach such that the clocks that control a higher
that we must treat the SE signal as timing critical. When amount of logic will be pulsed more often during the
using a launch-off-shift approach, pipelining an SE within pseudorandom patterns. When using deterministic test
the circuit can simplify that SEs timing and design. pattern generation, an ATPG tool can perform the analy-
However, the nonfunctional logic related to operating SE sis to select the desired clock sequence on a per-pattern
at a high frequency can contribute to yield loss. basis to detect the specic target faults. ATPG can use
An alternate approach called broadside patterns programmable PLLs for at-speed clock generation if the
uses a pair of at-speed clock pulses in functional mode. PLL outputs are programmable. Both logic BIST and
Figure 2 shows an example waveform for a broadside ATPG generally shift at lower frequencies than the
pattern. Each clock waveform is crafted to test only a fastest at-speed capture frequencies to avoid power
subset of all possible edge relationships between the problems during shift. In addition, a fast shift frequen-
same and different clock domains. The rst pulse initi- cy would force high-speed design requirements for the
ates (launches) the transition at the targeted terminal, scan chain. It is the timing from launch to capture that is
and the second pulse captures the response at a scan important for accurate at-speed testing.
cell. This method also allows using the late and early
skewing of the launch and capture clocks within their Controlling complex clock-generator
cycles. The main advantage of this broadside approach circuits
is that the timing of the SE transition is no longer criti- To properly use high-frequency clocks that are gen-
cal, because the launch and capture clock pulses occur erated on chip, engineers must address several issues.

SeptemberOctober 2003
19
Speed Test and Speed Binning for DSM Designs

sequence can be issued along with the corresponding


External Internal sequence of external clocks or events (condition state-
ments) required to generate it. Using these procedures,
IC you can specify all legal clock sequences needed to test
System_clk PLL Clk1 the at-speed faults to the tool. The ATPG engine can per-
PLL
Begin_ac form pattern generation while only considering the
control
Scan_en Clk2 Design
core internal clocks, their legal sequences, and the internal
conditions that must be set up to produce the clock
Scan_clk1 sequence. The final scan patterns are saved using the
Scan_clk2
external clock sequences by automatically mapping
each internal clock sequence to its corresponding exter-
Figure 3. Phase-locked loop (PLL) clock generation with nal clock/control sequence. Using internal and exter-
internal and external clocks. nal clock sequences (plus control signals) is efficient
for behaviorally modeling the clock-generation logic so
that ATPG can create at-speed scan pat-
terns by using the on-chip clocks. This
240 ns method supports all types of clock gen-
eration logic, even the logic treated as a
System_clk black box in the ATPG tool.
Scan_en Figure 3 shows a simple example of a
Begin_ac programmable PLL used to generate mul-
Scan_clk1 tiple clock pulses from a single off-chip
Scan_clk2 clock. The programmability is usually a
Clk1 register-controlled clock-gating circuit
Clk2 that gates the PLL outputs.
Figure 4 presents the waveform show-
Slow Fast Fast Slow ing the relationship between the external
and internal clocks. The example shows
Figure 4. Waveform of clock-generation logic. a mux-DFF design with two internal
clocks and two scan clocks. A similar
waveform would exist for an LSSD. We
Sequences of multiple on-chip (internal) clock pulses can express this waveform to the ATPG tool as a single
are necessary to create the launch and capture events named-capture procedure and its associated timing def-
needed for at-speed scan patterns. Engineers can create initions for each cycle (timeplates). We use it to
them using various combinations of off-chip (external) describe a single clock sequence, as well as the timing
clocks and control signals. To generate an appropriate it uses, to the ATPG tool. If the PLL supports other clock
internal clock sequence, it is inefcient to have an ATPG sequences that are necessary to detect at-speed faults,
engine work back through complex clock generators to we can write other named-capture procedures for them.
determine the necessary external clock pulses and con- In the named-capture procedure, we can mark
trol signals for every pattern. Furthermore, you cannot some test cycles as slow. These cycles are not available
let the ATPG engine choose the internal clock sequences for at-speed launch or capture cycles. In other words,
without regard for the clock-generation logic, because we can mark the cycles that are not valid for at-speed
the ATPG engine might use internal clock sequences fault simulation detection. In some designs, the PLL
that cannot be created on chip. control signals are not supplied externally. Instead,
To solve these issues, we have implemented an inno- engineers design them using internal scan cells. To
vative ATPG approach that lets you specify legal clock avoid incorrect logic values, we can also use condition
sequences to the tool using one or more named-capture statements to force ATPG to load desired values in
procedures. These named-capture procedures describe those scan cells.
a sequence of events grouped in test cycles. Included Often, clock generation circuits require many exter-
in each procedure is the way the internal clock nal cycles to produce several internal pulses. Some cir-

20 IEEE Design & Test of Computers


cuits require more than 20 external cycles to produce
two or three internal clock pulses. The internal and 100 98.84%
external modes let the ATPG engine efciently perform
pattern generation without having to simulate the large 83.44%

Coverage (%)
number of external cycles. The number of internal and
50
external cycles within a named-capture procedure can
vary as long as the total times for internal and external
Stuck-at
are equal. This can dramatically improve pattern gen- Transition
eration for these types of circuits. 0
Nonintrusive macrotesting techniques provide a 0 2,000 4,000 6,000

method of applying at-speed test sequences to embed- No. of patterns


ded memories.8 These techniques use the circuits scan
logic to provide the desired pattern sequences, such as Figure 5. Stuck-at patterns followed by
march sequences, at the embedded memory. We can transition patterns.
also use named-capture procedures to control at-speed
clock events during macrotesting.

Merging at-speed patterns with 100 98.84%


Stuck-at
stuck-at patterns Transition
93.07%
With increasing speed-related defects, it is necessary 83.44%
Coverage (%)

to have at-speed test patterns such as transition patterns


50
in addition to the usual stuck-at patterns. The number
of transition patterns typically ranges from about three
to five times the number of stuck-at test patterns.
Transition patterns, however, also detect a significant 0
percentage of stuck-at faults. Thus, to minimize the over- 0 2,000 4,000 5,180 6,000

all test pattern count, we can merge the pattern sets for No. of patterns
multiple fault models.
Figure 5 illustrates the stuck-at test coverage prole Figure 6. Transition patterns with supplemental
for a half-million gate design with 45,000 scan cells. The stuck-at patterns.
gure shows that 2,000 patterns are required to achieve
98.84% stuck-at coverage. For this design, approximately
10,800 patterns, or about ve times the number of stuck- 98.84%. Thus, by rst generating transition patterns and
at test patterns, are required to achieve broadside tran- fault-simulating them for stuck-at faults, we can obtain
sition fault coverage of 87.86%. Assume that the tester a transition test coverage of 83.44% and a stuck-at test
memory capacity can store only 6,000 patterns. Then, coverage of 98.84% with 5,180 total patterns instead of
as Figure 5 shows, one solution is to apply the original 6,000 patterns.
2,000 stuck-at test patterns followed by a truncated tran- Figure 7 illustrates a general pattern generation ow
sition pattern set composed of 4,000 patterns, yielding for multiple-fault models, to achieve a compact pattern
transition test coverage of 83.44%. set. As Figure 7 shows, if path delay testing is desired,
The end test quality should be better with the test pat- then the pattern generation effort can commence with
tern set composed of stuck-at and transition patterns com- path delay ATPG. We can simulate the resulting path
pared to only stuck-at patterns. However, we can obtain delay pattern set against transition faults to eliminate
a more efcient compact pattern set because the transi- the transition faults that the path delay pattern set
tion patterns detect a signicant percentage of stuck-at detects from our target fault list. If the resulting transi-
faults as well. In fact, for this example, stuck-at fault sim- tion test coverage has not reached the target coverage,
ulation of the 4,000 transition patterns results in 93.07% we can perform ATPG for the remaining undetected
of stuck-at faults detected by the transition patterns. transition faults. Simulating the path delay patterns and
As Figure 6 illustrates, only 1,180 extra stuck-at pat- the transition patterns detects many of the stuck-at
terns are required to obtain final stuck-at coverage of faults. Furthermore, we perform ATPG for the unde-

SeptemberOctober 2003
21
Speed Test and Speed Binning for DSM Designs

grammable PLL that generates clocks for at-speed test-


Generate ing. The tester can hold no more than 15,000 test pat-
path delay patterns Path
Netlist terns. The test strategy requirements are as follows:
list
Grade for transition coverage
The nal test set will include two subtest sets, one for
testing the stuck-at faults and the other for testing the
Generate additional at-speed faults.
transition patterns Critical-path The highest priority is to get the best possible test cov-
patterns
Grade for stuck-at coverage erage for the stuck-at faults. This means the test set
Transition for stuck-at faults cannot be truncated if the test data
patterns volume in the final test set is larger than the tester
Generate additional Stuck-at memory.
stuck-at patterns patterns The transition fault model detects timing-related
defects.
The test coverage for the transition faults must be as
Pattern optimization
high as possible, provided the final test set fits into
the tester memory.
Figure 7. Efficient pattern generation for multiple-fault The broadside launch-and-capture method must be
models. used to generate at-speed patterns.
All values at PIs must remain unchanged, and all POs
are unobservable while applying the test patterns for
tected stuck-at faults if the target stuck-at coverage is not the transition faults. This is necessary for this exam-
reached. This methodology generates a compact pat- ple because the tester is not fast enough to provide
tern set across multiple fault models. PI values and strobe POs at speed.
Even with all the software compression techniques,
we might not be able to compress the pattern set During test generation for the stuck-at faults, we use
enough to fit them in the ATE memory. In those situa- both clock domain analysis and multiple clock-com-
tions, a novel hardware compression technique called pression techniques to generate the most compact test
embedded deterministic test (EDT) provides a dramatic set. The ATPG tool generates 7,557 test patterns that
reduction in test data volume. With this technique, we achieve 96.56% stuck-at test coverage.
can comfortably store the pattern set for several fault When generating test patterns for the transition
models. Thus, we can achieve high test quality while faults, we target only the faults in the same clock
simultaneously containing the test costs.9 domain. The ATPG tool detects these faults using the
same clock for launch and capture. However, the tran-
Case study sition fault test coverage can improve further if the tool
We use an industrial design to demonstrate how to considers faults that cross the clock domains. Testing
apply the named-capture procedures. Specically, we these faults requires sequences that use different launch
generate at-speed test patterns and describe a method- and capture clocks.
ology to t stuck-at and at-speed patterns into the tester Because the ATPG tool cannot detect faults in differ-
memory without requiring multiple loads of the test ent clock domains simultaneously by using a clock
data. The design has sequence with the same launch-and-capture clock, the
tool analyzes the fault list and classies it according to
16 scan chains; the clock domains, thus splitting up the fault list, before
70,178 scan cells; test generation. This lets several test generation process-
358 nonscan cells; es run in parallelone for the faults in each clock
ve internal clocks; domainwithout increasing the test pattern count. For
1,836,403 targeted stuck-at faults; and this experiments design, we classified the transition
2,196,668 targeted transition faults. faults into six groups: The first five groups contain the
faults for each clock domain; the last group (unclassi-
This chip was designed with an embedded pro- ed) contains all faults that do not fall into a single clock

22 IEEE Design & Test of Computers


domain. Table 1 gives the
Table 1. Transition fault distribution by clock domain.
fault classication results.
To test the faults in Clock domain No. of faults in domain Test coverage (%) No. of test patterns
each clock domain, we Clk1 1,255,898 85.21 2,165
defined five named-cap- Clk2 381,764 72.45 24,799
ture procedures. They Clk3 82,610 81.21 1,024
constrain the clock Clk4 50,628 77.73 638
sequences during test gen- Clk5 48,810 83.03 297
eration for each clock Unclassified 376,958 66.50 NA
domain. As an example, 66.72 106
the named-capture proce- Total 2,196,668 79.67 29,029
dure used to test the faults
in clock domain Clk1 con-
sists of two cycles. All
Table 2. Test generation results before test pattern truncation.
clocks except Clk1 are set
to their off state in those Test No. of test Stuck-at fault
two cycles, and Clk1 is Fault type coverage (%) patterns simulation coverage (%)
pulsed in the launch and Stuck-at 96.56 7,557 NA
capture cycles. Moreover, Transition 79.67 24,164 89.4
driving PIs and measuring
POs are disabled from
ATPG in the second cycle so that at-speed events do not the full set of stuck-at patterns. Thus, 28,045 test patterns
depend on high-speed tester interfaces. (24,164 + 3,881) were necessary to achieve the maximum
The last two columns in Table 1 show the test gen- possible stuck-at test coverage and the best possible tran-
eration results by applying the ve named-capture pro- sition test coverage.
cedures for each clock domain. Before generating the For this example, the tester can hold only 15,000 test
test patterns for the faults in the unclassied group, we patterns, and stuck-at test coverage cannot be sacri-
fault-simulated those faults by applying the test patterns ced. So the transition test set must be truncated to t
generated for each clock domain rst. The resulting test in the tester memory. To minimize the loss of transition
coverage for the unclassified fault group was 66.5%. test coverage due to test pattern truncation, we apply
Next, we generated 106 additional test patterns target- the following steps.
ing the remaining faults in the unclassified group to First, we apply a test pattern ordering technique10 to
improve the test coverage by 0.22%. In summary, ATPG order the stuck-at fault test set based on the stuck-at
generated 29,029 test patterns, and the transition test fault model. We record the test coverage curve after
coverage achieved was 79.67%. applying the ordered test set (Cstuck). Second, we apply
Table 2 summarizes the test generation results for the test pattern ordering technique to order the transi-
stuck-at and transition faults. The number of transition tion test patterns based on the transition fault model.
test patterns in Table 2 is the number after the static com- Third, we fault-grade the ordered transition test set by
paction of all generated transition test patterns (the stat- using the stuck-at fault model, and record the test cov-
ic compaction removed 4,865 redundant transition test erage curve (Ctran).
patterns). Because the test patterns generated for the tran- Fourth, we must determine the number of stuck-at
sition faults also detect many stuck-at faults, we must patterns (Nstuck) and transition patterns (Ntran) to reach
apply an independently created set of stuck-at test pat- the best possible transition test coverage while reach-
terns to target faults that the transition test patterns did ing the maximum stuck-at coverage (96.56%). The com-
not detect. Thus, the transition fault test patterns are fault- bination of stuck-at patterns and transition patterns
simulated for stuck-at test coverage, and 89.4% of the must be less than 15,000. We determine the pattern
stuck-at faults are detected with the transition patterns. count mix by using the curves obtained from the first
Next, we simulated faults in the original stuck-at test pat- and third steps, as Figure 8 shows. We begin by look-
terns and found that 3,881 test patterns were required to ing at a point on the Ctran curve that relates to a specic
detect the remaining 7.16% stuck-at faults covered with number of transition patterns (Ntran). This point will also

SeptemberOctober 2003
23
Speed Test and Speed Binning for DSM Designs

The nal test set of the design includes 15,000 test pat-
Stuck-at coverage for
stuck-at patterns (Cstuck) terns. The stuck-at test coverage achieved was 96.56%,
Stuck-at coverage grade and the transition test coverage was 78.28%. Due to the
for transition patterns (Ctran)
test pattern truncation required to t on the tester, 1.39%
100 of the possible transition test coverage was lost.
Stuck-at test coverage (%) Because the at-speed test strategy in this case holds
TC PI values constant, treats all POs as nonobservable, and
ignores the faults in cross-clock domains during test
50 generation for transition faults, the highest transition test
coverage achieved was only 79.67% before test pattern
truncation. However, the ATPG tool determined that
Ntran 99.91% of all transition faults were classied. This means
0
Nstuck that most of the undetected faults were ATPG
No. of patterns untestable. If we could remove these constraints, we
could substantially increase the transition test coverage.
Figure 8. Stuck-at coverage for transition and However, it is impractical to change PI values and mea-
stuck-at patterns. sure POs when using a low-cost tester to test the high-
frequency chips at speed.

dene the stuck-at test coverage, TC, if the Ntran patterns


are run. Next, we go to the Cstuck curve at the same test SCAN-BASED AT-SPEED TESTING is becoming an ef-
coverage. This represents the stuck-at coverage starting cient, effective technique for lowering the high cost of
point once the Ntran transition patterns are applied. The functional test in detecting timing-related defects. Its
number of stuck-at patterns from this point to the last important to reiterate the cost benet of using the on-chip
stuck-at pattern is Nstuck. It represents an approximation programmable PLL circuitry for test purposes. These high-
of the number of stuck-at patterns needed to supple- frequency clocks are available on chip instead of having
ment the transition patterns and achieve the maximum to come from a sophisticated piece of test equipment.
stuck-at test coverage. If (Ntran + Nstuck) is greater than Future work related to at-speed ATPG includes
15,000, then we select a smaller Ntran. If the sum is con- more-precise ATPG diagnostics of timing-related
siderably smaller than 15,000, then we select a higher defects to facilitate timing-defect failure analysis and
Ntran. We chose an Ntran of 8,307 for this experiment. The strategies to improve the quality and effectiveness of
transition test coverage and stuck-at test coverage at-speed ATPG. Researchers must determine how much
achieved by the 8,307 transition test patterns were yield loss occurs from at-speed tests of nonfunctional
77.15% and 88.95%, respectively. paths during launch-off-shift patterns, and what the
Fifth, once Ntran is determined, we target the stuck-at value is of detecting timing defects in nonfunctional
faults that the Ntran transition test patterns do not detect paths. Our results demonstrate that deterministic ATPG
but that the original stuck-at test patterns do. We per- targeting each stuck-at fault site multiple times will
form a new ATPG run to regenerate stuck-at test patterns reduce defects per million (DPM) more than single-
that detect them. The number of newly generated test fault detection. A follow-on to this work is to apply the
patterns is 3,372. (If performing an additional ATPG run multiple-detection ATPG technique to transition fault
is not desirable, we could simulate faults and select the testing. There are also investigations regarding merg-
test patterns from the original stuck-at test set that detect ing physical silicon information to identify potential
the remaining faults instead. For the design under the defect locations for ATPG and to aid in diagnosing
experiment, this would require approximately 4,234 test physical properties that cause defects.
patterns from the original stuck-at test set.)
Finally, because the total number of test patterns Acknowledgments
(8,307 plus 3,372) is less than 15,000, we add 3,321 extra We are grateful for discussions and contributions
test patterns from the ordered transition fault test set. from Cam L. Lu and Robert B. Benware of LSI Logic
This improves the transition test coverage from 77.15% regarding efficient merging of transition and stuck-at
to 78.28%. pattern sets.

24 IEEE Design & Test of Computers


References Janusz Rajski is a chief scientist
1. P. Nigh et al., Failure Analysis of Timing and IDDQ-Only and the director of engineering for the
Failures from the SEMATECH Test Methods Design-for-Test products group at
Experiments, Proc. Intl Test Conf. (ITC 98), IEEE Mentor Graphics. His research inter-
Press, 1998, pp. 43-52. ests include DFT and logic synthesis.
2. G. Aldrich and B. Cory, Improving Test Quality and He has a PhD in electrical engineering from Poznan
Reducing Escapes, Proc. Fabless Forum, Fabless University of Technology, Poznan, Poland.
Semiconductor Assoc., 2003, pp. 34-35.
3. R. Wilson, Delay-Fault Testing Mandatory, Author Paul Reuter is a staff engineer for
Claims, EE Design, 4 Dec 2002. the Design-for-Test products group at
4. J. Gatej et al., Evaluating ATE Features in Terms of Mentor Graphics. His research inter-
Test Escape Rates and Other Cost of Test Culprits, ests include ATPG, BIST, SoC test,
Proc. Intl Test Conf. (ITC 02), IEEE Press, 2002, pp. low-cost test solutions, and test data
1040-1048. standards. He has a BS in electrical engineering from
5. D. Belete et al., Use of DFT Techniques in Speed Grad- the University of Cincinnati.
ing a 1GHz+ Microprocessor, Proc. Intl Test Conf. (ITC
02), IEEE Press, 2002, pp. 1111-1119. Thomas Rinderknecht is a soft-
6. N. Tendolkar et al., Novel Techniques for Achieving ware development engineer for the
High At-Speed Transition Fault Test Coverage for Design-for-Test products group at
Motorolas Microprocessors Based on PowerPC Instruc- Mentor Graphics. His research inter-
tion Set Architecture, Proc. 20th IEEE VLSI Test Symp. ests focus on efficient implementations
(VTS 02), IEEE CS Press, 2002, pp. 3-8. of logic BIST. He has a BS in electrical engineering
7. J. Saxena et al., Scan-Based Transition Fault Testing: from Oregon State University.
Implementation and Low Cost Test Challenges, Proc. Intl
Test Conf. (ITC 02), IEEE Press, 2002, pp. 1120-1129. Bruce Swanson is a technical mar-
8. J. Boyer and R. Press, New Methods Test Small Memo- keting engineer for the Design-For-Test
ry Arrays, Proc. Test & Measurement World, Reed Busi- products group at Mentor Graphics.
ness Information, 2003, pp. 21-26. His research interests include at-speed
9. J. Rajski et al., Embedded Deterministic Test for Low test and compression techniques. He
Cost Manufacturing Test, Proc. Intl Test Conf. (ITC 02), has an MS in applied information management from the
IEEE Press, 2002, pp. 301-310. University of Oregon.
10. X. Lin et al., On Static Test Compaction and Test Pat-
tern Ordering for Scan Design, Proc. Intl Test Conf. Nagesh Tamarapalli is a technical
(ITC 01), IEEE Press, 2001, pp. 1088-1097. marketing engineer for the Design-for-
Test products group at Mentor Graph-
Xijiang Lin is a staff engineer for the ics. His research interests include all
Design-for-Test products group at aspects of DFT, BIST, and ATPG,
Mentor Graphics. His research inter- including defect-based testing and diagnosis. He has
ests include test generation, fault a PhD in electrical engineering from McGill University,
simulation, test compression, fault Montreal.
diagnosis, and DFT. He has a PhD in electrical and
computer engineering from the University of Iowa. Direct questions and comments about this article
to Ron Press, Mentor Graphics, 8005 SW Boeckman
Ron Press is the technical marketing Rd., Wilsonville, OR 97070; ron_press@mentorg.com.
manager for the Design-for-Test prod-
ucts group at Mentor Graphics. His
research interests include at-speed
test, intelligent ATPG, and macrotest- For further information on this or any other computing
ing. He has a BS in electrical engineering from the Uni- topic, visit our Digital Library at http://computer.org/
versity of Massachusetts, Amherst. publications/dlib.

SeptemberOctober 2003
25

View publication stats

Anda mungkin juga menyukai