Anda di halaman 1dari 6

2015 International Conference on Electrical Information and Communication Technology (EICT)

A Survey on Interconnect Encoding for Reducing


Power Consumption, Delay, and Crosstalk
Sujan Kumar Saha1, Bulbul Ahmed2, Jiangjiang Liu3
1,2Department of Electrical Engineering and 3Department of Computer Science
Lamar University
Beaumont, USA
Email: 1ssaha@lamar.edu, 2bahmed@lamar.edu, and 3jane.liu@lamar.edu

AbstractWith the advancement of technologies, interconnect delay and crosstalk. All methods are explained in Section II,
circuits are shrinking dramatically. Capacitances of Section III contains results reported by corresponding papers
interconnects are increasing due to minimized wire size and inter and a comprehensive comparison, followed by the conclusion
wire spacing. As a result, interconnect power consumption, delay, in Section IV.
and crosstalk are increasing significantly, which is affecting
overall interconnect performance. Various techniques such as
buffer insertion, shielding, skewing, and encoding are used to II. SELECTED BUS ENCODING METHODS
minimize delay, crosstalk, and power consumption. This paper
studies a set of encoding methods for reducing power A. Bus Invert
consumption, crosstalk, and delay, and also presents a Bus Invert encoding method [1] is one of the most widely
comprehensive comparison and analysis of these encoding used encoding methods. This encoding method is mainly
techniques. focused on reducing the number of transitions. According to
the method, only one control bit is needed to indicate the
Keywordsencoding, power, delay, bus invert, shielding, inversion. To implement Bus Invert encoding, first of all, we
weighted code mapping. need to calculate the hamming distance between the data of
two consecutive cycles. Hamming distance between the two
I. INTRODUCTION data strings of length n is the total number of positions at which
In Deep Sub-Micron (DSM) technology, the VLSI circuit the corresponding data are different. If the hamming distance is
components are now at the size of nanometer range. Along greater than n/2 where n is the number of the bus width or
with the components, interconnects are also shrinking very length of data string, set control bit=1 and invert all the bits of
quickly due to aggressive scaling down of technology. In this next cycle original data. If the hamming distance is less than
case, wire width is getting thinner but height is not decreasing n/2, then set control=0 and keep the data value as it is in the
so rapidly to keep resistance constant. As a result, the ratio of next cycle. At the receiver side, the contents of the bus must be
height to width is increasing prominently. Lots of research has conditionally inverted according to the control bit.
been accomplished on low power circuit designs, focusing on In the example figure 1, there are 9 transitions between
the reduction of circuit component power consumption. But, current cycle data and next cycle original data, which have
now in today's Deep sub-Micron technology, interconnect been marked as light blue. So, hamming distance is 9. In the
energy is no longer ignorable. Due to the dense placement of other 7 positions, the data is same as it is in the current cycle.
interconnects, coupling capacitance is increased, which As data length is 16 and hamming distance is greater than 8,
dominates the self capacitance of the wire. Consequently control bit will be equal to 1 and all the bits of next cycle
problems with crosstalk delay, noise and power consumption original data will be inverted. After the inversion hamming
arise which affects the overall circuit performance. distance is 7. Thus, due to inversion of the next cycle bits, 2
Coupling capacitance contributes to extra power self-transitions have been reduced.
consumption because of the different switching pattern of the As only one control bit is used to transfer the encoding
adjacent bus. Opposite transition between two adjacent buses information, area overhead is very small. Also this method
causes worst case cross talk and produces a large delay and doesnt require an extra clock cycle. Yet here, only self-
energy consumption in the interconnect. Different techniques transition is reduced, but crosstalk due to coupling capacitance
have been applied to minimize crosstalk, delay, and power
consumption. Some are at the circuit level and some are at the
Current
architecture level. Among these, bus encoding is a widely used 0 1 1 0 1 0 1 0 1 1 0 0 0 0 1 1
cycle data
method. This method is used to change the bit patterns of the
data so that self-transitions, charging, discharging, and toggle Next cycle
1 1 0 1 0 1 1 0 1 0 0 1 1 0 1 0
original data
transitions are reduced, which will eventually minimize power
consumption, delay, and also crosstalk in some instances. In Next cycle
1 0 0 1 0 1 0 0 1 0 1 1 0 0 1 0 1
this paper, a set of encoding methods will be discussed to encoded data
reduce power, and the rest of the methods are for minimizing Fig.1. Bus Invert Encoding (bus width 16 bit, control bit 1 )

978-1-4673-9257-0/15/$31.00 2015 IEEE

12
Current
between adjacent wires is not considered. cycle data
0 1 1 0 1 0 1 0 1 1 0 0 0 0 1 1

B. Odd Even Invert Next cycle


original data 1 1 0 1 0 1 1 0 1 0 0 1 1 0 1 0
Odd Even Invert [2] encoding is a modified version of Bus Next cycle
Invert encoding. This method is also focused on reducing the encoded data 1 1 0 0 1 0 1 0 0 1 0 1 1 0 0 1 0 1
number of transitions. As the number of transition is directly
Fig.3. Simple Odd Even Invert Encoding (bus width 16 bit, control bit 2)
proportional to power, it also reduces power consumption of
interconnects. The authors of this paper mentioned two 2) Calculated Odd Even Invert: Calculated Odd-Even Bus
encoding approaches, Simple Odd Even Invert and Calculated Invert [2] encoding is slightly different from Simple Odd-
Odd Even Invert. Even Bus Invert encoding. In Simple Odd Even Invert, only
Initially there are some common features of these two self transitions have been considered. Whereas in Calculated
encoding methods. First, we have to divide bus lines into two Odd Even Invert, coupling transitions are considered.
groups, odd lines and even lines. Bus lines are selected According to figure 2, there can be four types of inversions.
according to their position. Odd position lines go to the odd For each of the inversion types, the total number of coupling
group and even position lines go to the even group. For this transitions (charging and discharging) [2] are calculated
encoding method, two extra control lines are required to send between current cycle data and next cycle original data. Then
the encoding information. There are four possible cases with that inversion type is selected for encoding which has the least
two control lines: no bus lines are inverted (00), only odd lines
number of coupling transitions. For example, in figure 4,
are inverted (10), only even lines are inverted (01), and all
lines are inverted (11). We need to transfer the bits over the current and next cycle data are 0110101011000011 and
invert-lines according to what we have done. This information 1101011010011010. For 00 type inversion, the total coupling
needs to be transferred to decode the original bits. In the next transition is 20, for 01 type its 11, for 10 type its 15, and for
subsection, two encoding approaches have been described 11 type its 20. In this case it has been considered that one
separately. toggle transition is equivalent to 4 coupling transitions. As we
get an 11 transition for 01 type inversion, which is smallest, it
1) Simple Odd Even Invert: To implement simple Odd-
is selected as encoded data.
Even Bus Invert, only self transitions are considered. Coupling
transition is not considered in this method. Coupling transiton C. Temporal and Shielding Coding (TSC)
is the impact of one wire due to the transition of the adjacent The encoding approach of Sainarayanan et al. [4] is useful
wire. According to this encoding, if the number of self- for eliminating crosstalk delay. Table I shows crosstalk
transitions on the odd lines is more than or equal to the half of induced delay categorization of a wire due to two adjacent
odd lines, the odd lines are inverted, and if the number of self- lines. In this table represents 10 transition, represents
transitions on the even lines is more than or equal to the half 01 transition, and means there is no change of data bit.
of even lines, the even lines are inverted. Following the Also here, Cg is ground capacitance, R is total resistance of
wire, Cc is coupling capacitance, and is the ratio of Cc to Cg.
example of figure 3 makes it easy to understand the encoding.
The encoding method is developed to eliminate crosstalk class
In the example, there are 4 transitions in odd lines and 5 5 and 6. According to this approach, actual data to be
transitions in even lines. So all bus lines have been inverted transmitted is divided into groups of 3 bits. Encoded data is
and control bits are 11. After inversion, there are 7 transitions, transmitted in the first temporal cycle and the information of
which is less than the original number of transitions. Also, it encoding and shielding is transmitted in the 2nd temporal
should be noted that there was one toggle before inversion. cycle. So every 3-bit real data is encoded as 2 consecutive
After the inversion, the toggle is removed automatically. Still, groups of 3-bit data to be transmitted in two consecutive
if there is any toggle, then we need to apply the two phase temporal cycles. To avoid worst-case crosstalk in boundaries of
transfer method to remove the toggle. The two Phase transfer every 3-bit group, 0 is transmitted between two adjacent 3-bit
method delays one of the two adjacent lines by a half cycle. groups as a shielding bit. So this approach needs an extra 1-bit
So, this encoding method introduces some delay to eliminate line after every 3-bit group. That's 8-bit data will be encoded as
10-bit data length.
toggle. The amount of delay depends on the number of toggles
after inversion. For example, a data dt-1 = 101 0 100 0 00 is the encoded
data transmitted at time "t-1", and data dt = 01001101 is
original data to be transmitted at time "t". Before encoding
C0 C1

No Invert 0 0 Current
0 1 1 0 1 0 1 0 1 1 0 0 0 0 1 1
cycle data
Even Invert 0 1
Next cycle
1 1 0 1 0 1 1 0 1 0 0 1 1 0 1 0
Odd Invert 1 0 original data
Next cycle
Both Invert 1 1 encoded data 0 1 1 0 0 0 0 0 1 1 1 1 0 0 1 1 1 1
Fig.2. Control Bit of Odd Even Encoding Fig.4. Calculated Odd Even Invert Encoding (bus width 16 bit)

13
TABLE I. CROSSTALK CATEGORIZATION
Original Dala Block D1 1 1 1 0 0 0 0 0
CC Delay Pattern of switching
Original Data Block D2 1 0 1 1 1 1 0 1
1 0 , , , , ,
, , ,
2 CgR , 1 1 1 0 0 1
Encoded Data Block of
D1 TP1
3 CgR(1+) , , , 1 0 1 0 0 1

4 CgR(1+2) , ,,, , Zero Vector 0 0 0 0 0 0 TP2

5 CgR(1+3) , , , 1 1 0 1 1 1
Encoded Data Block of
D2 TP3
6 CgR(1+4) ,
1 1 0 0 1 1

Fig.6. Temporal Crosstalk Shielding (bus width 8 bit)


this, both data will be checked for crosstalk class according to
the crosstalk categorization table. As in this case, the crosstalk method is also developed to eliminate crosstalk in class 5 and 6
class is greater than 1, so 3-bit groups will be inverted as the of Table I.
encoded data 101 0 100 0 01 and transmitted in the first If data D1 was transmitted at time Tt-1 and D2 is to be
temporal cycle. The 2nd temporal cycle contains the encoding transmitted at Tt, D2 is encoded according to following Table
and shielding information. If the data is inverted, in the 2nd II proposed by this approach. Here each 4-bit block is encoded
temporal cycle in every 3 bit groups, 3rd bit will be set to 1; into two 3-bit blocks. As the original data block is encoded into
otherwise it will be 0, and the first and second bits are kept two data blocks, 4 cycles are needed to transmit two original
same to the 1st temporal cycle so that there is no transition. So, data, and for these 4 transmissions, there are 3 transitions. The
for the encoded data, 2nd temporal cycle data will be 101 0 101 transition between the first transmission to the second one is
0 01. Finally, given data dt will be encoded as 2 consecutive named Transition Pattern 1(TP1), between 2nd and 3rd is TP2,
temporal cycle data. and between 3rd and 4th is TP3. The Encoding Table is formed
This method is further modified by adding two extra bit in such a way that there is no opposite transition between two
lines into both sides of the shielding bit. These extra bits are adjacent blocks. Thus at TP1 and TP3, crosstalk class 5 and 6
inserted in such a way so that the transition is same as the are eliminated. This crosstalk may only happen at TP2. A
boundary bits of the 3-bit group. This modified approach can crosstalk analyzer is used to detect crosstalk class 5 and 6; if
eliminate crosstalk class 5, 6, and also crosstalk class 4 as well found, then it sends a crosstalk identification vector before the
as any transition pattern that is , , , , , . next data is transmitted. To eliminate class 5 and 6 crosstalk, a
However these methods do not include inductance effect, Zero vector is transmitted between those affected data. At the
increase bit lines in modified approach and eventually increase receiver end, according to the decode bit, the decoder detects
the area overhead. whether it receives zero vector or useful data. If zero vector is
received, then it is discarded, and if it receives other than zero
D. Temporal Crosstalk Shielding(TCS) vector, the data is stored and using stored data and bus data, the
original data is recovered. This approach is efficient for
Najeeb et al. [5] reduces both power and crosstalk delay by addressing bus delay reduction because there is less crosstalk
reducing bus width and using the temporal shielding approach. and it improves power efficiency for the data bus.
Original data is divided into several blocks before encoding.
Then the data is encoded into two data packets and transmitted E. Variable Cycle Transmission with Hybrid Spatial
over two consecutive clock cycles. For example, the original
Temporal Redundancy (VCT_HSTR)
data of n bits is divided into k bit blocks, then the number of
groups are now n/k and encoded into two m bit data blocks. Zhang et al. [6] attempted to reduce both energy and delay.
This is done in such a way so that n is a multiple of k and m is Thats why the encoding method proposed in [6] combines
less than k. As the encoded bit m is less than k, active bus Weighted Code Mapping (WCM) [13] with temporal
width is reduced. Keeping bus area constant, spacing among redundancy. The Weighted Code Mapping focuses on
wires is increased so that coupling capacitance decrease. This reducing energy, whereas Temporal Redundancy reduces
delay. The WCM algorithm relies on probability distribution of
the data pattern. All patterns do not have the same probability
Current cycle data 1 0 1 1 0 0 0 0
of occurring. Suppose for the data set {00, 01, 10, 11}, the
probability set is {0.1, 0.4, 0.4, 0.1}. Each value of the
Next cycle original data 0 1 0 0 1 1 0 1 probability set represents the corresponding probability of the
data value. So, the more probable data is mapped to a code
Next cycle encoded data 1 0 1 0 1 0 0 0 0 1 word, which has less number of transitions among the bits. The
authors also mentioned that one easy way of getting WCM
Encoding information 1 0 1 0 1 0 1 0 0 1 code is to perform bitwise XOR operation between two
Fig.5. Temporal and Shielding Coding (bus width 8 bit, shielding bit 2) consecutive cycle data.

14
TABLE II. TEMPORAL CROSSTALK SHIELDING ENCODING TABLE

4-bit 3 bit 4-bit 3 bit 4-bit 3 bit 4-bit 3 bit


Original data Encoded Original data Encoded Original data Encoded Original data Encoded
data data data data
0000 001 0100 011 1000 100 1100 111
001 001 100 001
0001 001 0101 011 1001 100 1101 111
011 011 110 011
0010 001 0110 011 1010 110 1110 111
101 111 000 101
0011 001 0111 100 1011 110 1111 111
111 000 110 111

After getting the code words, the delay between two a1a2aiai+1.an, ai=0,1}, where i denotes the index of the
consecutive codes are measured using the crosstalk delay types opposite transition bit lines. The W will be an opposite
mentioned in Table I. If the delay is greater than type 4, then a transition forbidden (OTF) codebook if it doesnt have 2
temporal redundant code is inserted between the code words. transitions with each other. In the original WCM coding, the
Before doing this, it also checks whether the delay is type 6 or codes are selected in such a way that transition energy is
not. If the delay is type 6, then the 2nd code word is inverted; minimized.
otherwise its not. The temporal redundant code is either all
zeros or all ones. It is decided based on the total delay of three According to this encoding method, after selecting WCM
codes, each code is checked whether it is OTF or not. If it is
consecutive codes (current code, temporal code, and next
code). By using the following example of figure, it will be easy OTF, then it is selected as a mapping code for a particular data
pattern. As the codes of OTF codebook are guaranteed not to
to understand the encoding method. Suppose two consecutive
WCM codes are 101111000 and 010001000. As the delay have type 5 and 6 crosstalk delay, it can be said that this
encoding method is optimized for both energy and delay.
between the codes is type 6, the 2nd one is inverted. So now
the 2nd one is 101110111. Then the total delay of the two sets
{101111000, 000000000, 101110111} and {101111000, G. Encoding for Crosstalk
111111111, 101110111} is measured, where 000000000 and Verma et al. [7] proposed an encoding method to eliminate
111111111are temporal codes. The set which has less delay is worst-case crosstalk by reducing actual data bit lines. Required
selected as encoded data. In this example, the set {101111000, components are counter, controller, comparator, and register to
000000000, 101110111} has less delay. So this is the encoded design the encoder. Counter counts the number of 0s and 1s in
data. input data lines and feeds these two inputs to the controller.
Controller output follows the number, which one is higher. For
F. Opposite Transition Forbidden Weighted Code Mapping example, if the number of 1s is greater than the number of 0s,
(OTF_WCM) controller output is set to 1 and vice versa. Then controller
output is fed to the comparator and also to the decoder. Actual
This method [6] is a slightly modified version of WCM
data lines are fed into the comparator along with the controller
coding. The original WCM encoding method doesnt guarantee
output. In the comparator, the controller output is compared
to eliminate crosstalk type 5 and 6. This encoding method
with every bit in actual data lines to get the inverted bit
generates a WCM codebook that doesnt have an opposite
positions.
transition pattern. As a result, all crosstalk type 5 and 6 and
some of type 4 are eliminated. If W denotes the set of code For example, in the 8-bit data 10110101, the number of
words of n bits, W can be represented as W ={w | w = 1s is 5, which is greater than the number of 0s, which is 3. The
controller output is set to 1 and is fed into the comparator along
Current Cycle WCM Code 1 0 1 1 1 1 0 0 0 with original data to be compared. XOR operation between
controller output and original data is performed at comparator,
and the positions where controller output does not match are
Next Cycle WCM Code 0 1 0 0 0 1 0 1 0 the inverted bit positions. In this example, inverted bit positions
are 1, 3, and 7 if we set the index from LSB to MSB. So, in
Fig. 7. Transition between two WCM code
binary form, 001, 011, and 110 are stored in three registers and
then transferred to the decoder for retrieving original data.
Although this method reduces actual bus width, for the use of
Current Cycle WCM Code 1 0 1 1 1 1 0 0 0
register, area overhead increases and to transfer register bits, an
extra clock cycle is introduced.
Temporal Code 0 0 0 0 0 0 0 0 0
H. Crosstalk Quantitive Approach
Next Cycle WCM Code 0 1 0 0 0 1 0 1 0
In the previous encoding methods, the inductive effect was
not considered. As operating frequency is increasing in recent
Fig. 8. VCT_HSTR Encoding (bus width 9 bit) days, the inductance of the wires is also adding noise and

15
between the next cycle original data and next cycle inverted
Current Cycle Data 0 0 1 1 0 1 0 1 0
data. And the lesser one is selected to transfer to the bus using
the MUX. The comparator output is used as the selector of
Next Cycle Non- MUX. So, for the above example, inverted data will be selected
inverted data 0 1 1 0 1 0 1 1 0 as encoded data.

Current Cycle Data 0 0 1 1 0 1 0 1 0 III. ANALYSIS AND COMPARISON OF ENCODING METHODS


In the previous section, we have explained the algorithm
and working principle of all the encoding methods. In this
Next Cycle Non-
inverted data 1 0 0 1 0 1 0 0 1 section, the important results reported by the authors and their
comparison will be described. In Table III, the power,
Fig.9. Crosstalk quantitative approach crosstalk, and delay reduction of all the methods have been
delay. Ruan et al. [8] considered the inductance effect as well presented. The area overhead, power consumption, delay, extra
as capacitance to determine the encoded data. They used the control line, and cycle number induced by the codec are also
RLC model instead of the RC model to represent bus lines. Just mentioned. From the table, we can say that, the Temporal
like the two capacitances (self and coupling), there are also two Crosstalk Shielding and VCT_HSTR encoding approaches
kinds of inductances, self-inductance and mutual inductance. improve power efficiency of the bus significantly. They can
Total inductance is the summation of self-inductance and reduce power consumption up to 46% and 45.47%. On the
mutual inductance between wires. But capacitance and other hand, Temporal and Shielding Coding and OTF_WCM
inductance effects are not dominant at the same time. When are more efficient in reducing delay. Both of the methods can
data of two adjacent wires changes towards the opposite reduce delay by about 49%.
direction (zero to one and one to zero), the capacitance effect Most of the methods didnt measure crosstalk separately.
dominates over the inductance effect. On the other hand, when Only the last two methods showed crosstalk reduction. Among
data of adjacent lines changes towards the same direction (both these, Encoding for Crosstalk can reduce crosstalk by 35% to
zero to one or one to zero) the inductance effect dominates over 40% and Crosstalk Quantitative Encoding can reduce up to
the capacitance effect. Thats why both effects have been 14%. On the other hand, in Temporal and Shielding Coding
considered to define the cost function. The cost function is the (TSC) and Temporal Crosstalk Shielding (TCS), codec area
summation of the toggle number multiplied by 2 and the and codec induced delay has been measured directly in m2
maximum of switch up and switch down. Switch up means units and time units. TSC takes less area and induces less
01, and switch down means 10. delay compared to TCS. The other two methods, VCT_HSTR
Cost = Toggle#2+Max(Switchup #,Switchdown #) (1) and OTF_WCM measured area in terms of a NAND gate
count. In Temporal and shielding coding, though power
The major components of the encoder are pattern generator, reduction was not measured, codec power consumption is
two cost estimators, one comparator, one MUX, and one Latch. 1.1mW, which is less than the other methods, and there is no
Using the Latch, current cycle data is transferred to both cost need for an extra line for this encoding method. Again,
estimators. On the other hand, the pattern generator generates Temporal Crosstalk Shielding can reduce the number of bus
two data for two cost estimators. line by 25%. This means for each 4-bit data, the encoded data
One is non-inverted next cycle data with control bit 0, and is 3-bit, but codec area and power overhead is higher than the
the other one is inverted next cycle data with control bit 1. other methods. Though the OTF_WCM can reduce delay by
These two data are transferred to the two cost estimators. Thus, 48.46%, it needs an extra 7 lines for a 16-bit wide bus. Most of
using the current cycle and next cycle data, the cost estimator the encoding methods dont add extra cycles to transfer
calculates the cost according to the above mentioned formula. encoded data. Only in TCS, VCT_HSTR, and Encoding for
The following example of 8-bit data illustrates the encoding Crosstalk methods, extra cycles are required to send encoded
method completely. After calculating the cost, these are data.
transferred to the comparator to determine which one costs less

TABLE III. RESULT COMPARISON OF SELECTED ENCODING METHODS

Encoding Method Power Crosstalk Delay Codec Area Codec Codec Extra Extra
Power Induced Control Cycle
Delay Line
Bus Invert 25% - - - - - 1 0
Simple Odd Even Invert 32% - - - - - 2 0
Calculated Odd Even Invert 30% - - - 5% - 2 0
Temporal & Shielding Coding - - 22-49% 871m2 1.1mW 237ps 33.3% 1
Temporal Crosstalk Shielding 46% - 11% 2127.2m2 5.7mW 1.2ns -25% 1~2
VCT Encoding with HSTR 45.47% - 28.15% 200116 - - 2 0~1
OTF_WCM 38.46% - 48.46% 217147 - - 7 0
Encoding for Crosstalk - 35-40% - - - - 0 0~2
Crosstalk Quantitative Encoding 11-19% 11-14% 7-19% - 1.1-8.6 - 1 0

16
[4] K. S. Sainarayanan, C. Raghunandan, and M. B. Srinivas, "Bus
IV. CONCLUSION encoding schemes for minimizing delay in VLSI interconnects," in Proc.
ACM Conference on Integrated circuits and systems design, Sept. 2007.
In today's deep submicron technology, a significant amount pp. 184-189
of power is consumed in interconnect due to crosstalk. In this [5] K. Najeeb, Vishal Gupta, and V. Kamakoti, "Delay and peak power
paper, we surveyed several methods for increasing energy and minimization for on-chip buses using temporal redundancy," in Proc.
delay efficiency in modern VLSI interconnects. Our study ACM Great Lakes symposium on VLSI, April. 2006, pp. 119-122.
shows differences, similarities, and specific ways of reducing [6] J. Zhang, Q. Wu, and Q. Qiu, "Bus encoding for simultaneous delay and
interconnect power consumption and delay among different energy optimization," in Proc. Int. Symp. on Low Power Electronics and
Design, Aug. 2008, pp. 209-212.
research works. Based on our survey, we tried to present a
comparative study of all methods. We selected some research [7] S. K. Verma and B. K. Kaushik, "A bus encoding method for crosstalk
and power reduction in RC coupled VLSI interconnects," International
works accomplished over the past few years. Among them, we Journal of VLSI design & Communication Systems 3, no. 2, pp. 29-39.
classified 7 research works based on 8 criteria including power, 2012.
crosstalk, delay, codec area, codec power, codec induced delay, [8] S. J. Ruan, T. C. Kan, and J. C. Hsu, "A novel crosstalk quantitative
extra control line, and extra clock cycle. Most of the techniques approach for simultaneously reducing power, noise, and delay based on
we presented focus on power consumption reduction, and the bus-invert encoding schemes," in Proc. Int. Great lakes Symp. on VLSI,
rest are based on delay reduction. May 2010, pp. 357-360.
[9] H. S. Deogun, R. R. Rao, D. Sylvester, and D. Blaauw, "Leakage-and
crosstalk-aware bus encoding for total power reduction," in Proc.
REFERENCES Annual Design Automation Conference, Jun. 2004, pp. 779-782.
[1] M.R. Stan, W.P. Burleson, "Bus-invert coding for low-power I/O," IEEE [10] M. Cha, C. G. Lyuh, and T. Kim, "Resource-constrained low-power bus
Trans. Very Large Scale Integration (VLSI) Systems, vol. 3, no. 1, pp. encoding with crosstalk delay elimination," in Proc. IEEE Asia and
49-58, March 1995. South Pacific Design Automation Conference, Jan. 2004, pp. 834-837.
[2] Z. Yan , J. Lach, K. Skadron, and M.R. Stan, "Odd/Even bus invert with [11] C. Duan, C. Zhu, and S. P. Khatri, "Forbidden transition free crosstalk
two-phase transfer for buses with coupling," in Proc. Int. Symp. On Low avoidance CODEC design," in Proc. Annual Design Automation
Power Electronics and Design, Aug. 2002, pp.80-83. Conference, Jun. 2008, pp. 986-991.
[3] S.K. Verma, B.K. Kaushik, "Crosstalk and power reduction using bus [12] D. C. Suresh, B. Agrawal, J. Yang, and W. Najjar, "Energy-efficient
encoding in RC coupled VLSI interconnects," in Proc. Int. Conf. encoding techniques for off-chip data buses," ACM Trans. Embedded
Emerging Trends in Engineering and Technology, Nov. 2010. pp.735- Computing Systems (TECS), vol. 8(2), no. 9, 2009.
740.

17

Anda mungkin juga menyukai