Anda di halaman 1dari 440

For E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,

GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com

MULTIPLE CHOICE QUESTION

Electronics & Communication Engineering

Fifth Edition

R. K. Kanodia
B.Tech.

NODIA & COMAPNY


JAIPUR

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
For E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com

MRP 400.00

Price 550.00

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
For E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
For E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
For E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
For E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
For E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
For E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
For E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com

CHAPTER

1.1
BASIC CONCEPTS

1. A solid copper sphere, 10 cm in diameter is deprived (A) 1 A (B) 2 A

of 10 20
electrons by a charging scheme. The charge on (C) 3 A (D) 4 A
the sphere is
6. In the circuit of fig P1.1.6 a charge of 600 C is
(A) 160.2 C (B) 160.2 C
delivered to the 100 V source in a 1 minute. The value
(C) 16.02 C (D) 16.02 C
of v1 must be
v1
2. A lightning bolt carrying 15,000 A lasts for 100 s. If
the lightning strikes an airplane flying at 2 km, the
charge deposited on the plane is
20  60 V
(A) 13.33 C (B) 75 C
(C) 1500 C (D) 1.5 C

100 V
3. If 120 C of charge passes through an electric
Fig. P.1.1.6
conductor in 60 sec, the current in the conductor is
(A) 0.5 A (B) 2 A
(C) 3.33 mA (D) 0.3 mA (A) 240 V (B) 120 V
(C) 60 V (D) 30 V
4. The energy required to move 120 coulomb through
3 V is 7. In the circuit of the fig P1.1.7, the value of the
(A) 25 mJ (B) 360 J voltage source E is

(C) 40 J (D) 2.78 mJ 0V


+
+

1V

2V
5. i  ?
+
E

1A 5V
i 4V
+
+

10 V
2A 5A
Fig. P.1.1.7
3A 4A

(A) 16 V (b) 4 V


Fig. P.1.1.5
(C) 6 V (D) 16 V
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
3
For E-books/Materials/Notes-PDFs|PPTs
UNIT 1 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Networks

8. Consider the circuit graph shown in fig. P1.1.8. Each 12. v1  ?


branch of circuit graph represent a circuit element. The + v1

value of voltage v1 is 1 k
k
7V 2
+ 105 V 15 V + 10 V +
+ + +
8V 5V
65 V 55 V 35 V
6V
100 V + v1 k
3

4 k

30
V
30

V

+

Fig. P1.1.12
Fig. P.1.1.8

(A) 11 V (B) 5 V


(A) 30 V (B) 25 V
(C) 8 V (D) 18 V
(C) 20 V (D) 15 V

13. The voltage vo in fig. P1.1.11 is always equal to


9. For the circuit shown in fig P.1.1.9 the value of
voltage vo is 1A
4
5 +
+ vo 5V

1A
vo 15 V

Fig. P1.1.11

Fig. P.1.1.9 (A) 1 V (B) 5 V


(C) 9 V (D) None of the above
(A) 10 V (B) 15 V
14. Req  ?
(C) 20 V (D) None of the above
5 10  10  10 

10. R1  ?
60  Req
10  10  10  up to 
+
R1

100 V 70 V
+ Fig. P1.1.14
R2 20 V
(A) 11.86  (B) 10 

(C) 25  (D) 11.18 
Fig. P.1.1.10

15. vs  ?
(A) 25  (B) 50  180 

(C) 100  (D) 2000  +


60  20 V

11. Twelve 6  resistor are used as edge to form a cube. vs 40 
The resistance between two diagonally opposite corner
90  180 
of the cube is
5 6
(A)  (B)  Fig. P.1.1.15
6 5
(A) 320 V (B) 280 V
(C) 5  (D) 6 
(C) 240 V (D) 200 V

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
4
For E-books/Materials/Notes-PDFs|PPTs
UNIT 1 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Networks

24. Let i( t)  3te100 t A and v( t)  0.6(0.01  t) e 100 t V for 28. vab  ?


a
the network of fig. P.1.1.24. The power being absorbed
by the network element at t  5 ms is

2
R


i 0.2i1
A i1
2
+ b
v N
8 0.3i1
A

2



6
Fig. P.1.1.24

(A) 18.4 W (B) 9.2 W Fig. P.1.1.28

(C) 16.6 W (D) 8.3 W (A) 15.4 V (B) 2.6 V


(C) 2.6 V (D) 15.4 V
25. In the circuit of fig. P.1.1.25 bulb A uses 36 W when
lit, bulb B uses 24 W when lit, and bulb C uses 14.4 W 29. In the circuit of fig. P.1.1.29 power is delivered by
when lit. The additional A bulbs in parallel to this 500  400 
circuit, that would be required to blow the fuse is
20 A ix

40 V 2ix 200 

12 V

A B C
Fig. P.1.1.29

Fig. P.1.1.25 (A) dependent source of 192 W


(A) 4 (B) 5 (B) dependent source of 368 W
(C) 6 (D) 7 (C) independent source of 16 W
(D) independent source of 40 W
26. In the circuit of fig. P.1.1.26, the power absorbed by
the load RL is 30. The dependent source in fig. P.1.1.30
i1

5

1V 1 2i1 RL = 2 
v1
20 V v1 5
5

Fig. P.1.1.26

(A) 2 W (B) 4 W Fig. P.1.1.30

(C) 6 W (D) 8 W (A) delivers 80 W (B) delivers 40 W


(C) absorbs 40 W (D) absorbs 80 W
27. vo  ?
31. In the circuit of fig. P.1.1.31 dependent source
+ + +
5 v1 0.3v1 8 v2 5v2 18  vo + 8V
0.2 A

ix

Fig. P.1.1.27 4A 2ix

(A) 6 V (B) 6 V Fig. P.1.1.31


(C) 12 V (D) 12 V (A) supplies 16 W (B) absorbs 16 W
(C) supplies 32 W (D) absorbs 32 W
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
6
ForBasic
E-books/Materials/Notes-PDFs|PPTs
Concepts Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 1.1

32. A capacitor is charged by a constant current of 2 mA 36. The waveform for the current in a 200 F capacitor
and results in a voltage increase of 12 V in a 10 sec is shown in fig. P.1.1.36 The waveform for the capacitor
interval. The value of capacitance is voltage is
(A) 0.75 mF (B) 1.33 mF i(mA)
5
(C) 0.6 mF (D) 1.67 mF

33. The energy required to charge a 10 F capacitor to 4


t(ms)

100 V is Fig. P. 1.1.36


(A) 0.10 J (B) 0.05 J v v
9 9
(C) 5 10 J (D) 10 10 J 5 50m

34. The current in a 100 F capacitor is shown in fig. t(ms) t(ms)


4 4
P.1.1.34. If capacitor is initially uncharged, then the (A) (B)
v v
waveform for the voltage across it is
250 50m
i(mA)

t(ms) t(ms)
4 4

t(ms) (C) (D)


2
Fig. P. 1.1.34

v v 37. Ceq  ?
10 10
2.5 F

t(ms) t(ms)
2 4 2 4

(A) (B) 1.5 F 2 F


v v
0.2 0.2 Ceq 1 F

t(ms) t(ms)
2 4 2 4
(C) (D)
Fig. P.1.1.37
35. The voltage across a 100 F capacitor is shown in
fig. P.1.1.35. The waveform for the current in the
(A) 3.5 F (B) 1.2 F
capacitor is
(C) 2.4 F (D) 2.6 F
v
6
38. In the circuit shown in fig. P.1.1.38
t(ms)
1 2 3 iin ( t)  300 sin 20 t mA, for t 0.
Fig. P.1.1.35 C2 C2 C2 C2

i(mA) i(mA) +
6 600
iin vin C1 C1 C1 C1 60 mF
t(ms) t(ms)
1 2 3 1 2 3

(A) (B)
Fig. P. 1.1.38
i(mA) i(mA)
6 600

2 3 2 3 Let C1  40 F and C2  30 F. All capacitors are


t(ms) t(ms)
1 1
initially uncharged. The vin ( t) would be
(A) 0.25cos 20t V (B) 0.25cos 20t V
(C) (D)
(C) 36cos 20t mV (D) 36cos 20t mV
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
7
ForBasic
E-books/Materials/Notes-PDFs|PPTs
Concepts Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 1.1

v3  30  v2  v3  65 V
SOLUTIONS 105  v4  v3  65  0  v4  25 V
v4  15  55  v1  0  v1  15 V
1. (C) n  10 20 , Q  ne  e10 20  16.02 C
Charge on sphere will be positive. 9. (B) Voltage is constant because of 15 V source.

2. (D) Q  i  t  15000 100  15


. C 10. (C) Voltage across 60  resistor  30 V
30
Current   0.5 A
dQ 120 60
3. (B) i   2 A
dt 60 Voltage across R1 is  70  20  50 V
50
R1   100 
4. (B) W  Qv  360 J 0.5

6. (A) 11. (C) The current i will be distributed in the cube


branches symmetrically
1A
i=1A i
i 3
2A 5A a

i
3A 4A 3
6A
1A
i
2A 3
i
Fig. S 1.1.5 6

6. (A) In order for 600 C charge to be delivered to the


100 V source, the current must be anticlockwise. i i
b
dQ 600 3
i   10 A
dt 60 Fig. S. 1.1.11

Applying KVL we get


6i 6i 6i
v1  60  100  10 20 or v1  240 V vab     5 i,
3 6 3
v
7. (A) Going from 10 V to 0 V Req  ab  5 
i
0V
+
+

1V

2V 12. (C) If we go from +side of 1 k through 7 V, 6 V and


+
E
5 V, we get v1  7  6  5  8 V

4V 5V 13. (D) It is not possible to determine the voltage across


+
+

10 V 1 A source.
Fig. S 1.1.7
10 ( Req  5)
10  5  E  1  0 or E  16 V 14. (D) Req  5 
10  5  Req
5 5
8. (D) 100  65  v2  v2  35 V
+ 105 V 15 V + 10 V +
+ +
+ Req
10  Req
65 V v4 55 V

100 V + v3 + v1
+
+

30
V

v2 Fig. S 1.1.14
30

V

+


 Req2  15 Req  5 Req  75  10 Req  50
Fig. S 1.1.8
 Req  125  1118
. 

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
9
ForBasic
E-books/Materials/Notes-PDFs|PPTs
Concepts Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 1.1

vo  20 vo 20 We can say Cd  20 mF, Ceq  20  40  60 mF


   vo  20 V
5 5 5 1 1  300 
vC   idt  cos 20 t  10 3   0.25 cos 20 t V
v1 20 C 60m  20 
Power is P  vo  20  80 W
5 5
iin C1
39. (C) iC1   0.8 sin 600 t mA
31. (D) Power P  vi  2 ix ix  2 ix2 C1  C2
ix  4 A, P  32 W (absorb) At t  2 ms, iC1  0.75 mA
t2
1 1 vin C2 4 vin vc1
32. (D) vt 2  vt1 
C  idt
t1
 12 
C
2m ( t2  t1 ) 40. (B) vC1  
C1  C2 6  4

vin
 0.4

 12 C  2m 10  C  1.67 mF
Q
41. (D) V  2  3  5  10, Q  1 C, C   0.1 F
1 V
33. (B) E  Cv 2  5 10 6 100 2  0.05 J
2
di 200m
42. (A) vL  L  100m  L  L  2 mH
2m 3 dt 4m
1 10 10
0 idt  100 10 6 (2 10 )  0.2 V
3
34. (D) vc 
c
di
This 0.2 V increases linearly from 0 to 0.2 V. Then 43. (B) vL  L  0.01 2( 377 cos 377 t) V
dt
current is zero. So capacitor hold this voltage.  7.54 cos 377 t V

dv
35. (D) i  C 1 1 12000
L 0.01 
dt 44. (A) i  vdt  120 cos 3t dt  sin 377 t
377
dv 6 0 12000 120
For 0  t  1 , C  100 10 6  600 mA
dt 10 3  0 P  vi  sin 377 tcos 377 t
377
For 1 ms  t  2 ms,  1910 sin 754 t W
dv 06
C  100 10 6   600 mA
dt ( 3  2)m diL dv
45. (D) vL  L , iC  C C
dt dt
36. (B) For 0  t  4, d 2 iL
vC  3vL  iC  3 LC   9.6 sin 4 t A
1 1 5m dt
vC   idt   4m tdt  3125 t
2

C 200 10 6
diL
At t  4 ms, vc  0.05 V 46. (B) vL  L
dt
It will be parabolic path. at t  0 t-axis will be tangent.
 100  0 
For 2  t  4, vL  (0.05)    2.5 V
 2 
37. (A) 2 F is in parallel with 1 F and this
 100  100 
combination is in series with 1.5 F. For 4  t  8, vL  (0.05)   2.5 V
 4 
. (2  1)
15
C1   1F, C1 is in parallel with 2.5 F  0  100 
. 21
15 For 8  t  10, vL  (0.05)    2.5 V
 2 
Ceq  1  2.5  35
. F
Thus (B) is correct option.
30 60 30(20  40)
38. (A) Ca   20 mF, Cb   20 mF
30  60 30  20  0 47. (C) Algebraic sum of the current entering or leaving
a cutset is equal to 0.
Cd Cc Cb Ca
C2 C2 C2 C2 6 16
i2  i4  i3  0    i3  0
2 4
+
i3   7 A, v3   7 3   21 V
iin vin C1 C1 C1 C1 60 mF


*********
Fig. S 1.1.38
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
11
For E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com

CHAPTER

1.2
GRAPH THEORY

1. Consider the following circuits : Non-planner graphs are


(A) 1 and 3 (B) 4 only
(C) 3 only (D) 3 and 4

3. A graph of an electrical network has 4 nodes and 7


branches. The number of links l, with respect to the
(1) (2) chosen tree, would be
(A) 2 (B) 3
(C) 4 (D) 5

4. For the graph shown in fig. P.1.1.4 correct set is

(3) (4)

The planner circuits are Fig. P.1.1.4

(A) 1 and 2 (B) 2 and 3 Node Branch Twigs Link

(C) 3 and 4 (D) 4 and 1 (A) 4 6 4 2


(B) 4 6 3 3
2. Consider the following graphs
(C) 5 6 4 2
(D) 5 5 4 1

5. A tree of the graph shown in fig. P.1.2.5 is


c

a 2 f
(1) (2) 1 3

b d e g

4 h 5

Fig. P.1.2.5

(A) a d e h (B) a c f h
(3) (4) (C) a f h g (D) a e f g
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
12
For E-books/Materials/Notes-PDFs|PPTs
UNIT 1 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Networks

 1 1 0   1 0 1 2 2

(A) 1 0 1 (B) 1 1 0 


   
 0 1 1  0 1 1
1 1 0  1 0 1 1 4 3 1 4 3
(C)  0 1 1 (D) 0 1 1
   
 1 0 1  1 1 0 

5 5
13. The incidence matrix of a graph is as given below
(C) (D)
1 1 1 0 0 0 
 0 0 1 1 1 0 
A  
 0 1 0 1 0 1 15. The incidence matrix of a graph is as given below
 1 0 0 0 1 1
 
1 1 1 0 0 0 
The graph is  0 0 1 1 1 0 
2 2 A  
 0 1 0 1 0 0 
 1 0 0 0 1 1
 

The graph is
4 4
2 2
1 3 1 3 1 3 1 3

(A) (B)
2 2

4 4

(A) (B)

4 4
2 2
1 3 1 3 1 3 1 3

(C) (D)

14. The incidence matrix of a graph is as given below


 1 0 0  1 1 0 0  4 4
 0 0 0 1 0 1 1 (C) (D)
 
A  0 0 1 0 0 0 1
 0 1 0 0 1 1 0  16. The graph of a network is shown in fig. P.1.1.16. The
 
 1 1 1 0 0 0 0  number of possible tree are

The graph is
2 2

1 4 3 1 4 3

Fig. P.1.1.16

(A) 8 (B) 12
5 5
(C) 16 (D) 20
(A) (B)

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
14
For E-books/Materials/Notes-PDFs|PPTs
UNIT 1 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Networks

22. The fundamental cut-set matrix of a graph is


1 1 0 0 0 0
0 1 1 0 1 0
QF  
0 0 0 0  1 1
0 0 0 1 1 0 


The oriented graph of the network is (C) (D)

1 2 1 2 24. A graph is shown in fig. P.1.2.24 in which twigs are


3 3 solid line and links are dotted line. For this tree
4 5 4 5 fundamental loop matrix is given as below
6 6 1 1 1 0 
BF  
1 0 1 1
(A) (B)
1

1 2 1 2
3 2 4
3 3

4 5 4 5

6 6
Fig. P.1.2.24
(C) (D)
The oriented graph will be
23. A graph is shown in fig. P.1.2.23 in which twigs are
solid line and links are dotted line. For this chosen tree
fundamental set matrix is given below.
 1 1 0 0 1 0
BF  0 1 1 1 0 0
 
 0 0 0 1 1 1
(A) (B)
3

2 4

1 5 6

Fig. P. 1.2.23 (C) (D)

The oriented graph will be 25. Consider the graph shown in fig. P.1.2.25 in which
twigs are solid line and links are dotted line.

1 4 2

6 5

(A) (B) 3

Fig. P. 1.2.25

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
16
ForGraph
E-books/Materials/Notes-PDFs|PPTs
Theory Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 1.2

A fundamental loop matrix for this tree is given as  1 1 0 0 0 0 0 1 0


 0 1 1 1 0 0 1 0 0
below (D)  
 0 0 0 1 1 1 0 0 0
 1 0 0 1 0 1
1 0 1 0 1 0 0 0 1
BF  0 1 0 1 1 0 
 
 0 0 1 0 1 1
27. Branch current and loop current relation are
The oriented graph will be expressed in matrix form as
 i1   0 1 1 0
i   0 0 1 1
 2  
 i3   1 0 0 1  I1 
i  1 1 0 0  I 2 
 4   
 i5   1 0 0 0  I 3 
i   0
6 1 0 0  I 4 
   
(A) (B) i
 7  0 0 1 0
 i8   0 0 0 1

where i j represent branch current and I k loop


current. The number of independent node equation are
(A) 4 (B) 5
(C) 6 (D) 7

(C) (D)
28. If the number of branch in a network is b, the
number of nodes is n and the number of dependent loop
26. In the graph shown in fig. P.1.2.26 solid lines are
is l, then the number of independent node equations
twigs and dotted line are link. The fundamental loop
will be
matrix is
i
(A) n
l  1 (B) b  1
(C) b  n
1 (D) n  1
a c e

Statement for Q.2930:


h b d f Branch current and loop current relation are
expressed in matrix form as
g  i1   0 0 1 0
Fig. P.1.2.26 i  1 1 1 0 
 2  
 i3   0 1 0 0   I1 
 1 1 0 0 0 0 0 1 0
 0 i   1 0 0 0   I 
1 1 1 0 0 1 0 0  4    2
(A)    
 0 0 0 1 1 1 0 0 0 i
 5  0 0 1 1  I 3 
1  i   1 1 0 1 I 
 0 1 0 1 0 0 0 1 6
   
 4
 i7   1 0 0 0 
1 1 0 0 0 0 0 1 0  i8   0 0 0 1
 0 1 1 1 0 0 1 0 0
(B)  
where i j represent branch current and I k loop
 0 0 0 1 1 1 0 0 0
 1 0 1 0 1 0 0 0 1 current.


 1 1 0 0 0 0 0 1 0 29. The rank of incidence matrix is


 0 1 1 1 0 0 1 0 0
(C)   (A) 4 (B) 5
 0 0 0 1 1 1 0 0 0
(C) 6 (D) 8
 1 0 1 0 1 0 0 0 1


www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
17
For E-books/Materials/Notes-PDFs|PPTs
UNIT 1 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Networks

30. The directed graph will be 33. The oriented graph for this network is
8 8

5 6 5 6 1 2 3 4 1 2 3 4

2 3 7 2 3 7 5 5
1 1

4 4

1 2 3 4 1 2 3 4
8 8

5 6 5 6
5 5

(C) (D)
2 3 7 2 3 7
1 1

4 4

(C) (D) ************

31. A network has 8 nodes and 5 independent loops.


The number of branches in the network is
(A) 11 (B) 12
(C) 8 (D) 6

32. A branch has 6 node and 9 branch. The independent


loops are
(A) 3 (B) 4
(C) 5 (D) 6

Statement for Q.3334:


For a network branch voltage and node voltage
relation are expressed in matrix form as follows:
 v1   1 0 0 1
v   0 1 0 0
 2  
 v3   0 0 1 0   V1 
v   0 0 0 1 V2 
 4   
 v5   1 1 0 0   V3 
v   0
6 1 1 0  V4 
   
 v7   0 0 1 1
 v8   1 0 1 0 

where vi is the branch voltage and Vk is the node


voltage with respect to datum node.

33. The independent mesh equation for this network


are
(A) 4 (B) 5
(C) 6 (D 7

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
18
ForGraph
E-books/Materials/Notes-PDFs|PPTs
Theory Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 1.2

7. (D) D is not a tree


SOLUTIONS
1. (A) The circuit 1 and 2 are redrawn as below. 3 and 4 can
not be redrawn on a plane without crossing other branch.

(A) (B)

(1) (2)
Fig. S1.2.1

2. (B) Other three circuits can be drawn on plane


without crossing

(C) (D)
(1) (2) Fig. S .1.2.7

8. (D) it is obvious from the following figure that 1, 3,


and 4 are tree
2 2
(3)
Fig. S1.2.1 a b a b

c c
1 3 1 3
3. (C) l b  ( n  1) 4.
d e d e

4. (B) There are 4 node and 6 branches. f f

4 4
t n  1 3, l bn
1 3
(1) (2)
5. (C) From fig. it can be seen that a f h g is a tree of 2 2

given graph
c a b a b

a f c c
1 3 1 3

b d e g d e d e
f f

4 4
h
Fig. S 1.2.5 (3) (4)
2
6. (B) From fig. it can be seen that a d f is a tree.
c a b

b e c
1 3

d e
a d f
f

(5)
Fig. S. 1.2.6 Fig. S. 1.2.8

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
19
For E-books/Materials/Notes-PDFs|PPTs
UNIT 1 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Networks

So independent mesh equation =Number of link.


i

l1
a e 34. (D) We know that [ vb ] ArT [ Vn ]
c
l2 l4 So reduced incidence matrix is
h b d f 1 0 0 0 1 0 0 1
l3
0 1 0 0 1 1 0 0 
Ar  
0 0 1 0 0 1 1 1
g 0 0 0 1 0 0 1 0 

Fig. S 1.2.26
At node-1, three branch leaves so the only option is (D).
This in similar to matrix in (A). Only place of rows has
been changed.

27. (A) Number of branch =8 ***********


Number of link =4
Number of twigs =8  4 4
Number of twigs =number of independent node
equation.

28. (D) The number of independent node equation are


n  1.

29. (A) Number of branch b 8


Number of link l 4
Number of twigs t b  l 4
rank of matrix n  1 t 4

30. (B) We know the branch current and loop current


are related as
[ ib ] [ B T ] [ I L ]
So fundamental loop matrix is
0 1 0 1 0 1 1 0
0 1 1 0 0 1 0 0
Bf  
1 1 0 0 1 0 0 0 
0 0 0 0 1 1 0 1
 
f-loop 1 include branch (2, 4, 6, 7) and direction of
branch2 is opposite to other (B only).

31. (B) Independent loops =link


l b  ( n  1)
5 b  7, b 12

32. (B) Independent loop =link


l b  ( n  1) 4

33. (A) There are 8 branches and 4


1 5 node
Number of link 8  5
1 4

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
22
For E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com

CHAPTER

1.3
METHODS OF ANALYSIS

1. v1  ? (A) 120 V (B) 120 V


6R 3R
(C) 90 V (D) 90 V

+
vs
4. va  ?
4vs 6R v1 10 

12 V 4 10 V
Fig. P1.3.1
(A) 0.4vs (B) 1.5vs va

1 4A 2
(C) 0.67vs (D) 2.5vs

2. va  ?
3A Fig. P1.3.4
(A) 4.33 V (B) 4.09 V
(C) 8.67 V (D) 8.18 V
2
va 5. v2  ?
20  60 
3 1A
+ v2

30  0.5 A 10 V 30 
Fig. P1.3.2
(A) 11 V (B) 11 V
(C) 3 V (D) 3 V Fig. P1.3.5
(A) 0.5 V (B) 1.0 V
3. v1  ? (C) 1.5 V (D) 2.0 V
10 
6. ib  ?
64  37 
30 V 3A 30 

20  ib

10 V 0.5 A

36  69 
v1
60  9A 6A 60 
+
Fig. P1.3.6
(A) 0.6 A (B) 0.5 A
Fig. P1.3.3 (C) 0.4 A (D) 0.3 A

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
23
For E-books/Materials/Notes-PDFs|PPTs
UNIT 1 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Networks

7. i1  ? (A) 20 mA (B) 15 mA

6A
(C) 10 mA (D) 5 mA

11. i1  ?
8 i1 2
50 
10 

75 V 4 3 5 100  0.1 A

i1
6.6 V

Fig. P1.3.7
40  0.06 A
(A) 3.3 A (B) 2.1 A
60
(C) 1.7 A (D) 1.1 A

8. i1  ?
0.1A
i2 Fig. P1.3.11
90 k 10 k
75 V
(A) 0.01 A (B) 0.01 A
7.5mA
(C) 0.03 A (D) 0.02 A
10 k i1 90 k

12. The value of the current measured by the ammeter


Fig. P1.3.8 in Fig. P1.3.12 is

(A) 1 mA (B) 1.5 mA 2A

(C) 2 mA (D) 2.5 mA


7 4 Ammeter
9. i1  ?

2A
3A 6

3V 5 2
4

Fig. P1.3.12

4A 3 2 2 5
(A) A (B) A
i1 3 3
5 2
(C)  A (D) A
Fig. P1.3.9 6 9
(A) 4 A (B) 3 A
13. i1  ?
(C) 6 A (D) 5 A
200 

10. i1  ?
2 k 40 mA 100  i1 50  10 mA

45 V i1 500  15 mA
Fig. 1.3.13

(A) 10 mA (B) 10 mA


Fig. P1.3.10 (C) 0.4 mA (D) 0.4 mA

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
24
ForMethods
E-books/Materials/Notes-PDFs|PPTs
of Analysis Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 1.3

4 8 5
14. The values of node voltage are va  12 V, vb  9.88 V
and vc  5.29 V. The power supplied by the voltage
12 V i1 2 i2 2 i3 20 V
source is
8V
6

va 4 vb 3
vc Fig. 1.3.17

12 V
 4 2 0  i1  12 
1A 2
(A) 2 8 2
i2
 8





 0 2 5
i3
20

Fig. 1.3.14 6 2 0  i1  12 


(B) 2 12 2
i2
  8





(A) 19.8 W (B) 27.3 W 0 2 7


i3
20

(C) 46.9 W (D) 54.6 W
 6 2 0  i1  12 
(C) 2 12 2
i2
  8

15. i1 , i2 , i3  ? 



 0 2 7
i3
20

2
 4 2 0  i1  12 

(D) 2 8 2
i2
  8

3 i1 9 



 0 2 5
i3
20

15 V i2 6 i3 21 V 18. For the circuit shown in Fig. P1.3.18 the mesh


equation are
6 k
Fig. P1.3.15
i3
6 k 6 k
(A) 3 A, 2 A, and 4 A (B) 3 A, 3 A, and 8 A
(C) 1 A, 3 A, and 4 A (D) 1 A, 2 A, and 8 A i1 i2
6V 5 mA 6 k

16. vo  ?

Fig. 1.3.18
4 mA 2 k 2 mA
 6 k 12 k 12 k  i1  6 
1 k 1 k

(A) 6 k


6 k 18 k i2   0

+ 



 1k 1k 0 k
i3
 5

1 k 1 mA 2 k vo
 6 k 12 k 12 k  i1  6 

(B) 6 k 6 k 18 k
i2
  0

Fig. P1.3.16




 1k 1k 0 k
i3
 5

6 8 6 k 12 k 12 k  i1  6 


(A) V (B) V
5 5 (C)  6 k 6 k 18 k
i2
  0





6 5  1k 1k 0 k
i3
 5

(C) V (D) V
7 7
6 k 12 k 12 k  i1  6 
(D) 6 k 6 k 18 k
i2
  0

17. The mesh current equation for the circuit in Fig. 





 1k 1k 0 k
i3
 5

P1.3.17 are

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
25
ForMethods
E-books/Materials/Notes-PDFs|PPTs
of Analysis Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 1.3

R1 (A) 66.67 mA (B) 46.24 mA


(C) 23.12 mA (D) 33.33 mA
R2 i3 R3

29. va  ?
i1 R4 i2
10 
v1 v2

25i2 4A
50  va 40 

Fig. P1.3.25

10 A 200  5A 100  20  20 A
The value of R4 is

(A) 40 (B) 15
Fig. P1.3.29
(C) 5 (D) 20
(A) 342 V (B) 171 V
26. va  ?
(C) 198 V (D) 396 V
2.5 k

10 k 10 k
30. ia  ?
va
50  150 

20 V 10 k 5 k 4 mA
225  100  200 

ia

Fig. P1.3.26 2V 4V 8V

(A) 26 V (B) 19 V 75  50 

(C) 13 V (D) 18 V
Fig. P1.3.30

27. v  ? (A) 14 mA (B) 6.5 mA


2A (C) 7 mA (D) 21 mA

31. v2  ?
10  v 20 
50 

v2 +
4A
10 V 100  0.04v2
15  5

Fig. P.3.1.27 Fig. P1.3.31

(A) 60 V (B) 60 V (A) 5 V (B) 75 V


(C) 30 V (D) 30 V (C) 3 V (D) 10 V

28. i1  ? 32. i1  ?
0.5i1
300  2 4

40 V i1 500  0.4i1 8V 4A 6V

i1

Fig. P1.3.28 Fig. P1.3.32

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
27
For E-books/Materials/Notes-PDFs|PPTs
UNIT 1 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Networks

(A) 1.636 A (B) 3.273 A 37. va  ?


0.8va
(C) 2.314 A (D) 4.628 A

16 A
33. vx  ? va 2

+ 2.5  5 10 A
1.6 A 100  0.02vx 50  vx

Fig. P1.3.37
Fig. P1.3.33
(A) 25.91 V (B) 25.91 V
(A) 32 V (B) 32 V
(C) 51.82 V (D) 51.82 V
(C) 12 V (D) 12 V
38. For the circuit of Fig. P1.3.38 the value of vs , that
34. ib  ?
will result in v1  0, is
1 k 3 k 3A 2A
va
ib

0.1v1
6V 2 k 4va
10  20 
+
vs 40  v1 48 V
Fig. P1.3.34

(A) 4 mA (B) 4 mA
(C) 12 mA (D) 12 mA Fig. P1.3.38

(A) 28 V (B) 28 V


35. vb  ?
(C) 14 V (D) 14 V
ia 4 k vb
39. i1 , i2  ?
2ix
4 2
2V 2 k 5ia
ix

15 V i1 6 i2 18 V

Fig. P1.3.35

(A) 1 V (B) 1.5 V Fig. P1.3.39


(C) 4 V (D) 6 V
(A) 2.6 A, 1.4 A (B) 2.6 A, 1.4 A

36. vx  ? (C) 1.6 A, 1.35 A (D) 1.2 A, 1.35 A

50  40. v1  ?
iy 3
+
+
2A 100  25iy 50  vx 0.2vx 3
vy 2A
6
14 V
+
Fig. P1.3.36
v1 7A 2vy
2
(A) 3 V (B) 3 V
(C) 10 V (D) 10 V
Fig. P1.3.40

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
28
ForMethods
E-books/Materials/Notes-PDFs|PPTs
of Analysis Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 1.3

(B) 10 V
(A) 10 V
(C) 7 V (D) 7 V
SOLUTIONS
1. (B) Applying the nodal analysis
41. vx  ?
4 vs v
vx + s
v1  6 R 3 R  15
. vs
500  1 1 1
0.5vx
6 R 3R 6 R
500 
2. (C) va  2( 3 1) 3 (1)  11 V
0.6 A 600  900  0.3 A

v1 v
3. (D)  1 6 9 v1   90 V
60 60
Fig. P1.3.41
va  10 va
(A) 9 V (B) 9 V 4. (C) 4 va  8.67 V
4 2
(C) 10 V (D) 10 V
v2 v2 10
5. (D)  0.5 v2  2 V
42. The power being dissipated in the 2  resistor in the 20 30
circuit of Fig. P1.3.42 is
5 ia 6. (B) Using Thevenin equivalent and source transform

8 60 V
3 2 3 i1 2 va 10 

2A

2.5 A 4 6ia 30 V 25 V 3 5

Fig. P1.3.42 Fig. S.1.3.6

25 60
(A) 76.4 W (B) 305.6 W
8
2 15
(C) 52.5 W (D) 210.0 W va  3
 15.23 V
3 1 1

14 3 15
43. i1  ?
25  15.23
500  i1   2.09 A
14
+ vx 3
100  0.6 A

10
+
7. (A) ib  0.5  0.6 A
180 V 64 36
400  vy 0.001vy

8. (B) 75  90 ki1 10 k( i1  7.5m)
100 
150  100 ki1 i1  15
. mA

0.005vy 9. (B) 3  2 i1 3( i1  4) i1  3 A
Fig. P1.3.43
10. (B) 45  2 ki1 500 ( i1 15m)
(A) 0.12 A (B) 0.24 A i1  15 mA
(C) 0.36 A (D) 0.48 A
11. (D)
6.6  50 i1 100( i1 0.1) 40( i1  0.06) 60( i1  0.1)
***************** i1  0.02 A
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
29
For E-books/Materials/Notes-PDFs|PPTs
UNIT 1 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Networks

38. (D) If v1  0, the dependent source is a short circuit 30 7.5 2


ia   329
. A 6 ia  19.75 V
v1 v  vs v1  48 12
1 2  3 v1  0
40 10 20 voltage across 2  resistor
v 48 30  19.75  10.25 V,
 s    1 vs   14 V
10 20 (10.25) 2
3A 2A P  52.53 W
2

43. (A) vx  500 i1

10  20  v y  400( i1  0.001vx )  400 ( i1  0.5 i1 )  200 i1


+ 180  500 i1 100( i1  0.6) 200 i1 100( i1 0.005 v y)
vs 40  v1 48 V
180  900 i1  60 100 0.005 200 i1
i1  0.12 A

Fig. S1.3.38
************
39. (D) ix  i1  i2
15  4 i1  2( i1  i2 ) 6( i1  i2 )
8 i1  4 i2  15 K(i)
18  2 i2 6( i2  i1 )
3i1  4 i2  9 K(ii)
i1  12
. A, i2  1.35 A

40. (B) 14  3i1 v y 6( i1  2  7) 2 v y 2( i1  7)

v y  3( i1  2)
14  3i1 9( i1  2) 6( i1  9) 2( i1  7)
14  20 i1  18  54  14 i1  5 A
v1  6(5  2  7) 2 3(5  2) 2(5  7)  10 V
3

+
vy 3 2A
6
14 V
+
v1 7A 2vy
i1

2

Fig. S1.3.40

41. (D) Let i1 and i2 be two loop current


0.5 vx  500 i1 500( i1  i2 ),
vx  500 i1
5 i1  2 i2  0 K(i)
500( i2  i1 ) 900( i2 0.3) 600( i2  0.6)  0
5 i1 20 i2  0.9 K(ii)
i1  20 mA, vx  500 20m  10 V

42. (C) 30  5 ia 3( ia  2.5) 4( ia  2.5 2)

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
32
For E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com

CHAPTER

1.4
NETWORKS THEOREM

1. vTH , RTH  ? 4. A simple equivalent circuit of the 2 terminal network


3 2
shown in fig. P1.4.4 is

vTH, RTH
6V 6 R

i v
Fig. P.1.4.1
(A) 2 V, 4  (B) 4 V, 4 
Fig. P.1.4.4
(C) 4 V, 5  (D) 2 V, 5 

2. i N , R N  ? R
2 2 R

v
iN, RN
15 V 4
(A) (B)

Fig. P.1.4.2 R
R i
10
(A) 3 A,  (B) 10 A, 4  i
3
(C) 1,5 A, 6  (D) 1.5 A, 4 
(C) (D)
3. vTH , RTH  ?
2
5. i N , R N  ?
2
vTH, RTH
2A 3 1
iN RN
6A 4 3

Fig. P.1.4.3
6 5
(A) 2 V,  (B) 2 V,  Fig. P.1.4.5
5 6
(A) 4 A, 3  (B) 2 A, 6 
5 6
(C) 1 V,  (D) 1 V,  (C) 2 A, 9  (D) 4 A, 2 
6 5
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
33
For E-books/Materials/Notes-PDFs|PPTs
UNIT 1 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Networks

6. vTH , RTH  ? The value of the parameter are


30  25  vTH RTH iN RN
(A) 4 V 2  2 A 2 
20 
vTH, RTH
5V (B) 4 V 2  2 A 3 
5A (C) 8 V 1.2  30
3
A 1.2 
(D) 8 V 5  8
5
A 5 
Fig. P.1.4.6
10. v1  ?
(A) 100 V, 75  (B) 155 V, 55  2 1 1 3

(C) 155 V, 37  (D) 145 V, 75 


+
8V 2 6 v1 6 18 V
7. RTH  ?

6

Fig. P.1.4.10
2A 6
RTH

(A) 6 V (B) 7 V
5V
(C) 8 V (D) 10 V

Fig. P.1.4.7
11. i1  ?
(A) 3  (B) 12  20 V
4 k i1 4 k 6 k
(C) 6  (D) 

8. The Thevenin impedance across the terminals ab of 12 V 4 k 3 k 24 V


the network shown in fig. P.1.4.8 is
a
3 Fig. P.1.4.11

(A) 3 A (B) 0.75 mA


2A 6 8
2V (C) 2 mA (D) 1.75 mA
8
b
Statement for Q.1213:
Fig. P.1.4.8
A circuit is given in fig. P.1.4.1213. Find the
Thevenin equivalent as given in question..
(A) 2  (B) 6 
10  x 16  y
4
(C) 6.16  (D) 
3
5V 40  8 1A
9. For In the the circuit shown in fig. P.1.4.9 a network
and its Thevenin and Norton equivalent are given
x y
2 3
Fig. P.1.4.1213

RTH

4V 2A iN RN 12. As viewed from terminal x and x is


vTH (A) 8 V, 6  (B) 5 V, 6 
(C) 5 V, 32  (D) 8 V, 32 
Fig. P.1.4.9

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
34
ForNetwork
E-books/Materials/Notes-PDFs|PPTs
Theorems Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 1.4

13. As viewed from terminal y and y is 19. vTH , RTH  ?


(A) 8 V, 32  (B) 4 V, 32  6 i1

(C) 5 V, 6  (D) 7 V, 6 
iN, RN
3i1 4
14. A practical DC current source provide 20 kW to a
50  load and 20 kW to a 200  load. The maximum
power, that can drawn from it, is
Fig. P1.4.19
(A) 22.5 kW (B) 45 kW
(C) 30.3 kW (D) 40 kW
(A) 0  (B) 1.2 

Statement for Q.1516: (C) 2.4  (D) 3.6 

In the circuit of fig. P.1.4.1516 when R  0  , the 20. vTH , RTH  ?


current iR equals 10 A.
4V
4 2 2
+

vTH RTH
E 4 R 2 4A 0.1v1 5 v1

iR

Fig. P.1.4.1516. Fig. P.1.4.20

15. The value of R, for which it absorbs maximum (A) 8 V, 5  (B) 8 V, 10 


power, is (C) 4 V, 5  (D) 4 V, 10 
(A) 4  (B) 3 
21. RTH  ?
(C) 2  (D) None of the above
2 3
+
16. The maximum power will be
(A) 50 W (B) 100 W vx RTH
4V vx
4
(C) 200 W (D) value of E is required

17. Consider a 24 V battery of internal resistance Fig. P.1.4.21
r  4  connected to a variable resistance RL . The rate
of heat dissipated in the resistor is maximum when the
(A) 3  (B) 1.2 
current drawn from the battery is i . The current drawn
(C) 5  (D) 10 
form the battery will be i 2 when RL is equal to
(A) 2  (B) 4  22. In the circuit shown in fig. P.1.4.22 the effective
(C) 8  (D) 12  resistance faced by the voltage source is
4
18. i N , R N  ?
10  5

i1 i
i
iN, RN vs 4
20i1 30 

Fig. P.1.4.22
Fig. P.1.4.18

(A) 2 A, 20  (B) 2 A, 20  (A) 4  (B) 3 

(C) 0 A, 20  (D) 0 A, 20  (C) 2  (D) 1 

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
35
For E-books/Materials/Notes-PDFs|PPTs
UNIT 1 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Networks

23. In the circuit of fig. P1.4.23 the value of RTH at 26. The value of RL will be
terminal ab is ix

0.75va

16 V 0.9 A 3 RL

2
8
a
Fig. P.1.4.2627
9V 4 va
+

b (A) 2  (B) 3 
Fig. P.1.4.23 (C) 1  (D) None of the above

9
(A) 3  (B)  27. The maximum power is
8
(A) 0.75 W (B) 1.5 W
8
(C)   (D) None of the above (C) 2.25 W (D) 1.125 W
3

28. RTH  ?
24. RTH  ?
-2ix
200 


va RTH
va 100  50  +
100
+ 100 
0.01vx
RTH
100  300  vx

Fig. P.1.4.24 ix 800 

Fig. P.1.4.28
(A)  (B) 0
3 125 (A) 100  (B) 136.4 
(C)  (D) 
125 3
(C) 200  (D) 272.8 
25. In the circuit of fig. P.1.4.25, the RL will absorb
29. Consider the circuits shown in fig. P.1.4.29
maximum power if RL is equal to
ia 2
40  100 

i 6 6
2 2
6V 200  3i RL
12 V

12 V 8V
Fig. P.1.4.25
6

400 2 ib 2
(A)  (B) k
3 9
800 4 6 6
(C)  (D) k
3 9 2 2

Statement for Q.2627: 6 3A 12 V


18 V

In the circuit shown in fig. P1.4.2627 the


maximum power transfer condition is met for the load
Fig. P.1.4.29a & b
RL .
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
36
ForNetwork
E-books/Materials/Notes-PDFs|PPTs
Theorems Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 1.4

The relation between ia and ib is 33. If vs1  6 V and vs 2   6 V then the value of va is
(A) ib  ia  6 (B) ib  ia  2 (A) 4 V (B) 4 V
(C) ib  15
. ia (D) ib  ia (C) 6 V (D) 6 V

30. Req  ? 34. A network N feeds a resistance R as shown in fig.

12  4
P1.4.34. Let the power consumed by R be P. If an
identical network is added as shown in figure, the
6 2
power consumed by R will be
Req
18  6
9
N R N N
R

Fig. P.1.4.30
Fig. P.1.4.34
72
(A) 18  (B) 
13
(A) equal to P (B) less than P
36
(C)  (D) 9  (C) between P and 4P (D) more than 4P
13

35. A certain network consists of a large number of


31. In the lattice network the value of RL for the
ideal linear resistors, one of which is R and two
maximum power transfer to it is
constant ideal source. The power consumed by R is P1
7
when only the first source is active, and P2 when only
6

the second source is active. If both sources are active




RL
simultaneously, then the power consumed by R is


(A) P1  P2 P1  P2
5

(B)

9 (C) ( P1  P2 ) 2 (D) ( P1  P2 ) 2
Fig. P.1.4.31

(A) 6.67  (B) 9  36. A battery has a short-circuit current of 30 A and an


(C) 6.52  (D) 8  open circuit voltage of 24 V. If the battery is connected
to an electric bulb of resistance 2 , the power
Statement for Q.3233: dissipated by the bulb is

A circuit is shown in fig. P.1.4.3233. (A) 80 W (B) 1800 W

12  (C) 112.5 W (D) 228 W

1 3 3 1
37. The following results were obtained from
+
measurements taken between the two terminal of a
vs1 1 va vs2
resistive network


Terminal voltage 12 V 0V
Fig. P.1.4.3233
Terminal current 0A 1.5 A

32. If vs1  vs 2  6 V then the value of va is


(A) 3 V (B) 4 V The Thevenin resistance of the network is
(A) 16  (B) 8 
(C) 6 V (D) 5 V
(C) 0 (D) 
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
37
For E-books/Materials/Notes-PDFs|PPTs
UNIT 1 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Networks

38. A DC voltmeter with a sensitivity of 20 k/V is used


to find the Thevenin equivalent of a linear network.
SOLUTIONS
Reading on two scales are as follows ( 6)( 6)
1. (B) vTH   4 V,
(a) 0  10 V scale : 4 V 3 6
RTH  ( 3||6)  2  4 
(b) 0 15 V scale : 5 V
The Thevenin voltage and the Thevenin resistance 2. (A)
2 v1 2
of the network is
16 200 32 1 isc
(A) V, k (B) V, M
3 3 3 15 15 V 4
2 200
(C) 18 V, M (D) 36 V, k
15 3
Fig. S.1.4.2
39. Consider the network shown in fig. P.1.4.39.
10
R N  2 ||4  2  ,
3
+
Linear 15
RL vab
Network 2
v1  6 V
1 1 1
 
2 2 4
Fig. P.1.4.39
v
isc  i N  1  3 A
2
The power absorbed by load resistance RL is
shown in table : (2)( 3)(1)
3. (C) vTH   1 V,
3 3
RL 10 k 30 k 5
RTH  1||5  
6
P 3.6 MW 4.8 MW
4. (B) After killing all source equivalent resistance is R
The value of RL , that would absorb maximum Open circuit voltage  v1
power, is
5. (D) The short circuit current across the terminal is
(A) 60 k (B) 100 
2
(C) 300  (D) 30 k
isc

40. Measurement made on terminal ab of a circuit of 6A 4 3


fig.P.1.4.40 yield the current-voltage characteristics
shown in fig. P.1.4.40. The Thevenin resistance is
i(mA) Fig. S1.4.5
a
+
30
6 4
20 Resistive
vab isc   4 A  iN ,
Network 42
10
b R N  6 ||3  2 
v
-4 -3 -2 -1 0 1 2
Fig. P.1.4.40 6. (B) For the calculation of RTH if we kill the sources
then 20  resistance is inactive because 5 A source will
(A) 300  (B) 300  be open circuit
(C) 100  (D) 100  RTH  30  25  55 ,
vTH  5  5 30  155 V

***********
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
38
ForNetwork
E-books/Materials/Notes-PDFs|PPTs
Theorems Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 1.4

7. (C) After killing the source, RTH  6  12. (B) We Thevenized the left side of xx and source
6 transformed right side of yy
8 x 16  y 8
6
RTH
4V 8V

Fig. S.1.4.7 x y

Fig. S1.4.12

8. (B) After killing all source, 4 8



RTH  3||6  8 ||8  6  vxx   vTH  8 24  5 V,
1 1

9. (D) voc  2 2  4  8 V  vTH 8 24
vTH 8 RTH  8 ||(16  8)  6 
RTH  2  3  5   R N , iN   A
RTH 5
13. (D) Thevenin equivalent seen from terminal yy is
10. (A) If we solve this circuit direct, we have to deal 4 8

with three variable. But by simple manipulation v yy  vTH  24 8  7 V,
1 1
variable can be reduced to one. By changing the LHS 
24 8
and RHS in Thevenin equivalent RTH  ( 8  16)||8  6 
1 1 1 2

14. (A)
+
4V 6 v1 12 V
i r RL

Fig. S1.4.10
Fig. S1.4.14
4 12
 2 2
v1  1  1 1  2  6 V
ir
 50  20 k,

ir
 200  20 k
1 1 1
  r  50  r  200 
11 6 12
( r  200) 2  4( r  50) 2
11. (B) If we solve this circuit direct, we have to deal  r  100 
with three variable. But by simple manipulation ( 30) 2 100
i  30 A, Pmax   22.5 kW
4
variable can be reduced to one. By changing the LHS
and RHS in Thevenin equivalent
15. (C) Thevenized the circuit across R, RTH  2 
20 V
2 k i1 4 k 2 k 4 2 2

6V 8V 4 2

Fig. S1.4.15
Fig. S1.4.11
16. (A) isc  10 A, RTH  2 ,
20  6  8
i1   0.75 mA 2
2k  4k  2k
10
Pmax   2  50 W
2 

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
39
For E-books/Materials/Notes-PDFs|PPTs
UNIT 1 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Networks

Now in this circuit all straight-through connection i 2 R  ( P1  P2 ) 2


have been cut as shown in fig. S1.4.32b
6
voc
36. (C) r   1. 2 
1 isc

3 + 24 2
P 2  112.5 W
(1. 2  2) 2
2 va 6V

voc 12
37. (B) RTH   8
isc 15
.
Fig. S.1.4.32b
6 (2  3) 1 1
va  5 V 38. (A) Let   50 A
2  31 sensitivity 20 k
For 0 10 V scale Rm  10 20 k  200 k
33. (B) Since both source have opposite polarity, hence
For 0 50 V scale Rm  50 20 k  1 M
short circuit the all straight-through connection as 4
For 4 V reading i  50  20 A
shown in fig. S.1.4.33 10
6 vTH  20RTH  20 200 k  4  20RTH ...(i)
5
1 For 5 V reading i  50  5 A
50
3 +
vTH  5 RTH  5 1M  5  5RTH ...(ii)
2 va 6V Solving (i) and (ii)
16 200
vTH  V, RTH  k
3 3
Fig. S1.4.33
39. (D) v10 k  10 k 3.6m  6
6 ( 6 ||3)
va    4 V v30 k  30 k 4.8m  12 V
21
10
6  vTH  10 vTH  6 RTH  60
34. (C) Let Thevenin equivalent of both network 10  RTH
RTH RTH RTH 30 vTH
12   5 vTH  2 RTH  60
30  RTH
RTH  30 k
vTH R vTH R vTH

40. (D) At v  0 , isc  30 mA

Fig. S1.4.34
At i  0, voc   3 V
v 3

VTH
2
RTH  oc    100 
P   R isc 30m
RTH  R 
2


V 
VTH
2
************
P  TH
 R  4  R
R  RTH  2 R  RTH 
2 
Thus P  P   4 P

P1 P2
35. (C) i1  and i2 
R R
P1 P2
using superposition i  i1  i2  
R R

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
42
For E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com

CHAPTER

1.6
THE RLC CIRCUITS

1. The natural response of an RLC circuit is described (A) iL ( t) + 1100 iL ( t) + 11 108 iL ( t) = 108 is ( t)
by the differential equation (B) iL ( t) + 1100 iL ( t) + 11 108 iL ( t) = 108 is ( t)
d 2v dv dv(0) iL ( t) 11
. iL ( t)
+2 + v = 0, v(0) = 10, = 0. (C) + + 11
. iL ( t) = is ( t)
dt 2 dt dt 108 10 4
iL ( t) 11iL ( t)
The v( t) is (D) + + 11iL ( t) = is ( t)
108 10 4
(A) 10(1 + t) e - t V (B) 10(1 - t) e - t V
(C) 10e - t V (D) 10te - t V 4. In the circuit of fig. P.1.6.4 vs = 0 for t > 0. The initial
condition are v(0) = 6 V and dv(0) dt = -3000 V s. The
2. The differential equation for the circuit shown in fig.
v( t) for t > 0 is
P1.6.2. is
2W 1 mH v
1H

vs 100 W 10 mF +
vs 80 W 25 mF vC

Fig. P1.6.2
(A) v( t) + 3000 v( t) + 102
. 108 v( t) = 108 vs ( t) Fig. P1.6.4

(B) v( t) + 1000 v ( t) + 102


. 10 v( t) = 10 vs ( t)
8 8
(A) -2 e -100 t + 8 e -400 t V (B) 6 e -100 t + 8 e -400 t V
v( t) 2 v ( t) (C) 6 e -100 t - 8 e -400 t V
(C) + + 102
. v( t) = vs ( t) (D) None of the above
108 10 5
v( t) 2 v ( t) 5. The circuit shown in fig. P1.6.5 has been open for a
(D) 8
+ + 198
. v( t) = vs ( t)
10 10 5 long time before closing at t = 0. The initial condition is
v(0) = 2 V. The v( t) for t > is
3. The differential equation for the circuit shown in fig.
P1.6.3 is
t=0
3 +
4W
1 vC
1H 3F
10 W

is 100 W 10 mF

Fig. P.1.6.5
iL -t -3t
(A) 5 e - 7 e V (B) 7 e - t - 5 e -3t V
Fig. P.1.6.3
(C) - e - t + 3e -3t V (D) 3e - t - e -3t V
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
54
ForThe
E-books/Materials/Notes-PDFs|PPTs
RLC Circuits Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 1.6

Statement for Q.67: 10. The switch of the circuit shown in fig. P1.6.10 is

Circuit is shown in fig. P.1.6. Initial conditions are opened at t = 0 after long time. The v( t) , for t > 0 is
t=0
i1 (0) = i2 (0) = 11 A 3W

i1 2H i2

1 +
1
6V 1W 2H 4F vC

1W 3H 2W

Fig. P1.6.10
(A) 4 e -2 t sin 2 t V (B) -4 e -2 t sin 2 t V
Fig. P1.6.67
6. i1 (1 s) = ? (C) 4 e -2 t cos 2 t V (D) -4 e -2 t cos 2 t V

(A) 0.78 A (B) 1.46 A


11. In the circuit of fig. P1.6.23 the switch is opened at
(C) 2.56 A (D) 3.62 A t = 0 after long time. The current iL ( t) for t > 0 is
iL 4H
7. i2 (1 s) = ?
(A) 0.78 A (B) 1.46 A
t=0
(C) 2.56 A (D) 3.62 A 1
2W 4F 8W

8. vC ( t) = ? for t > 0 4W 7A

25 mH
Fig. P1.6.11
(A) e -2 t (2 cos t + 4 sin t) A (B) e -2 t ( 3 sin t - 4 cos t) A
+
30u(-t) mA 100 W 10 mF vC (C) e -2 t ( -4 sin t + 2 cos t) A (D)e -2 t (2 sin t - 4 cos t) A

Statement for Q.1214:


Fig. P1.6.8 In the circuit shown in fig. P1.6.1214 all initial
condition are zero.
(A) 4 e -1000 t - e -2000 t V (B) ( 3 + 6000 t) e -2000 t V
iL
(C) 2 e -1000 t + e -2000 t V (D) ( 3 - 6000 t) e -2000 t V +
100
isu(t) A
65
W 1 mF 10 mH vL
9. The circuit shown in fig. P1.6.9 is in steady state

with switch open. At t = 0 the switch is closed. The


output voltage vC ( t) for t > 0 is Fig. P1.5.12-14
12. If is ( t) = 1 A, then the inductor current iL ( t) is
(A) 1 A (B) t A
0.8 H
(C) t + 1 A (D) 0 A
+
250 W 500 W 5 mF vC
13. If is ( t) = 0.5 t A, then iL ( t) is
t=0
-3
9V (A) 0.5 t + 3. 25 10 A (B) 2 t - 3250 A
-3
(C) 0.5 t - 0. 25 10 A (D) 2 t + 3250 A
Fig. P1.6.9
14. If is ( t) = 2 e -250 t A then iL ( t) is
-400 t -300 t
(A) -9 e + 12 e 4000 -250 t 4000 -250 t
(A) te A (B) e A
(B) e -400 t
[ 3 cos 300 t + 4 sin 300 t ] 3 3
-300 t 200 -250 t 200 -250 t
(C) e [ 3 cos 400 t + 4 sin 300 t ] (C) e A (D) te A
7 7
(D) e -300 t [ 3 cos 400 t + 2. 25 sin 300 t ]

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
55
For E-books/Materials/Notes-PDFs|PPTs
UNIT 1 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Networks

15. The forced response for the capacitor voltage v f ( t) is 19. In the circuit shown in fig. P 1.5.19 v( t) for t > 0 is

100 W 2u(-t) A
iL
vx +

avx 1H 0.04 F
50 W 20 mH

+ vC

Fig. P1.6.15 4W 2W

. 10 -3 V
(A) 0. 2 t + 117 . 10 -3 V
(B) 0. 2 t - 117

. 10 -3 t - 0. 2 V
(C) 117 . 10 -3 t + 0. 2 V
(D) 117 50u(t) V

Fig. P1.6.19

16. For a RLC series circuit R = 20 W , L = 0.6 H, the


(A) 50 - ( 46.5 sin 3t + 62 cos 3t) e -4 t V
value of C will be
(B) 50 + ( 46.5 sin 3t + 62 cos 3t) e -4 t V
[CD =critically damped, OD =over damped,
(C) 50 + ( 62 cos 4 t + 46.5 sin 4 t) e -3t V
UD =under damped].
(D) 50 - ( 62 cos 4 t + 46.5 sin 4 t) e -3t V
CD OD UD
(A) C = 6 mF C >6 mF C <6 mF
20. In the circuit of fig. P1.6.20 the switch is closed at
(B) C = 6 mF C < 6 mF C > 6 mF
t = 0 after long time. The current i( t) for t > 0 is
(C) C >6 mF C = 6 mF C < 6 mF
1
(D) C < 6 mF C =6 mF C > 6 mF 16 F

iL
+ vC
17. The circuit shown in fig. P1.6.17 is critically
1
damped. The value of R is 20 V 4H
5W t=0

Fig. P1.6.20
R 120 W

10 mF
(A) -10 sin 8 t A (B) 10 sin 8 t A
(C) -10 cos 8 t A (D) 10 cos 8 t A
4H

21. In the circuit of fig. P1.6.21 switch is moved from 8


Fig. P1.6.17 V to 12 V at t = 0. The voltage v( t) for t > 0 is
(A) 40 W (B) 60 W
2W
(C) 120 W (D) 180 W
t=0
+
8V 12 V 1 vC
18. The step response of an RLC series circuit is given F
6
by 1H

d 2 i( t) 2 di( t) di(0 + )
+ + 5 i( t) = 10, i(0 + ) = 2, = 4. Fig. P1.6.21
dt dt dt

The i( t) is (A) 12 - ( 4 cos 2 t + 2 sin 2 t) e - t V


(A) 1 + e - t cos 4 t A (B) 4 - 2 e - t cos 4 t A (B) 12 - ( 4 cos 2 t + 8 sin 2 t) e - t V
(C) 2 + e - t sin 4 t A (D) 10 + e - t sin 4 t A (C) 12 + ( 4 cos 2 t + 8 sin 2 t) e - t V
(D) 12 + ( 4 cos 2 t + 2 sin 2 t) e - t V

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
56
ForThe
E-books/Materials/Notes-PDFs|PPTs
RLC Circuits Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 1.6

22. In the circuit of fig. P1.5.22 the voltage v( t) is 25. In the circuit shown in fig. P1.6.25 a steady state
has been established before switch closed. The i( t) for
5H 1W
t > 0 is
5W
+
3u(t) A 5W 0.2 F vC 20 W 1H

20 V i
2W t=0
1
100 V 5W 25
F

Fig. P1.6.22

(A) 40 - (20 cos 0.6 t + 15 sin 0.6 t) e -0 .8 t V Fig. P1.6.25


-0 .8 t
(B) 35 + (15 cos 0.6 t + 20 sin 0.6 t) e V (A) 0.73e -2 t sin 4.58 t A
-0 .8 t
(C) 35 - (15 cos 0.6 t + 20 sin 0.6 t) e V (B) 0.89 e -2 t sin 6.38 t A
-0 .8 t
(D) 35 - 15 cos 0.6 t e V (C) 0.73e -4 t sin 4.58 t A

23. In the circuit of fig. P1.6.23 the switch is opened at (D) 0.89 e -4 t sin 6.38 t A

t = 0 after long time. The current i( t) for t > 0 is


26. The switch is closed after long time in the circuit of
2A fig. P1.6.26. The v( t) for t > 0 is

2A
3
4H t=0
10 W 1W 1H
1
3F
6W
5W 10 W +
1 vC
4V
t=0 25 F

Fig. P1.6.23

(A) e-2 .306 t + e-0 . 869t A Fig. P1.6.26

(B) -e -2 .306 t + 2 e -0 . 869t A (A) -8 + 6 e -3t sin 4 t V


(C) e -4 .431 t + e -0 .903t A (B) -12 + 4 e -3t cos 4 t V
(D) 2 e -4 .431 t - e -0 .903t A (C) -12 + ( 4 cos 4 t + 3 sin 4 t) e -3t V
(D) -12 + ( 4 cos 4 t + 6 sin 4 t) e -3t V
24. In the circuit of fig. P1.6.24 switch is moved from
position a to b at t = 0. The iL ( t) for t > 0 is 27. i( t) = ?

0.02 F 2 kW
14 W
b
i
2 H t=0
12 V
2W 12u(t) V 5 mF 8 mH
a
iL
6W

Fig. P1.6.27

(A) 6 - ( 6 cos 500 t + 6 sin 5000 t) e -50 t mA


4A
(B) 8 - ( 8 cos 500 t + 0.06 sin 5000 t) e -50 t mA
Fig. P1.6.24 (C) 6 - ( 6 cos 5000 t + 0.06 sin 5000 t) e -50 t mA
(A) ( 4 - 6 t) e 4 t A (B) ( 3 - 6 t) e -4 t A (D) 6 e -50 t sin 5000 t mA
(C) ( 3 - 9 t) e -5t A (D) ( 3 - 8 t) e -5t A
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
57
For E-books/Materials/Notes-PDFs|PPTs
UNIT 1 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Networks

28. In the circuit of fig. P1.6.28 i(0) = 1 A and v(0) = 0.


The current i( t) for t > 0 is
SOLUTIONS
i
1. (A) s 2 + 2 s + 1 = 0 s = -1, - 1,
-t
4u(t) A 1H 2W 0.5 F v( t) = ( A1 + A2 t) e
dv(0)
v(0) = 10 V, = 0 = - 1 A1 + A2
dt
Fig. P1.6.28 A1 = A2 = 10
(A) 4 + 6.38 e -0 .5t A (B) 4 - 6.38 e -0 .5t A
. sin 1.32 t) e -0 .5t A
(C) 4 + ( 3 cos 1.32 t + 113 v dv
2. (A) iL = + 10 10 -6
100 dt
. sin 1.32 t) e -0 .5t A
(D) 4 - ( 3 cos 1.32 t + 113

iL 2W 1 mH v
29. In the circuit of fig. P1.6.29 a steady state has been
established before switch closed. The vo( t) for t > 0 is
10 W
vs 100 W 10 mF

t=0
+
Fig. S1.6.2
3A 5W 10 mF 1H vo
diL
vs = 2 iL + 10 -3 +v
dt
Fig. P1.6.29
(A) 100 te -10 t V (B) 200 te -10 t V v dv 1 dv d2 v
= 2 + 10 -6 10 - t + 10 -3 + 10 10 -6 + v
100 dt 100 dt dt 2
(C) 400 te -50 t V (D) 800 te -50 t V
108 vs ( t) = v( t) + 3000 v( t) + 102
. v( t)
30. In the circuit of fig. P1.6.30 a steady state has been
vC dvC
established before switch closed. The i( t) for t > 0 is 3. (C) is = + iL + 10m
100 dt
i
di
1H vC = 10 iL + 10 -3 L
dt
1 di d di
1W 2W 4F is = 0.1iL + 10 -5 L + iL + 10 -5 (10 iL + 10 -3 L )
t=0 dt dt dt
diL di d 2 iL
6V = 0.1iL + 10 -5 + iL + 10 -4 L + 10 -8
dt dt dt 2
iL ( t) 11 .
Fig. P1.6.30 + iL ( t) + 11
. iL ( t) = is ( t)
-2 t -2 t 108 10 4
(A) 2 e sin 2 t A (B) -e sin 2 t A
-2 t
(C) -2(1 - t) e A (D) 2(1 - t) e -2 t A v dv
dt
4. (A) + 25m + ( v - vs ) dt = 0
80
31. In the circuit of fig. P1.6.31 a steady state has been d 2v dv
+ 500 + 40000 = 0
established. The i( t) for t > 0 is dt 2
dt
i
s 2 + 500 s + 40000 = 0
3A 10 W 6u(t) A 10 mF 40 W 4H
s = -100, - 400,
v( t) = Ae -100 t + Be -400 t

Fig. P1.6.31 A + B = 6, -100 A - 400 B = -3000 B = 8, A = -2


-10 t -2 .5t -2 .5t
(A) 9 + 2 e - 8e A (B) 9 - 8 e 10 t
+ 2e A
s 1
-2 .5t 5. (C) The characteristic equation is s 2 + + =0
(C) 9 + (2 cos 10 t + sin 10 t) e A RC LC
(D) 9 + (cos 10 t + 2 sin 10 t) e -2 .5t A After putting the values, s2 + 4 s + 3 = 0
*************** v( t) = Ae - t + Be -3t ,
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
58
ForThe
E-books/Materials/Notes-PDFs|PPTs
RLC Circuits Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 1.6

v(0 + ) = 2 V A + B =2 9. (B) vC (0 + ) = 3 V , iL (0 + ) = -12 mA


2 8 vC dvC
iL (0 + ) = 0 iR (0) = = , + iL + 5 10 -6 =0
34 3 250 dt
dv(0 + ) 8 dv(0 + ) 3 dvC (0 + ) dvC (0 + )
-C = = - 8, - 12m + 5 10 -6 =0 =0
dt 3 dt 250 dt dt
s 1
- A - 3B = -8, B = 3, A = -1 s2 + -6
+ =0
250 5 10 0.8 5 10 -6
di1 di s 2 + 800 s + 25 10 4 = 0
6. (D) i1 + 5 - 3 2 = 0,
dt dt s = -400 j 300
3di2 di vC ( t) = e-400 t ( A1 cos 300 t + A2 sin 300 t)
2 i2 + - 3 1 =0
dt dt dvC (0)
A1 = 3, = -400 A1 + 300 A2 , A2 = 4
(1 + 5 s) i1 - 3si2 = 0, -3si1 + (2 + 3s) i2 = 0 dt
( 3s)( 3s) i1
(1 + 5 s) i1 - =0
2 + 3s 1 dvC (0 + )
10. (B) v(0 + ) = 0, iL (0 + ) = 2 A, = -2
4 xdt
6 s 2 + 13s + 2 = 0
1 s2 + 4 s + 8 = 0 s = - 2 j2
s = - , -2 -2 t
6 vC ( t) = e ( A1 cos 2 t + A2 sin 2 t)
1
- t dvC (0 + )
i1 = A e 6
+ Be -2 t
, i(0) = A + B = 11 A1 = 0, = -8 = -2 (0 + 0) + (0 + 2 A2 ), A2 = -4
dt
In differential equation putting t = 0 and solving
di1 (0 + ) 33 di2 (0 + ) 143 11. (D) iL (0 + ) = -4, vC (0 + ) = 8 V
=- , =-
dt 2 dt 6 iL 4H

A 33
- - 2 B = - , A = 3, B = 8,
6 2 1
+
2W vC 8W
t 4F
-
-2 t
i1 = 3e 6
+ 8e ,
1
-
i1 (1 s) = 3e 6
+ 8 e -2 = 3.62 A Fig. S1.6.11

t diL (0 + ) diL (0 + )
-
-2 t 4 = 8 - ( -4) 8 = 10
7. (A) i2 = Ce 6
+ De dt dt
di2 (0) -143 C s 1
i2 (0) = 11 = C + D, = = - - 2D vC + vC + iL = 0, vC = 4 siL + 8 iL
dt 6 6 4 2
C = -1 and D = 12 s 2 iL + 4 siL + 5 = 0, s = -2 j
-
t
-
1 iL ( t) = e-2 t ( A1 cos t + A2 sin t)
-2 t -2
i2 = - e 6
+ 12 e A, i2 (1 s) = e 6
+ 12 e = 0.78 A
diL (0 + )
A1 = -4, = 10 = -2 ( A1 + 0) + A2 , A2 = 2
dt
8. (B) vC (0 + ) = 30m 100 = 3 V
dvC (0 - ) dvC (0 + ) v dv di
C = iL (0 - ) = 0 = iL (0 + ) = C 12. (A) is = + 10 -3 + iL , v = 10 10 -3 L
dt dt 100 65 dt dt
100 1 65 di d 2 iL
s2 + s+ is = (10 10 -3) L + 10 -3(10 10 -3) + iL = 0
25 10 -3 25 10 -3 10 10 -6 100 dt dt
s = -2000, -2000 d 2 iL di
+ 650 L + 10 5 iL = 10 5 is
dt dt
vC ( t) = ( A1 + A2 t) e -2000 t
dvC ( t) Trying iL ( t) = B
= A2 e -2000 t + ( A1 + A2 t) e -2000 t ( -2000)
dt 0 + 0 + 10 5 B = 10 5, B = 1, iL = 1 A
dvC (0)
vC (0 + ) = A1 = 3, = A2 - 2000 3 = 0
dt 13. (A) Trying iL ( t) = At + B,
A2 = 6000 0 + 650 A + ( At + B)10 5 = 10 5(0.5 t), A = 0.5
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
59
ForThe
E-books/Materials/Notes-PDFs|PPTs
RLC Circuits Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 1.6

di(0 + ) -16 Ldi(0 + )


= = -4.431 A - 0.903B 28.(D) i(0 + ) = 1 A, v(0 + ) =
dt 3 dt
A = 1, B = 1 1 1
a= = 0.5, Wo = = 2
2 2 0.5 1 - 0.5
4 6
24. (C) vC (0) = 0, =3
iL (0) = s = -0.5 0.5 2 - 2 = 0.5 j1.323
6 +2
dv (0) dvC (0) i( t) = 4 + ( A cos 1.32 t + B sin 1.32 t) e-0 .5t
0.02 C = iL (0) = 3 = 150
dt dt 1 = 4 + A, A = -3
6 + 14 1 di(0)
a= = 5, wo = =5 = 0 = 0.5 A + 1.32 B, B = -113
.
22 2 0.02 dt

a = wo critically damped 29. (B) Vo(0 + ) = 0 ,iL (0 + ) = 1 A


-5t
v( t) = 12 + ( A + Bt) e diL (0 + )
= v1 (0) = 0
0 = 12 + A, 150 = -5 A + B A = -12, B = 90 dt
-5t
v( t) = 12 + (90 t - 12) e 1 1
a= = 10, Wo = = 10
-5t
iL ( t) = 0.02( -5) e (90 t - 12) + 0.02(90) e -5t
= ( 3 - 9 t) e -5t 2 5 0.01 1 0.01
a = Wo, so critically damped response
+ 100 5 50 +
25. (A) v(0 ) = = , iL (0 ) = 0 s = -10, - 10
5 + 5 + 20 3
i( t) = 3( A + Bt) e -10 t , i(0) = 1 = 3 + A
if = 0 A +
di(0 )
diL (0 + ) 50 10 = -10 A + B
= 20 - = dt
dt 3 3
LdiL ( t)
4 1 iL ( t) = 3 - (2 + 20 t) e -10 t , vo = = 200 te -10 t
a= = 2, wo = =5 dt
21 1
1
25 -6 di(0 + )
30. (C) i(0 + ) = = -2 A, vc (0 + ) = 2 1 = 2 =
s = -2 4 - 25 = -2 j 4.58 1+2 dt
i( t) = ( A cos 4.58 t + B sin 4.58 t) e -2 t 1 1 1
a= = = 2, Wo = =2
2 RC 2 1 0.25 LC
26.(A) iL (0 + ) = 0, vL (0 + ) = 4 - 12 = -8 a = Wo, critically damped response
+
1 dvL (0 ) s = -2 , -2
= iL (0 + ) = 0
25 dt i( t) = ( A + Bt) e -2 t , A = -2
6 1 di( t)
a = = 3, Wo = =5 = ( -2 + Bt) e 2 t ( -2) + (0 + B) e -2 t
2 1 1 / 25 dt
b = -3 9 - 25 = -3 j 4 At t = 0, B = -2
-3t
v1 ( t) = -12 + ( A cos 4 t + B sin 4 t) e
4 di(0 + )
vL (0) = -8 = 12 + A, A =4 31. (A) i(0 + ) = 3 A, vC (0 + ) = 0 V =
dt
dvL (0)
= 0 = -3 A + 4 B, B=3 is = 9 A, R = 10||40 = 8 W
dt
1 1
a= = = 6.25
1 1 2 RC 2 8 0.01
27. (C) a = = = 50
2 RC 2 2 k 54 1 1
Wo = = =5
1 1 LC 4 0.01
Wo = = = 5000
LC 8 m 5m a > Wo, so overdamped response
a < Wo, underdamped response. s = -6.25 6.25 2 - 25 = -10, -2.5
s = -50 50 2 - 5000 2 = -50 j5000 i( t) = 9 + Ae -10 t + Be -2 .5t

i( t) = 6 + ( A cos 5000 t + B sin 5000 t) e -50 t mA 3 = 9 + A + B, 0 = -10 A - 2.5 B

i(0) = 6 = 6 + A, A = -6 On solving, A = 2, B = -8
di(0)
= -50 A + 5000 B = 0, B = -0.06
dt ************
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
61
For E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com

CHAPTER

1.7
SINUSOIDAL STEADY STATE ANALYSIS

1. i( t)  ? 1 1
i 3W (A) cos (2 t - 45 ) V (B) cos (2 t + 45 ) V
2 2
1 1
(C) sin (2 t - 45 ) V (D) sin (2 t + 45 ) V
20cos 300t V ~ 25 mH 2 2

4. vC ( t) = ?
Fig. P1.7.1 3H

(A) 20 cos ( 300 t + 68. 2 ) A


(B) 20 cos( 300 t - 68. 2 ) A +

(C) 2.48 cos( 300 t + 68. 2 ) A


8cos 5t V ~ 50 mF vC

9W
(D) 2.48 cos( 300 t - 68. 2 ) A
Fig. P1.7.4
2. vC ( t) = ?
(A) 2. 25 cos (5 t + 150 ) V
+
3
cos 10 t A ~ 2W 1 mF vC (B) 2. 25 cos (5 t - 150 ) V

(C) 2. 25 cos (5 t + 140.71 ) V
(D) 2. 25 cos (5 t - 140.71 ) V
Fig. P1.7.2
(A) 0.89 cos (10 3 t - 63.43 ) V 5. i( t) = ?
(B) 0.89 cos (10 3 t + 63.43 ) V 1W 4W

(C) 0.45 cos (10 t + 26.57 ) V


3 i

(D) 0.45 cos (10 t - 26.57 ) V


3
10cos 2t V ~ 0.25 F 4H

3. vC ( t) = ?
5W
Fig. P1.7.5

+
cos 2t V ~ 0.1 F vC

(A) 2 sin (2 t + 5.77 ) A (B) cos (2 t - 84. 23 ) A
(C) 2 sin (2 t - 5.77 ) A (D) cos (2 t + 84. 23 ) A

Fig. P1.7.3

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
62
For E-books/Materials/Notes-PDFs|PPTs
UNIT 1 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Networks

13. In the bridge shown in fig. P1.7.13, Z1 = 300 W, Statement for Q.17-18:
Z 2 = ( 300 - j 600) W, Z 3 = (200 + j 100)W. The Z 4 at The circuit is as shown in fig. P1.7.17-18
balance is 1H 1W 1W 1H

3
Z

Z
1W
5cos 4t V ~ i1 i2
~ o
10cos (4t-30 ) V

1F
2

4
Z

Z
Fig. P1.7.1718

17. i1 ( t) = ?
~

Fig. P1.7.13 (A) 2.36 cos ( 4 t - 4107


. ) A
(B) 2.36 cos ( 4 t + 4107
. ) A
(A) 400 + j 300 W (B) 400 - j 300 W
(C) 1.37 cos ( 4 t - 4107
. ) A
(C) j100 W (D) - j900 W
(D) 2.36 cos ( 4 t + 4107
. ) A

14. In a two element series circuit, the applied


18. i2 ( t) = ?
voltage and the resulting current are
(A) 2.04 sin ( 4 t + 92.13 ) A
v( t) = 60 + 66 sin (10 3 t) V, i( t) = 2.3 sin (10 3 t + 68.3 ) A.
(B) -2.04 sin ( 4 t + 2.13 ) A
The nature of the elements would be
(C) 2.04 cos ( 4 t + 2.13 ) A
(A) R - C (B) L - C
(D) -2.04 cos ( 4 t + 92.13 ) A
(C) R - L (D) R - R

19. I x = ?
15. Vo = ?
0.5Ix
j20 40 W Vo 4W

Ix
~
120-15o V ~ -j30 50 W 630 A
o
1030 V
o
~ -j2 W j3 W

Fig. P1.7.15 Fig. P1.7.19

(A) 223 - 56 V (B) 22356 V


. 46. 28 A
(A) 394 (B) 4.62 97.38 A
(C) 124 - 154 V (D) 124 154 V
(C) 7.42 92.49 A (D) 6.78 49. 27 A
16. vo( t) = ?
1H 3W
20. Vx = ?
20 W j10 W

+
o
10sin (t+30 ) V ~ 1F vo
~ o
20cos (t-45 ) V
+
4Vx 30 A
o
~ 20 W Vx

Fig. P1.7.16
Fig. P1.7.20
. cos ( t + 112 ) V
(A) 315
(B) 43. 2 cos ( t + 23 ) V (A) 29.11166 V (B) 29.11 - 166 V
. cos ( t - 112 ) V
(C) 315 (C) 43. 24 124 V (D) 43. 24 - 124 V
(D) 43. 2 cos ( t - 23 ) V

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
64
For E-books/Materials/Notes-PDFs|PPTs
UNIT 1 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Networks

Statement for Q.2732: 35. In the circuit shown in fig. P1.7.35 power factor is

Determine the complex power for hte given values -j2


4W
in question.

27. P  269 W, Q  150 VAR (capacitive) -j2 j5


(A) 150 - j269 VA (B) 150 + j269 VA
(C) 269 - j150 VA (D) 269 + j150 VA
Fig. P1.7.35

28. Q = 2000 VAR, pf = 0.9 (leading) (A) 56.31 (leading) (B) 56.31 (lagging)
(A) 4129.8 + j2000 VA (B) 2000 + j 4129.8 VA
(C) 0.555 (lagging) (D) 0.555 (leading)
(C) 2000 - j 4129
. .8 VA (D) 4129.8 - j2000 VA
36. The power factor seen by the voltage source is
29. S = 60 VA, Q = 45 VAR (inductive)
4W 1W
(A) 39.69 + j 45 VA (B) 39.69 - j 45 VA
+ v1
(C) 45 + j 39.69 VA (D) 45 - j 39.69 VA
3v 1
10cos 2t V ~ 4 1 3F
30. Vrms = 220 V, P = 1 kW, |Z |= 40 W (inductive)
(A) 1000 - j 68125
. VA (B) 1000 + j 68125
. VA
Fig. P1.7.36
. + j1000 VA
(C) 68125 . - j1000 VA
(D) 68125
(A) 0.8 (leading) (B) 0.8 (lagging)
31. Vrms = 2120 V, Vrms = 2120 V, I rms = 8.5 - 50 A
(C) 36.9 (leading) (D) 39.6 (lagging)
(A) 154.6 + j 89.3 VA (B) 154.6 - j 89.3 VA
(C) 61 + j167.7 VA (D) 61 - j167.7 VA 37. The average power supplied by the dependent
source is
32. Vrms = 120 30 V, Z = 40 + j 80 W Ix j1.92

(A) 72 + j144 VA (B) 72 - j144 VA


(C) 144 + j72 VA (D) 144 - j72 VA
290 A
o
~ 4.8 W 1.6Ix 8W

33. Vo = ?
+ Fig. P1.7.37

(A) 96 W (B) -96 W


60o A ~ 16 kW
0.9 pf lagging VO
20 kW
0.8 pf lagging
(C) 92 W (D) -192 W

Fig. P1.7.33 38. In the circuit of fig. P1.7.38 the maximum power
absorbed by Z L is
10 W j15
(A) 7.132. 29 kV (B) 42.59 32.29 kV
(C) 38.49 24.39 kV (D) 38.49 32. 29 kV
1200o V ~ -j10 ZL

34. A relay coil is connected to a 210 V, 50 Hz supply. If


it has resistance of 30 W and an inductance of 0.5 H, the
apparent power is Fig. P1.7.38

(A) 30 VA (B) 275.6 VA


(A) 180 W (B) 90 W
(C) 157 VA (D) 187 VA
(C) 140 W (D) 700 W
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
66
ForSinusoidal
E-books/Materials/Notes-PDFs|PPTs
Steady State Analysis Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 1.7

39. The value of the load impedance, that would The line impedance connecting the source to load is
absorbs the maximum average power is 0.3 + j0.2 W. If the current in a phase of load 1 is
j100
I = 10 20 A rms , the current in source in ab branch is
(A) 15 - 122 A rms (B) 8.67 - 122 A rms
(C) 15 27.9 A rms (D) 8.67 - 57.9 A rms
320o A
~ 80 W -j40 ZL

45. An abc phase sequence 3-phase balanced


Fig. P1.7.39 Y-connected source supplies power to a balanced
D connected load. The impedance per phase in the load
(A) 12.8 - j 49.6 W (B) 12.8 + j 49.6 W is 10 + j 8 W. If the line current in a phase is
. - j 86.3 W
(C) 339 . + j 86.3 W
(D) 339 I aA = 28.10 - 28.66 A rms and the line impedance is
zero, the load voltage V AB is
Statement for Q.4041:
(A) 207.8 - 140 Vrms (B) 148.340 Vrms
In a balanced Yconnected three phase generator
(C) 148.3 - 40 Vrms (D) 207.8 40 Vrms
Vab = 400 Vrms
46. The magnitude of the complex power supplied by a
40. If phase sequence is abc then phase voltage
3-phase balanced Y-Y system is 3600 VA. The line
Va , Vb , and Vc are respectively
voltage is 208 Vrms . If the line impedance is negligible
(A) 2310 , 231120 , 231240 and the power factor angle of the load is 25, the load
(B) 231 - 30 , 231 - 150 , 23190 impedance is
(C) 23130 , 231150 , 231 - 90 (A) 5.07 + j10.88 W (B) 10.88 + j5.07 W

(D) 23160 , 231180 , 231 - 60 . + j14.6 W


(C) 432 (D) 14.6 + j 432
. W

41. If phase sequence is acb then phase voltage are


(A) 2310 , 231120 , 231240
(B) 231 - 30 , 231 - 150 , 23190 ***********

(C) 23130 , 231150 , 231 - 90


(D) 23160 , 231180 , 231 - 60

42. A balanced three-phase Y-connected load has one


phase voltage Vc = 277 45 V. The phase sequence is
abc. The line to line voltage V AB is
(A) 480 45 V (B) 480 - 45 V
(C) 339 45 V (D) 339 - 45 V

43. A three-phase circuit has two parallel balanced D


loads, one of the 6 W resistor and one of 12 W resistors.
The magnitude of the total line current, when the
line-to-line voltage is 480 Vrms , is
(A) 120 A rms (B) 360 A rms
(C) 208 A rms (D) 470 A rms

44. In a balanced three-phase system, the source has an


abc phase sequence and is connected in delta. There are
two parallel Y-connected load. The phase impedance of
load 1 and load 2 is 4 + j 4 W and 10 + j 4 W respectively.
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
67
For E-books/Materials/Notes-PDFs|PPTs
UNIT 1 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Networks

-j
SOLUTIONS 7. (C) Z = ||( 6 + j(27m) w)
w(22m )
- j106 27 10 3 j 6 106
1. (D) Z = 3 + j(25m)( 300) = 3 + j7.5 W = 8.08 68. 2 ( 6 + j27 10 -3 w) -
20 0 = 22 w = 22 22 w
I= = 2.48 - 68. 2 A 10 6
106
8.08 68. 2 6 + j(27mw - ) 6 + jw 27m -
22 w 22 w2
i( t) = 2.48 cos ( 300 t - 68. 2 ) A
- j 36 106 j27 10 3 106
- w 27m - = 0
w22 22 22 w2
1
2. (A) Y = + j(1m)(10 3) = 0.5 + j = 112
. 63.43
2 w = 1278
(10) w 1278
VC = = 0.89 - 63.43 V f = Hz = = 203 Hz
. 63.43
112 2p 2p
vC ( t) = 0.89 cos (10 3 t - 63.43 ) V
8. (C) Vs = 7.68 47 V, V2 = 7.5135
-j V1 = Vs - V2 = 7.68 47 - 7.5135 = 159
. 125
3. (A) Z = 5 + = 5 - j5 = 5 5 - 45
(0.1)(2)
(10) (5 - 90 ) 1 9. (B) vin = 32 + (14 - 10) 2 = 5
VC = = - 45 V
5 2 - 45 2
1 10. (C) I1 = 744 - 118 mA,
vC ( t) = cos (2 t - 45 ) V
2
I 2 = 540 100 mA
I = I1 + I 2 = 744 - 118 + 540.5 100
-j
4. (D) Z = 9 + j( 3)(5) + = 9 + j11 = 460 - 164
(50m) (5)
i( t) = 460 cos ( 3t - 164 ) mA
Z = 14.2150.71 W
( 8 0)( 4 - 90 ) VC V - 20 0
VC = = 2. 25 140.71 V 11. (A) 2 45 = + C
14. 2150.71 - j4 j5 + 10
vC ( t) = 2. 25 cos (5 t - 140.71 ) V j50 10 W

10 0
+
1 10 0 200 V
o
5. (B) Va =
1 1 1
=
. + j0.4
105
V 245o A ~ -j4 VC ~
+ +
1 - j2 4 + j 8
Va 10 0
I= = = 1 - 84. 23 A Fig. S1.7.11
4 + j 8 1 + j10
1W 4W
Va (1 + j)( - j 4)(10 + j5) = VC (10 + j5 - j 4) + j 8
I
60 - j100 = VC (10 + j)
100 V
o
~ -j2 j8 VC = 11.6 - 64.7

12. (D) X = X L + X C = 0
Fig. S1.7.5 So reactive power drawn from the source is zero.
i( t) = cos (2 t - 84.23 ) A
13. (B) Z1 Z 4 = Z 3Z 2
6. (D) w = 2 p 10 10 3 = 2 p 10 4 300 Z 4 = ( 300 - j 600)(200 + j100)
-j 1
Y = j(1m )(2 p 10 4 ) + + Z 4 = 400 - j 300
(160m )(2 p 10 ) 36
4

= 0.0278 - j0.0366 S 14. (A) R - C causes a positive phase shift in voltage


1 Z =|Z |q , -90 < q < 0 ,
Z= = 1316
. + j17.33 W
Y V V
I= = -q
Z |Z |
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
68
ForSinusoidal
E-books/Materials/Notes-PDFs|PPTs
Steady State Analysis Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 1.7

120 15 20. (B) Let Vo be the voltage across current source


- 6 30
40 + j20 Vo - 4 Vx Vo - Vx
15. (C) Vo  = 124 - 154 + =3
1 1 1
+ + 20 j10
40 + j20 - j 30 50
Vo(20 + j10) - (20 + j 40) Vx = j 600
Vo(20) V
16. (C) 10 sin ( t + 30 ) = 10 cos ( t - 60 ) Vx = Vo = x (2 + j)
20 + j10 2
10 - 60 20 - 45
+ (2 + j)(20 + j10)
Vo =
j 3 Vx = - 20(1 + j2) = j 600
1 1 1 2
+ +
j -j 3 j 600
Vx = = 29. 22 - 166
-5 - j20
= 30 - 150 +20 - 45
Vo = 315
. - 112 V
j V - V2
j1 3W 21. (A) I1 = V3 + 3 = j0.1V2 + j0.4 V3
2 j10

+ = (0.190 )(0.757 66.7 ) + (0.4 90 )(0.606 - 69.8 )


10-60 Vo
~ -j1 W Vo

~ 20-45 V
o
I1 = 0.196 35.6

Vo Vo - 3Vo
22. (A) + = 4 - 30
Fig. S.1.7.16 2 j4
Vo(0.5 + j0.5) = 3.46 - j2 Vo = 5.65 - 75
j j
17. (C) 5 0 = I1 j 4 + 1 + 1 - - I 2 1 -
4 4 23. (D) I 2 = 4 90 , I 3 = 2 0
j4 1W 1W j4
-j3 j4

1W +
50 V
o
~ I1 I2 ~ 10-30 V
o
120 V
o
~ I1 2W Vo I2 ~ 490 V
o

-j0.25 W

2W 2W
Fig. S.1.7.17
~

( 8 + j15) I1 - ( 4 - j) I 2 = 20 0 ...(i)
20o A
j j
-10 - 30 = I 2 (1 + j 4 + 1 - ) - I1 (1 - ) Fig. P1.7.23
4 4
( 4 - j) I1 - ( 8 + j15) I 2 = 40 - 30 ...(ii)
I1 [( 8 + j15) - ( 4 - j) ]
2 2
12 0 = I1 ( - j 3 + 2 + 2) + 8 90 -4 0
= (20 0)( 8 + j15) - ( 40 - 30 )( 4 - j) I1 = 352
. + j0.64
I1 ( -176 + j248) = 41.43 + j 414.64 Vo = 2( 352
. + j0.64 + j 4) = 11.65 52.82 V
I1 = 103
. - j0.9 = 1.37 - 4107
.
24. (D) I 2 = 30 A , I 4 - I 3 = 6 0 A
( 8 + j15)(103
. - j0.9) - 20 0 Io
18. (B) I 2 =
4-j
= -0.076 + j2.04 I 2 = 2.04 92.13 1590o V ~ 2W I2
~ 30o A
-j4 j2

19. (B) 10 30 = 4 I1 - 0.5 I x + ( - j2) I x

( - j2) I x = ( I1 - I x ) j 3, I1 =
Ix 1W I3 ~ 60o A
I4
1W
3
4 10 30
10 30 = - 0.5 - j2 I x Ix = Fig. S.1.7.24
3 2.17 - 67.38
I 3(1) + ( I 3 - I o)( - j 4) + ( I 4 + I 2 )( j2) + I 4 = 0
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
69
For E-books/Materials/Notes-PDFs|PPTs
UNIT 1 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Networks

I 3 + ( I 3 - I o)( - j 4) + ( I 3 + 6 0 +30 )( j2) + I 3 + 60 = 0 |V |2 (120) 2


32. (A) S = = = 72 + j144 VA
I 3(2 - j2) + I o( j 4) = -18 j - 6 Z* 40 - j 80
-I ( j2) - 3 - 9 j
I3 = o 16
(1 - j) 33. (A) S1 = 16 + j sin (cos -1 (0.9)) = 16 + j7.75
0.9
I3 = Io + 3 - j6 20
S2 = 20 + j sin (cos -1 (0.8)) = 20 + j15
15 90 = ( I o + 30 )(2) + ( I o - I 3)( - j 4) 0.8
j15 = 2 I o + 6 + ( j 4)( 3 - j 6) S = S1 + S2 = 36 + j2.75 = 42.59 32.29
S = VoI * = 6 Vo Vo = 7.132.29
( j10)( 8 - j5)
25. (A) Z TH = = 9 + j 4.4
8 + j10 - j5 34. (B) Z = 30 + j(0.5)(2 p)(50) = 30 + j157,
( 32 0 )( j10) |V |2 (210) 2
VTH = = 339
. 58 V S= =
8 + j10 - j5 Z *
30 - j157
(210) 2
Apparent power =|S |= = 275.6 VA
26 (D) ( 600 - j 300) I1 + j 300 I 2 = 9 ...(i) 30 2 + 152 2
300 I 2 = 3V1 , V1 = ( - j 300)( I1 - I 2 )
I 2 = - j 3( I1 - I 2 ) 3I1 = ( 3 + j) I 2 ...(ii) ( - j2)( j5 - j2)
35. (D) Z = 4 +
- j2 + j5 - j2
Solving (i) and (ii) I 2 = 12.36 - 16 mA
Voc = 300 I 2 = 371
. - 16 = 4 - j 6 = 7.21 - 56.31,
pf = cos 56.31 = 0.555 leading
-2 V1 - V1 = 0 V1 = 0
9 0 10 - V1
I sc = = 15 0 mA 36. (A)
V1 3
+ V1 = V1 = 4 36.9 ,
600 4 4 1 - j15
.
V . - 16
311
Z TH = oc = = 247 - 16 W 4W 1W
I sc 15 0 10 -3
I1 + V1
27. (C) S = P - jQ = 269 - j150 VA
3V
100o V ~ 4 1
-j1.5

28. (D) pf = cos q = 0.9 q = 25.84


Q 2000
Q = S sin q S= = = 4588.6 VA Fig. S.1.7.36
sin q sin 25.84
P = S cos q = 4129.8, I1 = 136.9
S = 4129.8 - j2000 (136.9 )(10 0 )
S= = 5 - 36.9
2
Q 45 pf = cos 36.9 = 0.8 leading
29. (A) Q = S sin q sin q = = or
S 60
q = 48.59 , 37. (A) (2 - 90 ) 4.8 = - I x ( 4.8 + j192
. ) + 0.6 I x ( 8)
P = S cos q = 39.69, Ix j1.92
Va

S = 39.69 + j 45 VA
4.8 W
|V |2 (220 2 ) 1.6Ix 8W
30. (B) S = rms = = 1210
|Z | 40
P 1000
(290o)4.8 V ~
cos q = = = 0.8264 or q = 34.26 ,
S 1210
Fig. S.1.7.37
Q = S sin q = 68125,
.
S = 1000 + j 68125
. VA I x = 5 0 , Va = 0.6 5 8 = 24 0 ,
1
31. (C) S = Vrms I rms
*
= (2120 )( 8.5 50 ) Pave = 24 1.6 5 = 96
2
= 61 + j167.7 VA
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
70
ForSinusoidal
E-books/Materials/Notes-PDFs|PPTs
Steady State Analysis Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 1.7

( - j10)(10 + j15) I aA
38. (A) Z TH  = 8 - j14 W 45. (D) I AB = ( q + 30 ) = 16.22 1.34 A rms
10 + j15 - j10 3
120( - j10) V AB = I AB Z D = (16. 22 1.340 )(10 + j 8)
VTH = = 107.3 - 116.6 V
10 + j5 = 207.8 40 Vrms
107.3 - 116.6
IL = = 6.7 - 116.6
16 3600
46. (B) |S |= 3VL I L IL = = 10 A rms
1 208 3
PLmax = ( 6.7) 2 8 = 180 W
2 208
ZY = 25 = 12 25 = 10.88 + j5.07 W
10 3
( - j 40)( 80 + j100)
39. (B) Z TH = = 12.8 - j 49.6 W
80 + j 60
********
400
40. (B) Va = - 30 = 231 - 30 V
3
Vb = 231 - 150 V, Vc = 231 - 270 V

41. (C) For the acb sequence


Vab = Va - Vb = Vp 0 - Vp 120
1 3
400 = Vp 1 + - j = Vp 3 - 30

2 2
400
Vp = 30
3
Va = Vp 0 = 23130 V,
Vb = Vp 120 = 231150 V
Vc = Vp 240 = 231 - 90 V

42. (B) V A = 277 ( 45 -120 ) = 277 - 75 V


VB = 277 ( 45 + 120 ) = 277 165 V
V AB = V A - VB = 480 - 45 V

43. (C) Z A = 6 ||12 = 4,


480
IP = = 120 A rms
4
I L = 3I P = 208 A rms

I aA (10 + j 4)
44 (B) I = = 10 20
(10 + j 4) + ( 4 + j 4)

a IaA

Iac Iab

Ibc IbB
c
b
icC

Fig. S.1.7.44

I aA = 15 - 27.9 A rms
|I |
I ab = - aA ( q + 30 ) = 8.67 - 122.1 A rms
3
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
71
For E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com

CHAPTER

1.8
CIRCUIT ANALYSIS IN THE S-DOMAIN

1. Z ( s)  ? s2 + 1 2( s 2 + 1)
(A) (B)
1F 2H s2 + 2 s + 1 ( s + 1) 2
2 s2 + 1 s2 + 1
(C) 2 (D)
s + 2s + 2 3s + 2
Z(s)
1 1
4. Z ( s) = ?
1

Fig. P1.8.1
s 2 + 15 . s+1 s 2 + 3s + 1 Z(s)


(A) (B) 1H 1

2
s( s + 1) s( s + 1)
2 s 2 + 3s + 2 2 s 2 + 3s + 1
(C) (D)
s( s + 1) 2 s( s + 1)
0.5 F
Fig. P1.8.4
2. Z ( s) = ? 3s 2 + 8 s + 7 s(5 s + 6)
(A) (B)
s(5 s + 6) 3s 2 + 8 s + 7
1F
3s 2 + 7 s + 6 s(5 s + 6)
Z(s) (C) (D)
1 1H s(5 s + 6) 3s 2 + 7 s + 6
1

5. The s-domain equivalent of the circuit of Fig.P1.8.5. is


t=0
Fig. P1.8.2 3

s + s+1
2
2s + s + 1
2
(A) (B)
s( s + 1) s( s + 1) +
6V 3F vC
s( s + 1) s( s + 1)
(C) (D) 2
2 s2 + s + 1 s + s+1

Fig. P1.8.5
3. Z ( s) = ?
3 3
+
1
1H
Z(s) 3s +
2 1 2A
VC(s) 3s VC(s)
1F 6 V -
s
-

Fig. P1.8.3 (A) (B)

(C) Both A and B (D) None of these


www.gatehelp.com
For Updates,Like:
Page www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
72
ForCircuit
E-books/Materials/Notes-PDFs|PPTs
Analysis in the s-Domain Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 1.8

6. The sdomain equivalent of the circuit shown in Fig. 9. For the network shown in fig. P1.8.9 voltage ratio
P1.8.6 is transfer function G12 is
t=0
1H 1H

+ 1F 1F
2A 12  2H vL
+
v1 1F 1F 1F v2
-

Fig. P1.8.6
Fig. P1.8.9

(A) (B) ( s 2 + 2) s2 + 1
(A) (B)
+ + 5 s + 5 s2 + 1
4
5 s + 5 s2 + 1
4

2s ( s 2 + 2) 2 ( s 2 + 1) 2
12  VL 12  2s VL
2A (C) (D)
s 5 s4 + 5 s2 + 1 5 s4 + 5 s2 + 1
4V
- -
10. For the network shown in fig. P1.8.10, the
admittance transfer function is
(C) Both A and B (D) None of these
K ( s + 1)
Y12 =
( s + 2)( s + 4)
Statement for Q.7-8:
3

2
The circuit is as shown in fig. P1.8.78. Solve the
i1 1 i2
problem and choose correct option.
+
+
is 1 1H io v1 2F 1 v2
2F 6
3 -
+ -
vs 1F 1F 1 vo


Fig. P1.8.10

The value of K is
Fig. P1.8.78
(A) -3 (B) 3
V ( s) 1 1
7. H1 ( s)  o ? (C) (D) -
Vs ( s) 3 3
(A) s( s3 + 2 s2 + 3s + 1) -1
11. In the circuit of fig. P1.8.11 the switch is in position
(B) ( s 3 + 3s 2 + 2 s + 1) -1
1 for a long time and thrown to position 2 at t = 0. The
(C) ( s 3 + 2 s 2 + 3s + 2) -1
equation for the loop currents I1 ( s) and I 2 ( s) are
(D) s( s 3 + 3s 2 + 2 s2 + 2) -1 1F
1

I o( s)
8. H 2 ( s) = =? 2 t=0
Vs ( s) 2
12 V i1 3H i2
-s
(A) (B) -( s 3 + 3s 2 + 2 s + 1) -1 1F
( s + 3s + 2 s + 1)
3 2

Fig. P1.8.11
-s
(C) (D) ( s 3 + 2 s 2 + 3s + 2) -1
( s + 2 s + 3s + 1)
3 2
 1 12
2 + 3s + s - 3s  I1 ( s)  s
(A) 
1 I ( s)  
 -3s 2+  2
0
 s


www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
73
For E-books/Materials/Notes-PDFs|PPTs
UNIT 1 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Networks

 1  12 3F 4F
2 + 3s + s - 3s  I1 ( s)  s
S1 S2
Va
(B) 
1 I ( s)   - + + -
 -3s 2+  2
 0 5V 1V
 s

+
10 V 2F 6V 5V
 1  12 -
2  3s  s 3s  I1 ( s)  s
(C)  
1 I 2 ( s)

 3s 2  3s   0 Fig. P1.8.14
 s


(A) 9
V (B) 9e  t V
 1 12 t

2  3s  s 3s  I1 ( s)  s (C) 9 V (D) 0 V


(D)  
1 I 2 ( s)

 3s 2  3s  0
 s

15. A unit step current of 1 A is applied to a network
whose driving point impedance is
12. In the circuit of fig. P1.8.12 at terminal ab
V ( s) ( s  3)
Thevenin equivalent is Z ( s)  
I ( s) ( s  2) 2
1
s The steady state and initial values of the voltage
a
developed across the source would be respectively
3 1 3
+ (A) 4
V, I V (B) 4
V, 4
V
4 A 2 2Vo(s)
(s+1) Vo(s) 3 3
- (C) 4
V, 0 V (D) 1 V, 4
V

b
16. In the circuit of Fig. P1.8.16 i(0)  1 A, vC (0)  8
Fig. P1.8.12 4
V and v1  2 e 2 10 t u( t). The i( t) is
50  1m H
8( s  2) (2 s  1) i
(A) VTH ( s)  , Z TH ( s) 
3s( s  1) 3s
+
8( s  2) (2 s  1) v1 2.5 F vC
(B) VTH ( s)  , Z TH ( s) 
3s( s  1) 3s
4( s  3) (2 s  1)
(C) VTH ( s)  , Z TH ( s)  Fig. P1.8.16
3s( s  1) 6s
4 4 4
4( s  3) (2 s  1) (A) 1
[10 e10 t
 3e2 10 t
 22 e4 10 t ]u( t) A
(D) VTH ( s)  , Z TH ( s)  15

3s( s  1) 6s 4 4 4
(B) 1
15
[ 10 e 10 t
 3e 2 10 t
 22 e 4 10 t ]u( t) A
4 4 4
13. In the circuit of fig. P1.8.13 just before the closing of (C) 13 [10 e10 t
 3e2 10 t
 22 e4 10 t ]u( t) A
switch at t  0, the initial conditions are known to be 4 4 4
(D) 13 [ 10 e 10 t
 3e 2 10 t
 22 e 4 10 t ]u( t) A
 
vC1 (0 )  1 V, vC2 (0 )  0. The voltage vC1 ( t) is
17. In the circuit shown in Fig. P1.8.18 v(0  )  8 V and

+
t=0 iin ( t)  4( t). The vC ( t) for t  0 is
+
vC1 1F 1F vC2
- -
+
iin 50  20 mF vC

Fig. P1.8.13

(A) u( t) V (B) 0.5 u( t) V


t
Fig. P1.8.17
(C) 0.5 e V (D) e  t V
(A) 164e t V (B) 208e t V

14. The initial condition at t  0 of a switched capacitor (C) 208(1  e 3t ) V (D) 164 e 3t V
circuit are shown in Fig. P1.8.14. Switch S1 and S2 are
closed at t  0. The voltage va ( t) for t 0 is

www.gatehelp.com
For Updates,Like:
Page www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
74
ForCircuit
E-books/Materials/Notes-PDFs|PPTs
Analysis in the s-Domain Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 1.8

18. The driving point impedance Z ( s) of a network has The steady state voltage across capacitor is
the pole zero location as shown in Fig. P1.8.18. If (A) 6 V (B) 0 V
Z(0)  3, the Z ( s) is (C)  (D) 2 V
j

1
23. The transformed voltage across the 60 mF
capacitor is given by

-1
-3 20 s + 6
VC ( s) =
-1 (10 s + 3)( s + 4)

Fig. P1.8.18 The initial current through capacitor is


4( s + 3) 2( s + 3) (A) 0.12 mA (B) - 0.12 mA
(A) (B)
s2 + s + 1 s + 2s + 2
2
(C) 0.48 mA (D) - 0.48 mA
2( s + 3) 4( s + 3)
(C) 2 (D) 2
s + 2s + 2 s + s+2 24. The current through an 4 H inductor is given by
10
I L ( s) =
Statement for Q.19-21: s( s + 2)
The circuit is as shown in the fig. P1.8.1921. All The initial voltage across inductor is
initial conditions are zero. (A) 40 V (B) 20 V
io
(C) 10 V (D) 5 V

25. The amplifier network shown in fig. P1.8.25


iin 1H 1 1F 1
is stable if
4 1F 1H

Fig. P1.8.1921

+ +
I o( s)
19. =? 2 v1 Amplifier v
I in ( s) gain=K 2

- -
( s + 1)
(A) (B) 2 s( s + 1) -1
2s
Fig.P1.8.25
(C) ( s + 1) s -1 (D) s( s + 1) -1
(A) K  3 (B) K  3
20. If iin ( t) = 4( t) then io( t) will be 1 1
(C) K  (D) K 
(A) 4( t) - e- t u( t) A 3 3
(B) 4 ( t) - 4 e- t u( t) A
26. The network shown in fig. P1.8.26 is stable if
(C) 4 e - t u( t) - 4 ( t) A
1 2F
(D) e - t u( t) - ( t) A
+

21. If iin ( t)  tu( t) then io( t) will be Kv2 1F 1 v2


(A) e - t u( t) A (B) (1 - e - t ) u( t) A
-
(C) u( t) A (D) (2 - e - t ) u( t) A
Fig.P1.8.26
22. The voltage across 200 mF capacitor is given by
5 5
(A) K  (B) K 
2s + 6 2 2
VC ( s) = 2 2
s( s + 3) (C) K  (D) K 
5 5

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
75
For E-books/Materials/Notes-PDFs|PPTs
UNIT 1 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Networks

27. A circuit has a transfer function with a pole s = - 4 Io


31. The current ratio transfer function is
and a zero which may be adjusted in position as s = - a Is
The response of this system to a step input has a term s( s  4) s( s  4)
(A) (B)
of form Ke -4 t . The K will be (H= scale factor) s  3s  4
2
( s  1)( s  3)

 a  a s 2  3s  4 ( s  1)( s  3)
(A) H  1 -  (B) H  1 +  (C) (D)
 4  4 s( s  4) s( s  4)

 a  a
(C) H  4 -  (D) H  4 +  32. The response is
 4  4
(A) Over damped (B) Under damped

28. A circuit has input vin ( t)  cos 2 t u( t) V and output (C) Critically damped (D) cant be determined

io( t)  2 sin 2 t u( t) A. The circuit had no internal stored


33. If input is is 2u( t) A, the output current io is
energy at t  0. The admittance transfer function is
2 s (A) (2 e  t  3te 3t ) u( t) A (B) ( 3te  t  e 3t ) u( t) A
(A) (B)
s 2 (C) ( 3e  t  e 3t ) u( t) A (D) ( e 3t  3e  t ) u( t) A
1
(C) s (D)
s 34. In the network of Fig. P1.8.34, all initial condition
are zero. The damping exhibited by the network is
29. A two terminal network consists of a coil having an
inductance L and resistance R shunted by a capacitor 1F
4 2H
C. The poles of the driving point impedance function Z
of this network are at  12  j 2
3
and zero at 1. If +
Z(0)  1 the value of R, L, C are vs 2 vo
-
1 1
(A) 3 , 3 H, F (B) 2 , 2 H, F
3 2
Fig. P1.8.34
1
(C) 1 , 2 H, F (D) 1 , 1 H, 1 F
2 (A) Over damped
(B) Under damped
30. The current response of a network to a unit step (C) Critically damped
input is
(D) value of voltage is requires
10( s  2)
Io  2
s ( s  11s  30) 35. The voltage response of a network to a unit step
input is
The response is
(A) Under damped (B) Over damped 10
Vo( s) 
s( s 2  8 s  16)
(C) Critically damped (D) None of the above
The response is
(A) under damped (B) over damped
Statement for Q.31-33:
(C) critically damped (D) cant be determined
The circuit is shown in fig. P1.8.31-33.
36. The response of an initially relaxed circuit to a
io signal vs is e 2 t u( t). If the signal is changed to vs   2 dvs
dt 
1H
, the response would be
is 1F
3
4 (A) 5 e 2 t u( t) (B) 3e 2 t u( t)

(C) 4 e 2 t u( t) (D) 4 e 2 t u( t)
Fig. P1.8.31-33

www.gatehelp.com
For Updates,Like:
Page www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
76
ForCircuit
E-books/Materials/Notes-PDFs|PPTs
Analysis in the s-Domain Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 1.8

37. Consider the following statements in the circuit s2 + 7 s + 6


42. The network function is a
shown in fig. P1.8.37 s+2

(A) RL impedance function (B) RL admittance


i 2H 4
(C) LC impedance function (D) LC admittance
+
1
1F vC
10 V 2
43. A valid immittance function is
( s + 4)( s + 8) s( s + 1)
(A) (B)
( s + 2)( s - 5) ( s + 2)( s + 5)
Fig. P1.8.37
s( s + 2)( s + 3) s( s + 2)( s + 6)
(C) (D)
1. It is a first order circuit with steady state value of ( s + 1)( s + 4) ( s + 1)( s + 4)
10 5
vC  , i A
3 3 s 2 + 8 s + 15
44. The network function is a
2. It is a second order circuit with steady state of s2 + 6 s + 8
vC  2 V , i  2 A (A) RLadmittance (B) RC admittance
V ( s) (C) LC admittance (D) Above all
3. The network function has one pole.
I ( s)
V ( s) 45. A impedance function is given as
4. The network function has two poles.
I ( s) 3( s + 2)( s + 4)
Z ( s) =
The true statements are s( s + 3)
(A) 1 and 3 (B) 1 and 4 The network for this function is
(C) 2 and 3 (D) 2 and 4 1 1
1F 3 3
3 8 3 8F
s 2 + 10 s + 24
38. The network function represent a
s 2 + 8 s + 15

(A) RC admittance (B) RL impedance 1F 1F

(C) LC impedance (D) None of the above

s( s + 4)
39. The network function represents
( s + 1)( s + 2)( s + 3) (A) (B)
an
(A) RC impedance (B) RL impedance
1F
1H
(C) LC impedance (D) None of these
1 1F 1 1H
3 8 3 8
3
s( 3s + 8) 3
40. The network function represents an
( s + 1)( s + 3)

(A) RL admittance (B) RC impedance (C) (D)


(C) RC admittance (D) None of the above

( s + 1)( s + 4) ************
41. The network function is a
s( s + 2)( s + 5)

(A) RL impedance function


(B) RC impedance function
(C) LC impedance function
(D) Above all

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
77
For E-books/Materials/Notes-PDFs|PPTs
UNIT 1 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Networks

K ( s + 3) K ( s + 3) 26. (B) Let v1 be the node voltage of middle node


18. (B) Z ( s)  = 2
( s - ( -1 + j))( s - (1 - j)) s + 2 s + 2 KV2 ( s)  2 sV2 ( s)
V1 ( s) 
3K 1  2s  s
Z (0) = =3  K 2
2
 ( 3s  1) V1 ( s)  (2 s  K ) V2 ( s)
2 sV1 ( s)
s  V2 ( s) 
I ( s) s+1 s 2s  1
19. (D) o  =
I in ( s) s
+
1 s+1  (2 s  1) V2 ( s)  2 sV1 ( s)
s+1 s+1
 ( 3s  1)(2 s  1)  2 s(2 s  K )
2 s 2  (5  2 K ) s  1  0,
20. (B) I in ( s) = 4
5
4s 4 5  2 K 0, K
I o( s) = =4-  io( t) = 4 ( t) - 4 e - t u( t) 2
s+1 s+1
H ( s  a)
1 27. (A) H ( s) 
21. (B) I in ( s)  , s4
s2
 a
1 1 1 H 1  
I o( s)  = - H ( s  a) Ha
 
4
s( s + 1) s s + 1 R( s)  
s( s  4) 4s s4
io( t) = u( t) - e - t u( t) = (1 - e - t ) u( t)
Ha  a
r ( t)  u( t)  H  1  e  4 t
2s + 6 4  4
22. (D) vC ( )  lim sVC ( s)  lim =2 V
s 0 s 0 s+3
s 2 I o( s) 2
28. (A) Vin ( s)  , I o( s)  2 , 
s2  1 s  1 Vin ( s) s
s(20 s + 6)
23. (D) vC (0 + )  lim sVC ( s) =2 V
s  (10 s + 3)( s + 4)
1 1 R
CdvC ( sL  R) s  
iC   I C ( s) = C[ sVC ( s) - vC (0 )]+
29. (D) Z ( s)  sC  C  L
dt 1 R 1
sL  R  s2  
 s(20 s + 6)  480 10 6 (10 s  3) sC L LC
 60 10 -6  - 2  =
 (10 s + 3)( s + 4)  10 s 2  43s  12
Z ( s) 
K ( s  1)
 2
K ( s  1)
iC (0  )  lim sI C ( s)   480 10 6   0.48 mA  1 3  1 3 ( s  s  1)
s   j  s   j 
s   2 
2  2 2 

d iL
24. (A) vL  L  VL ( s)  L [ sI L ( s)  iL (0  )]
dt
sL
10
iL (0  )  lim sI L ( s)  0 Z(s) 1
s  s2 Cs
R
40 s 40
VL ( s)  
s( s  2) s  2
s 40 Fig. S1.8.29
vL (0  )  lim sVL ( s)   40
s  s2
Since Z (0)  1, thus K  1
1 R 1
25. (A) V2 ( s)  KV1 ( s)  1,  1, 1
C L LC
V1 ( s) V1 ( s)  KV1 ( s)
  0  C  1, L  1, R  1
2 1
4s
s
30. (B) The characteristic equation is
1
4  s   2  2K  0 s 2 ( s 2  11s  30)  0  s 2 ( s  6) ( s  5) =0
s
s  6,  5, Being real and unequal, it is overdamped.
 s2  ( 6  2 K ) s  1  0
(6  2K ) 0  K 3

www.gatehelp.com
For Updates,Like:
Page www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
80
ForCircuit
E-books/Materials/Notes-PDFs|PPTs
Analysis in the s-Domain Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 1.8

Io s+4 s( s + 4) 39. (D) Poles and zero does not interlace on negative
31. (B)  =
Is s + 4 + 3 ( s + 1)( s + 3) real axis so it is not a immittance function.
s
40. (C) The singularity nearest to origin is a zero. So it
32. (A) The characteristic equation is ( s + 1) ( s + 3) = 0.
may be RL impedance or RC admittance function.
Being real and unequal root, it is overdamped response. Because of (D) option it is required to check that it is a
valid RC admittance function. The poles and zeros
2
33. (C) is = 2 u( t)  I s ( s)  interlace along the negative real axis. The residues of
s
YRC ( s)
2( s + 4) 3 1 are real and positive.
I o ( s)  = - s
( s + 1)( s + 3) s+1 s+ 3
io = ( 3e- t - e-3t ) u( t) A 41. (B) The singularity nearest to origin is a pole. So it
may be RC impedance or RL admittance function.
V ( s) 2 1
34. (B) o = = 2
+ 2s + 2 s + s + 2
Vs ( s) 4 s 2 + 7 s + 6 ( s + 1)( s + 6)
s 42. (A) =
s+2 ( s + 2)
The roots are imaginary so network is underdamped.
The singularity nearest to origin is at zero. So it may be
RC admittance or RL impedance function.
35. (C) The characteristic equation is
s( s 2 + 8 s + 16) = 0, ( s + 4) 2 = 0, s = -4, - 4 43. (D)
Being real and repeated root, it is critically damped. (A) pole lie on positive real axis
(B) poles and zero does not interlace on axis.
1
36. (B) vo = e -2 t u( t)  Vo( s)  H ( s) Vs ( s)  (C) poles and zero does not interlace on axis.
s+2
(D) is a valid immittance function.
2 dvs
v!s = vs +  Vs!( s) = (1 + 2 s) Vs ( s)
dt
s 2 + 8 s + 15 ( s + 3) ( s + 5)
Vo!( s)  H ( s) Vs!( s) = (1 + 2 s) Vs ( s) H ( s) 44. (A) =
s 2 + 6 s + 8 ( s + 2) ( s + 4)
1 + 2s 3
Vo!( s)  =2 -  v!o = 2 ( s) - 3e -2 t u( t) The singularity nearest to origin is a pole. So it may be
s+2 s+2
a RL admittance or RC impedance function.
37. (C) It is a second order circuit. In steady state
45. (A) The singularity nearest to origin is a pole. So
10
i =2 A , v 2 1 2 V this is RC impedance function.
4+1
8 1 8 13
10 5( s + 2) Z ( s) = 3 + + =3+ +
I ( s)  = s s+3 s 1+ s
2s + 4 +
1 ( s + 2) 2 + 1
3
1
1+ s
2 **************
10
1
1+ s
2 10
V ( s) = =
(2 s + 4) +
1 ( s + 2) 2 + 1
1
1+ s
2
V ( s) 2
= , It has one pole at s = -2
I ( s) s + 2

s 2 + 10 s + 24 ( s + 4)( s + 6)
38. (D) =
s 2 + 8 s + 15 ( s + 3)( s + 5)
The singularity near to origin is pole. So it may be RC
impedance or RL admittance function.
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
81
For E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com

CHAPTER

1.9
MAGNETICALLY COUPLED CIRCUITS

Statement for Q.1-2: 4. If i1  e 2 t V and i2  0, the voltage v2 is


In the circuit of fig. P1.9.1-2 i1  4 sin 2 t A, and (A) 6 e 2 t V (B) 6 e 2 t V

i2  0. . e 2 t V
(C) 15 . e 2 t V
(D) 15
i1 i2

+ 1H + Statement for Q.5-6:


Consider the circuit shown in fig. P19.5-6
v1 2H 1H v2

i1 i2
- - 2H
+ +
Fig. P1.9.1-2
v1 2H 3H v2
1. v1 = ?
- -
(A) 16 cos 2 t V (B) 16 cos 2 t V
(C) 4 cos 2 t V (D) 4 cos 2 t V Fig. P1.9.5-6

5. If current i1  3 cos 4 t A and i2  0, then voltage v1 and


2. v2 = ?
v2 are
(A) 2 cos 2 t V (B) 2 cos 2 t V
(C) 8 cos 2 t V (D) 8 cos 2 t V (A) v1  24 sin 4 t V, v2  24 sin 4 t V

Statement for Q.3-4: (B) v1  24 sin 4 t V, v2  36 sin 4 t V

Consider the circuit shown in Fig. P1.9.3-4 (C) v1  15


. sin 4 t V, v2  sin 4 t V

i1 i2 (D) v1  15
. sin 4 t V, v2  sin 4 t V
+ 3H +

6. If current i1  0 and i2  4 sin 3t A, then voltage v1 and


v1 3H 4H v2
v2 are
- -
(A) v1  24 cos 3t V, v2  36 cos 3t V
Fig. P1.9.5-6
(B) v1  24 cos 3t V, v2  36 cos 3t V
3. If i1  0 and i2  2 sin 4 t A, the voltage v1 is
(C) v1  24 cos 3t V, v2  36 cos 3t V
(A) 24 cos 4 t V (B) 24 cos 4 t V
. cos 4 t V
(C) 15 (D) 15
. cos 4 t V (D) v1  24 cos 3t V, v2  36 cos 3t V

www.gatehelp.com
For Updates,Like:
Page www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
82
ForMagnetically
E-books/Materials/Notes-PDFs|PPTs
Coupled Circuits Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 1.9

Statement for Q.7-8: 12. Leq  ?

In the circuit shown in fig. P1.9.7-8, i1  3 cos 3t A 3.6 H


and i2  4 sin 3t A. Leq
1H
i1 i2

+ 1H + 1.4 H

v1 2H 2H v2
Fig. P1.9.12

-
(A) 4 H (B) 6 H
-
(C) 7 H (D) 0 H
Fig. P1.9.7-8
7. v1 = ? 13. Leq  ?
(A) 6( 2 cos t  3 sin t) V (B) 6( 2 cos t  3 sin t) V
4H
(C) 6(2 cos t  3 sin t) V (D) 6(2 cos t  3 sin t) V Leq
2H

8. v2 = ? 2H
(A) 3( 8 cos 3t  3 sin t) V (B) 6(2 cos t  3 sin t) V
(C) 3( 8 cos 3t  3 sin 3t) V (D) 6(2 cos t  3 sin t) V Fig. P1.9.13

Statement for Q.9-10: (A) 2 H (B) 4 H

In the circuit shown in fig. P1.9.9-10, i1  5 sin 3t A (C) 6 H (D) 8 H

and i2  3 cos 3t A
i1 i2
14. Leq  ?
4H
+ 3H +
Leq 4H 6H
v1 3H 4H v2

- -
Fig. P1.9.14
Fig. P1.9.9-10
9. v1 =? (A) 8 H (B) 6 H
(A) 9(5 cos 3t  3 sin 3t) V (B) 9(5 cos 3t  3 sin 3t) V (C) 4 H (D) 2 H
(C) 9( 4 cos 3t  5 sin 3t) V (D) 9(5 cos 3t  3 sin 3t) V
15. Leq  ?
2H
10. v2 = ?
(A) 9( 4 sin 3t  5 cos 3t) V (B) 9( 4 sin 3t  5 cos 3t) V Leq
4H 2H
(C) 9( 4 sin 3t  5 cos 3t) V (D) 9( 4 sin 3t  5 cos 3t) V

11. In the circuit shown in fig. P1.9.11 if current


Fig. P1.9.15
i1  5 cos (500 t  20  ) mA and i2  20 cos (500 t  20  ) mA,
the total energy stored in system at t  0 is (A) 0.4 H (B) 2 H
i1 i2 (C) 1.2 H (D) 6 H
+ k=0.6 +
16. The equivalent inductance of a pair of a coupled
v1 2.5 H 0.4 H v2
inductor in various configuration are
-
(a) 7 H after series adding connection
-
(b) 1.8 H after series opposing connection
Fig. P1.9.11
(c) 0.5 H after parallel connection with dotted
(A) 151.14 J (B) 45.24 J terminal connected together.
(C) 249.44 J (D) 143.46 J
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
83
For E-books/Materials/Notes-PDFs|PPTs
UNIT 1 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Networks

The value of L1 , L2 and M are 20. Leq = ?

(A) 3 H, 1.6 H, 1.2 H (B) 1.6 H, 3 H, 1.4 H 1H

(C) 3.7 H, 0.7 H, 1.3 H (D) 2 H, 3 H, 3 H 1H


Leq
2H
17. Leq  ?
2H
2H
3H
Leq
4H 2H
Fig. P1.9.20
(A) 1 H (B) 2 H
Fig. P1.9.17 (C) 3 H (D) 4 H

(A) 0.2 H (B) 1 H


21. Leq = ?
(C) 0.4 H (D) 2 H
4H 3H
2H
Leq
18. Leq  ?
3H 3H 2H

Leq
5H 3H
Fig. P1.9.21
41 49
(A) H (B) H
5 5
Fig. P1.9.18
51 39
(A) 1 H (B) 2 H (C) H (D) H
5 5
(C) 3 H (D) 4 H
Statement for Q.22-24:
19. In the network of fig. P1.9.19 following terminal are
Consider the circuit shown in fig. P1.9.2224.
connected together A
(i) none (ii) A to B
B
(iii) B to C (iv) A to C 4H
3H 15t A 6H
2H 6t A
a A 20 H C

2H 5H
4H
3H B D
5H Fig. P1.9.2224
1H
b C
22. The voltage V AG of terminal AD is

Fig. P1.9.19
(A) 60 V (B) 60 V
(C) 180 V (D) 240 V
The correct match for equivalent induction seen at
terminal a  b is 23. The voltage vBG of terminal BD is
(i) (ii) (iii) (iv) (A) 45 V (B) 33 V
(A) 1 H 0.875 H 0.6 H 0.75 H (C) 69 V (D) 105 V

(B) 13 H 0.875 H 0.6 H 0.75 H


24. The voltage vCG of terminal CD is
(C) 13 H 7.375 H 6.6 H 2.4375 H (A) 30 V (B) 0 V

(D) 1 H 7.375 H 6.6 H 2.4375 H (C) 36 V (D) 36 V

www.gatehelp.com
For Updates,Like:
Page www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
84
For E-books/Materials/Notes-PDFs|PPTs
UNIT 1 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Networks

33. In the circuit of fig. P1.9.33 the   2 rad s. The 37. In the circuit of fig. P1.9.37 the maximum power
resonance occurs when C is delivered to RL is
C 10 
2H
1 : 4

Zin 2H 2H 4 100 Vrms


~ RL

Fig. P1.9.33 Fig. P1.9.37


1
(A) 1 F (B) 2
F
1 1
(A) 250 W (B) 200 W
(C) 3
F (D) 6
F
(C) 150 W (D) 100 W
34. In the circuit of fig. P1.9.34, the voltage gain is zero
38. The average power delivered to the 8  load in the
at   333.33 rad s. The value of C is
20  40 
circuit of fig. P1.9.38 is
0.09 H
300  I1 I2

5 : 1
0.12 H 0.27 H + + +
vin
~ 2F vout
-
50 Vrms
~ -0.04V2 V1 8 V2
C - -

Fig. P1.9.34 Fig. P1.9.38

(A) 100 F (B) 75 F (A) 8 W (B) 1.25 kW


(C) 50 F (D) 25 F (C) 625 kW (D) 2.50 kW

35. In the circuit of fig. P1.9.35 at   333.33 rad s, the 39. In the circuit of fig. P1.9.39 the ideal source supplies
voltage gain vout vin is zero. The value of C is 1000 W, half of which is delivered to the 100  load. The
C value of a and b are
20  40  4 25 

k=0.5 1 : a 1 : b
+
vin
~ 0.12 H 0.27 H 20  vout 100 Vrms
~ 100 

Fig. P1.9.35 Fig. P1.9.39


(A) 6, 0.47 (B) 5, 0.89
(A) 3.33 mF (B) 33.33 mF
(C) 0.89, 5 (D) 0.47, 6
(C) 3.33 F (D) 33.33 F
40. I 2  ?
36. The Thevenin equivalent at terminal ab for the
25  I2 2
network shown in fig. P1.9.36 is
60  3 : 1 4 : 3
a
1 : 4 50 Vrms
~ 3

20  20Ix

Ix Fig. P1.9.40
b
Fig. P1.9.36 (A) 1.65 A rms (B) 0.18 A rms
(A) 6 V, 10  (B) 6 V, 4 
(C) 0.66 A rms (D) 5.90 A rms
(C) 0 V, 4  (D) 0 V, 10 

www.gatehelp.com
For Updates,Like:
Page www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
86
ForMagnetically
E-books/Materials/Notes-PDFs|PPTs
Coupled Circuits Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 1.9

41. V2  ?
50  SOLUTIONS
40  di1 di di
1. (B) v1  2  1 2  2 1  16 cos 2 t V
5 : 2
dt dt dt
+
80 Vrms
~ 10  V2
2. (C) v2  (1)
di2 di di
 (1) 1  1  8 cos 2 t V
- dt dt dt

Fig. P1.9.41 di1 di di


3. (B) v1  3  3 2   3 2   24 cos 4 t V
dt dt dt
(A) 12.31 V (B) 12.31 V
(C) 9.231 V (D) 9.231 V di2 di di
4. (C) v2  4  3 1   3 1  6 e 2 t V
dt dt dt
42. The power being dissipated in 400  resistor is
di1 di di
1 4 5. (A) v1  2  2 2  2 1  24 sin 4 t V
dt dt dt
1 : 2 1 : 5 di2 di1 di1
v2  3 2 2  24 sin 4 t V
dt dt dt
10 Vrms
~ 48  400 

di1 di di
6. (D) v1  2  2 2   2 2   24 cos 3t V
Fig. P1.9.42 dt dt dt
di di di
(A) 3 W (B) 6 W v2  3 2  2 1  3 2  36 cos 3t V
dt dt dt
(C) 9 W (D) 12 W
di1 di
7. (A) v1  2 1 2
43. I x  ? dt dt

8 10 
 18 sin t  12 cos t  6 (2 cos t  3 sin t) V
2 : 1
di2 di
8. (A) v2  2 1 1
dt dt
100 0 V
o
~ -j4
j6
 24 cos 3t  9 sin 3t  3 ( 8 cos 3t  3 sin 3t) V
Ix

di1 di
Fig. P1.9.43 9. (A) v1  3 3 2
dt dt
(A) 1921
. 57.4  A (B) 2.931 59.4  A  45 cos 3t  27 sin 3t  9 (5 cos 3t  3 sin 3t) V
(C) 1.68 43.6  A . 43.6  A
(D) 179
di2 di
10. (D) v2  4 3 1
44. Z in  ? dt dt
 36 sin 3t  45 cos 3t  9 ( 4 sin 3t  5 cos 3t) V
j16 6 24  6

1 : 5 4 : 1 1 1
11. (A) W  L1 i12  L2 i22  Mi1 i2
Zin 2 2
-j10
At t  0, i1  4 cos ( 20  )  4.7 mA
i2  20 cos ( 20  )  18.8 mA ,
Fig. P1.9.44 M  0.6 2.5 0.4  0.6
1 1
W  (2.5)( 4.7) 2  (0.4)(18.8) 2  0.6( 4.7)(18.8)
(A) 46.3  j 6.8  (B) 432.1  j0.96  2 2
(C) 10.8  j9.6  (D) 615.4  j0.38   151.3 J

******************** 12. (C) Leq  L1  L2  2 M  7 H


www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
87
For E-books/Materials/Notes-PDFs|PPTs
UNIT 1 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Networks

13. (A) Leq  L1  L2  2 M  4  2  2 2  2 H d( 6 t) d(15 t)


22. (C) v AG  20 4  180 V
dt dt
L1 L2  M 2 24  16
14. (C) Leq   4 H d(15 t) d 6( t) d ( 6 t)
L1  L2  2 M 6  4  8 23. (B) vBG  3 4 6  33 V
dt dt dt

L1 L2  M 2 8 4 d( 6 t)
15. (A) Leq    0.4 H 24. (C) vCG  6  36 V
L1  L2  2 M 6  4 dt

16. (C) L1  L2  2 M  7, L1  L2  2 M  1.8 2 M 2


25. (B) Z  Z11 
L1  L2  4.4, M  1.3 Z 22
2
L1 L2  M 2 1
 0.5, L1 L2  1.32  0.5 1.8 (50) 2  
L1  L2  2 M 1  5 
 4  j (50)  
L1 L2  2.59, ( L1  L2 ) 2  4.4 2  4 2.59  9  10  5  j (50) 1
2
L1  L2  3, L1  37
. , L2  0.7
 4.77  j 115
. 
2
M 4
17. (D) Leq  L1   4  2 H 26. (B) Vs  j (0.8)10(12
. 0)  j (0.2)(10)(2 0)
L2 2
[ 3  j(0.5)(10)] (12
. 0  2 0)
2
M 9  9.6  j21.6  26.64 66.04  V
18. (B) Leq  L1  5  2 H
L2 3
27. (A) [ j(100
) (2)  10 ]I 2  j(100
)(0.4) (2 0)  0
19. (A) I 2  0.4  j0.0064,
Vo  10 I 2  4  j0.064
2H -1 H
3H  4  179.1
vo  4 cos (100
t  179.1 ) V
5H 2H

28. (B) 30 30   I (  j 6  j 8  j 4  j12  j 4  10)


Fig. S.1.9.19 30 30 
I  2.57  j0.043
20. (D) VL1  1sI  1sI  2 sI (10  j 6)

VL 2  2 sI  1sI  2 sI  sI , Vo  I ( j12  j 4  10)


VL 3  3sI  2 sI  sI  (2.57  j0.043)(10  8 j)
VL  VL1  VL 2  VL 3  4 sI Leq  4 H  26.067  j20.14  32.9 37.7  V

21. (B) Let I1 be the current through 4 H inductor and 29. (A)
-j 2 2
I 2 and I 3 be the current through 3 H, and 2 H inductor
- Vx +
respectively
I1  I 2  I 3 , V2  V3 3 -90 A
o
~ I1 j4 j4 I2 ~ 12 30 V
o

3sI 2  3sI1  2 sI 3  2 sI1


j
3I 2  I1  2 I 3 4I2  I3
I1 4 Fig. S1.9.29
I 2  , I 3  I1
5 5
(  j  2  j 4) I1  jI 2   j 3
V  4 sI1  3sI 2  2 sI 3  3sI 2  3s I1
( j 4  2) I 2  jI1  12 30  V
6s 2 4s
 7 sI1  I1  I3 I1  1.45  j0.56,
5 5
49 49 Vx  2 I1  2.9  j112
.
V  sI1 , Leq  H
5 5  311
. 2112
. V

www.gatehelp.com
For Updates,Like:
Page www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
88
ForMagnetically
E-books/Materials/Notes-PDFs|PPTs
Coupled Circuits Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 1.9

20  40 
30. (D)
0.03 H 0.18 H
j10 j8 j10 +
Vin
~ 0.09 H j30
2F Vout
-
j18 j20 j10
-j3
1000C

Fig. S.1.9.30 Fig. P1.9.34


( j14) ( j10  2  j 6)
Z eq  10  j 8  35. (D) The
equivalent circuit of coupled coil is shown
j14  j10  2  j 6
in fig. S1.9.35
 112
.  j112
. 
M
L1L2  M 2
31. (C) Z in  (  j 6)||( Z o)
M 2
L1L2  M
2 L1L2  M
(12) 2 L1 L2
Z o  j20   0.52  j15.7 L2  M L1  M
( j 30  j5  j2  4)
(  j 6)(0.52  j15.7)
Z in   0.20  j9.7 
(  j 6  0.52  j15.7) Fig. S1.9.35

L1 L2  M 2 L1 L2 (1  k2 ) 0.12 0.27 (10


. .5 2 )
32. (D) M  k L1 L2 , M 2  160 10 12    0.27
M k 0.5
2 M 2
Z in  jL1  j
Z L  jL2 Output is zero if  jC  0
0.27 
(250 10 3)2 160 10 12
 j250 10 3 2 10 6 
2  j10  j 250 10 3 80 10 6 1
C  33.33 F
0.27 2
Z in  0.02  j0.17 

36. (C) Applying 1 V test source at ab terminal,


33. (D)
-j
2C 60 
j2 2 1 : 4

V1 ~ I1 j4 j4 I2 4 1V 20  20Ix

Ix

Fig. S.1.9.33 Fig. S1.9.36

jI1
V1    j 4 I1  j2 2 I 2
2C 1
Vab  1 V, I x   0.05 A, V2  4 V ,
0  ( 4  4 j) I 2  j2 2 I1 20
4  60 I 2  20 0.05 I 2  0.05 A
 j 2 I1
I2  I in  I x  I1  I x  4 I 2  0.25 A
2 (1  j)
1
V1  j 2  j  j 8 C  2 C  j2 C RTH  4 , VTH  0
  j4   I in
I1 2 C 1 j 2C
 j  j8C  2C  j 2C
Z in  37. (A) Impedance seen by RL  10 4 2  160 
2C
For maximum power RL  160 , Z o  10 
Im ( Z in )  0  j  j 8 C  j2 C  0
2
1 100 
C PLmax    10  250 W
6  10  10 

3j V2 I V
34. (A) j 30   0, C  100 F 38. (B) I 2  , I1  2  2 , V1  5 V2
1000 C 8 5 40

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
89
For E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com

CHAPTER

1.10
TWO PORT NETWORK

Statement for Q.1-4: 3. [ h]  ?


6 3  8 1
The circuit is given in fig. P.1.10.14
17 
(A)  17 
(B)  3 3
I1 2
2
I2
+
3 24  1 2
+
17 17   3 3
V1 1
3
 6 3 8 1
V2
 
(C)  17 17 
(D)  3 3

 3 24 1 2
- -
 17 17  3 3
Fig. P.1.10.14

1. [ z ]  ? 4. [ T ]  ?
 1 3 1 3 17   17 
8  8
 
2  2 (A)  3 (B)  3
(A)  2 (B)  2  
 17 1 17 1 2 3  2 3
 6 2 6 2  17  17 
  8  8
(C)  3 (D)  3
 
 17 1 17 1  2  3  2  3
 
2  2
(C)  6 (D)  6
1 3 1 3 5. [ z ]  ?
I1 2
2
I2
 6 2 6 2
+ +

V1 1
2
3

2. [ y ]  ? V2

3 1  3 1
8  - -
(A)  8 
(B)  8 8
Fig. P.1.10.5
 1 17  1 17
8 24   8 24   21 1   7 1
 16 8  9 6
(A)  (B) 
 1 7  1 7
17 1  17 1  8 12   6 4
 
(C)  6 2 
(D)  6 2  21 1  7 1

1 3  1 3  8  3
2 2  8 2 (C)  16 (D)  9

 1 7  1 7
 8 12   3 4
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
91
For E-books/Materials/Notes-PDFs|PPTs
UNIT 1 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Networks

6. [ y ]  ? 11. [ y ]  ?
I1 1
2
3
I2 I1 1
I2
+ + + +

V1 2
1
V2 V1 2
1
I1 V2

- - - -

Fig. P.1.10.6 Fig. P.1.10.11


 1   3 
 11 2   11 2   1  1
 (A)  2 (B)  2
 41  41
(A)  41 (B)  41  3
1  1
1
2 19  2 19  2   2 
 41 41   41 41 
 1 1  1 3
19 2   19 2   2  4
  (C)  2 (D)  4
(C)  41 41 
(D)  41 41
 1 3  1 1
2 11  2 11  4 4  2 2
 41 41   41 41 
12. [ z ]  ?
Statement for Q.7-10:
2
2V1
I1 I2
A two port is described by V1  I1 2 V2 , + +

I 2   2 I1 0.4 V2
V1 1
2
V2

7. [ z ]  ? - -

 11 5   11 5  Fig. P.1.10.12
(A)  (B) 
5 2.5 
 5 2.5   4 2  1 1
 
(A)  3 3 
(B)  2 2
1 2  1 2
(C)  (D)   2 2  1
1
5 0.4  2 0.4   3 3  2 
 2 2  1 
8. [ y ]  ?  3  1
(C)  3 (D)  2

11 5   1 2   4 2  1 1
(A)  (B)  
 5 2.5  2 4.4   3 3  2 2

2 4.4   11 5  13. [ y ]  ?


(C)  (D) 
 4 2   5 2.5  I1 2
2
I2
+ +
9. [ h]  ?
V1 2
2V1 1
V2
3  6  4 2 
(A)  (B) 
4  4  2 4.4  - -

Fig. P.1.10.13
 1 2  11 5
(C)  (D)   7 1  7 1
2 0.4   5 2.5     
(A)  4 4 (B)  4 4

 1 5  3 5
10. [ T ]  ?  2 4  4 4
 2. 2 0.5   2. 2  0.5   10 2   6 14 
(A)  (B)  
 0. 2 0.5 
 0. 2  0.5  (C)  19 19 
(D)  19 19

 6 14  10 2
 1 2  1 2   19 19   19 19 
(C)  (D) 
2 0.4 
2  0.4 
www.gatehelp.com
For Updates,Like:
Page www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
92
ForTwo
E-books/Materials/Notes-PDFs|PPTs
Port Networks Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 1.10

14. [ z ]  ? 17. [ z ]  ?
4V3
I1
I1 2
2
I2

+ +
I1 I2

+ + V1 2
2
V2
2
1

2I2 +

V1 1
V3 - -
V2
-
Fig. P.1.10.17
- -

3 2  1
Fig. P.1.10.14 6
(A)  1 (B)  7
2 3 3  2 6 
(A)  (B) 
3  3  3
 7 2
3  3
 7   1 
3 3  3 3  1  3
(C)  (D)  4 2
3 2  3  2  (C) 
1
(D) 
7

 3  1
 2   4 
15. [ z ]  ?
I1 2

2V1
I2
18. [ T ]  ?
1V
+ + 5 1
I1 4
I2

3V 2
+ +
V1 2
2 2 3 V2

1V
- - V1 10 2 4
V2

Fig. P.1.1.15 - -

2 2  3 Fig. P.1.10.18
2
(A)  3 (B)  2
 2   0.35  1   2  3.33
2   2 2  (A)  (B) 
 1 
 2  3.33  0.35
 3  2 2   2 3.33  0.35 1 
2
(C)  2 (D)  3 (C) 
1 
(D) 
3.33 
  2 0.35  2
2 2  2 
19. [ h]  ?
16. [ y ]  ? V2
I1 2
I2
I1 2
1
I2
+ +
+ +

3
1 4

V1 2 I2 V2

V1 V2
- -
V2

- - Fig. P.1.10.19

 3  1
2
Fig. P.1.10.16
 4 2 2
(A)  (B) 
1 1  1  1 1 3
(A)  (B)  2  4
1  2   1  2  2  2

 2 1  2 1  3  1
 3  3  3  4  2 2 2
(C)  3 (D)  (C)  (D) 
1 3
 1  1  1  1 2 4 
 3 3  3 3  2  2

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
93
ForTwo
E-books/Materials/Notes-PDFs|PPTs
Port Networks Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 1.10

Z Z ab Z ab  Z  Z ab Z ab  The value of
Vo
is
(A)  a (B)  a
 Z ab Z b Z ab   Z ab Z b  Z ab  Vs
3 1
Z Z ab  Z ab  Z  Z a Z ab  (A) (B)
(C)  a (D)  ab 32 16
  Z ab Z b Z ab   Z ab Z ab  Z b 
2 1
(C) (D)
33 17
27. [ y ]  ?
Yab 30. The T-parameters of a 2-port network are
2 1
[T ]   .
1 
Ya Yb
1

If such two 2-port network are cascaded, the


Fig. P.1.10.27 z parameter for the cascaded network is
 5 1
Y Yab  Yab  Y  Yab Yab   2  2  3 
(A)  a (B)  a (A)  1 (B)  3
  Yab Yb Yab   Yab Yb  Yab   1 1 2

 2   
 3 3
Y  Ya Yab  Y  Yab  Yab 
(C)  ab (D)  a
 Yab Yab  Ya    Yab Yb  Yab   5 1
2 2
 3 3
(C)  (D)  1
 1 2  1
28. The y-parameters of a 2-port network are 2 
 3 3
5 3
[ y]   S
1 2  31. [ y ]  ?
2
1

A resistor of 1 ohm is connected across as shown in


fig. P.1.10.2 8. The new y parameter would be
1

1
2
[ y] = 5 3
1 2
Fig. P.1.10.31

 19 9   19 7 
Fig. P.1.10.28  10  10
(A)  10 (B)  10
6 4 6 2  9 31  7 31
(A)  S (B)  S  10 10   10 10 
2 3  0 3 
 19 9   19 7 
5 4 4 4  10 10  10 10
(C)  S (D)  S
2  1 
(C)  (D) 
2 2  9 31  7 31
 10 10   10 10 
2 0 
29. For the 2-port of fig. P.1.10.29, [ ya ]   mS
0 10  32. [ y ]  ?
I1(s) 2F I2(s)
60

+ +
[ ya]
+ 1
2F 2V1(s) 1

V1(s) 3 4 2F V2(s)
Vs Vo 300

-
100
- -

Fig. P.1.10.32
Fig. P.1.10.29

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
95
For E-books/Materials/Notes-PDFs|PPTs
UNIT 1 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Networks

s 3 2 s  s 3  2s  37. The circuit shown in fig. P.1.10.37 is reciprocal if a


(A)  (B) 
2 s 2 4  2 s  2 4 s 4  is
 s 3  2 s  3s 3  2 s  I1
0.5V1
1
I2
(C)  (D) 
2 s  2 4   2 s  2 4 s 4  + +

33. h21  ? V1 V2
I1 R R I2
aI1
+ + - -

V1 R V2 Fig. P.1.10.37

- - (A) 2 (B) 2
(C) 1 (D) 1
Fig. P.1.10.33
3 1
(A)  (B) 38. Z in  ?
2 2
Zin
1 3
(C)  (D)
2 2 1 k
I1 I2
+ +

Vs [ y] = 4 -0.1 mS V2 1 k

34. In the circuit shown in fig. P.1.10.34, when the V1 50 1


voltage V1 is 10 V, the current I is 1 A. If the applied - -

voltage at port-2 is 100 V, the short circuit current


Fig. P.1.10.38
flowing through at port 1 will be
(A) 86.4
(B) 64.3

(C) 153.8
(D) 94.3

Linear I
V1 Resistive
Network 39. V1 , V2  ?

25

Fig. P.1.10.34 + +

100 V V1 [ y] = 10 -5 mS V2 100

50 20
(A) 0.1 A (B) 1 A
- -
(C) 10 A (D) 100 A
Fig. P.1.10.39
35. For a 2-port symmetrical bilateral network, if
(A) 68.6 V, 114.3 V (B) 68.6 V,  114.3 V
transmission parameters A  3 and B  1
, the value of
(C) 114.3 V,  68.6 V (D) 114.3 V, 68.6 V
parameter C is
(A) 3 (B) 8 S 40. A 2-port network is driven by a source Vs  100 V in
(C) 8
(D) 9 series with 5
, and terminated in a 25
resistor. The
impedance parameters are
36. A 2-port resistive network satisfy the condition
 20 2 
3 4 [z ]  

A  D  B  C. The z11 of the network is  40 10 


2 3
4 3 The Thevenin equivalent circuit presented to the
(A) (B)
3 4 25
resistor is
2 3 (A) 80 V, 2.8
(B) 160 V, 6.8

(C) (D)
3 2
(C) 100 V, 2.4
(D) 120 V, 6.4

www.gatehelp.com
For Updates,Like:
Page www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
96
ForTwo
E-books/Materials/Notes-PDFs|PPTs
Port Networks Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 1.10

 7  Z R  n2 4  36
 1
[z ]   4 ZR
I1=0 I2
 1
3 +
 2  1 : 3
+ +
V1 4
V2 V1 9
V2
18. (D) Let I 3 be the clockwise loop current in center - -

loop -

V2
I1  I 3, V2  4( I 2 I 3)  I 3  0.25 V2  I 2 Fig. S1.10.23b
10
V2
 I1  0.35 V2  I 2 ...(i) V2  ( 36 || 9) I 2  7.2 I 2  z 22   7.2,
I2
V1  4 I1  0.2 V1 V2
z12  z 21  2.4
. V1  4(0.35 V2  I 2 ) V2  2.4 V2  4 I 2
12
 V1  2 V2  3.33I 2 ...(ii) 24. (C) V1  3sI1 3sI1  3sI1 3sI1 2 sI 2

 I  1  V1  6 sI1 2 sI 2 ...(i)
19. (A) V2  4 I 2 I1  2  I 2  2 I1 V2 ...(ii)
 2  2 V2  3sI 2 2 sI1  V2  2 sI1 3sI 2 ...(ii)
I ( V  V2 )  V2 V V
I1  2 1  I1 2 1  V2 V2
2 2 4 2 25. (C) V1  0( I 2 ), I1  (0) V2 5( I 2 )
5
3
 V1  4 I1 V2 ...(i)
2
26. (A) V1  ( Z a Z ab ) I1 Z ab I 2 ...(i)
V1 V1  V2 3 3 V2  ( Z a Z ab ) I 2 Z ab I1  Z ab I1 ( Z a Z ab ) I 2 ....(ii)
20. (B) I1  V2  V1  V2 ...(i)
1 2 2 2
V V  V1 3 3 27. (A) I1  ( V1  V2 ) Yab V1 Ya
I 2  2 V1 2 2  V1 V2 ...(ii)
1 2 2 2
 I1  V1 ( Ya Yab )  V2 Yab ...(i)
I 2  ( V2  V1 ) Yab V2 Yb  V1 Yab V2 ( Yb Yab ) ...(ii)
21. (D) I1  2 V1 jV1 j( V1  V2 )
 I1  (2 j2) V1  jV2 ...(i) 28. (B) y-parameter of 1
resistor network are
V2
I2  V1 j( V2  V1 )  (1  j) V1 (1 j) V2 ...(ii)  1  1
1 1
 1 
I1 1  5 3  1  1  6 2
22. (B) V1  sI1 sI 2   s I1 sI 2 ...(i) New y-parameter     .
s s  1 2  1 1  0 3 

V2  2 I 2 2 s I 2 sI1  V2  sI1 (2 2 s) I 2 ...(ii) 1


2 mS 0  5000 0 
29. (A) [ z a ]    0
9 9  0 10 mS   100 
23. (D) Z R   1
n2 9
5000 0  100 100  600 100 
[z ]   
100  100 100  100 200 
ZR
I1 I2=0  0
1 : 3 +
+ + V1  600 I1 100 I 2 , V2  100 I1 200 I 2
V1 4
V1 V2 9
V2 Vs  60 I1 V1  660 I1 100 I 2 , V2  Vo  300 I 2
- - 2 V
- Vo  100 I1  Vo  I1  o
3 60
V Vo 3
Fig. S1.10.23a Vs  11Vo  o  
3 V5 32
4 V1
V1  ( 4||1) I1  I1  z11   0.8
5 I1
2 1 2 1 5 3
30. (C) [ TN ]   
4  V2 1 1  1
 1  3 2 
V2  V2  nV1  3 I1   z 21   2.4,
5  I1 V1  5 V2  3I 2 , I1  3V2  2 I 2
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
99
For E-books/Materials/Notes-PDFs|PPTs
UNIT 1 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Networks

5 1 I 2
3V1  5 I1  I 2  V1  I1 I 2 ...(i) Interchanging the port  0.1, I 2  100  0.1  10
3 3 V1
1 2
V2  I1 I 2 ...(ii)
3 3 35. (B) For symmetrical network A  D  3
For bilateral AD  BC  1, 9  C  1, C8 S
31. (B)
2
1
2

A 4
36. (A) z11  
1
C 3
1
1
2

37. (A) V1  0.5 V1 I1 2( I1 I 2 ) aI1


Fig. S.1.10.31a & b  V1  ( 6 2 a) I1 4 I 2 ...(i)
 2 1  3 1
V2  2( I1 I 2 ) aI1  V2  (2 a) I1 2 I 2
 5  5  ...(ii)
3 1  2 2
[ za ]   , [ ya ]   , [ yb ]   For reciprocal network
1 2  1 3  1 5
 5 5  2 2 z12  z 21 , 4  2 a  a 2
 19 7 
  38. (C) I1  4  10 3 V1  0.1  10 3 V2
[ y ]  [ ya ] [ yb ]   10 10

 7 31 I 2  50  10 3 V1 10 3 V2 , V2  10 3 I 2
 10 10  10 3 V2  50  10 3 V1 10 3 V2 , V2  25 V1
V1 10 3
 3s  2s   3 0 10 3 I1  4 V1 2.5 V1 ,   153.8
32. (D) [ ya ]   , [ yb ]   I1 6.5
2 s 4 s 2 4 
2F
39. (B) I1  10  10 3 V1  5  10 3 V2 ,
100  25 I1 V1
2F 2F 100  V1  0. 25 V1  0.125 V2  800  10 V1  V2 ...(i)
3 3
I 2  50  10 V1 20  10 V2 , V2  100 I 2
V2  5 V1  2 V2  3V2 5 V1  0 ...(ii)
Fig. S.1.10.32a
From (i) and (ii) V1  68.6 V, V2  114.3 V.

40. (B) 100  5 I1 V1 , V1  20 I1 2 I 2


1
1

3 2V1 4
 100  25 I1 2 I 2 , V2  40 I1 10 I 2
800  5 V2  34 I 2  V2  160 6.8 I 2
Fig. S.1.10.32b VTH  160 V, RTH  6.8

 3s 3  2s 
[ y ]  [ ya ]  [ yb ]   V2 z 21
2 s  2 4 s 4  41. (B) V1  z11 I1 , V2  z 21 I1 , 
V1 z11

I2 I1 R I 1
33. (C) h21  , I 2  , 2  42. (B) I 2  y21 V1 y22 V2 , I 2  V2 YL
I1 V2  0
R R I1 2
V2  y21
I1 R R I2 y21 V1 ( y22 YL ) V2  0 , 
V1 ( y22 yL )
+

V1 R 43. (A) V2  z 21 I1 z 22 I 2 , V2  Z L I 2
 V 
- V2  z 21 I1 z 22   2 
 ZL 
Fig. S.1.10.33
V2 z Z
I2 1 V2 ( Z L z 22 )  z 21 Z L I1 ,  21 L
34. (C)  y21   0.1 I1 z 22 Z L
V1 V2  0
10

**********
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
100
For E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com

CHAPTER

1.11
FREQUENCY RESPONSE

Statement for Q.1-3: 7. A parallel circuit has R  1 k , C  50 F and L  10

A parallel resonant circuit has a resistance of 2 k mH. The quality factor at resonance is

and half power frequencies of 86 kHz and 90 kHz. (A) 100 (B) 90.86
(C) 70.7 (D) None of the above
1. The value of capacitor is
8. A series resonant circuit has an inductor L  10 mH.
(A) 6 F (B) 20 nF
(C) 2 nF (D) 60 F The resonant frequency   106 rad s and bandwidth is
BW  10 3 rad/s. The value of R and C will be
2. The value of inductor is (A) 100 F, 10  (B) 100 pF, 10 
(A) 4.3 mH (B) 43 mH (C) 100 pF, 10 M (D) 100 F, 10 M
(C) 0.16 mH (D) 1.6 mH
9. A series resonant circuit has L  1 mH and C  10 F.
3. The quality factor is The required R for the BW 15.9 Hz is
(A) 22 (B) 100 (A) 0.1  (B) 0.2 
(C) 48 (D) 200 (C) 15.9 m (D) 500 

Statement for Q.4-5: 10. For the RLC parallel resonant circuit when
A parallel resonant circuit has a midband R  8 k, L  40 mH and C  0. 25 F, the quality factor
admittance of 25  10 3
S, quality factor of 80 and a Q is
resonant frequency of 200 krad s. (A) 40 (B) 20
(C) 30 (D) 10
4. The value of R is
(A) 40  (B) 56.57  11. The maximum voltage across capacitor would be
(C) 80  (D) 28. 28  0.105v1

5. The value of C is + v1

(A) 2 F (B) 28.1 F 25  10  4H


(C) 10 F (D) 14.14 F +
1
3V ~ 4
F vC

6. A parallel RLC circuit has R  1 k and C  1 F. The
quality factor at resonance is 200. The value of inductor is Fig. P1.11.11
(A) 35.4 H (B) 25 H (A) 3200 V (B) 3 V
(C) 17.7 H (D) 50 H (C) 3 V (D) 1600 V
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
101
For E-books/Materials/Notes-PDFs|PPTs
UNIT 1 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Networks

12. For the circuit shown in fig. P1.1.11 resonant Vo


16. H ( )  ?
frequency fo is Vi 40 
10  1 mH
+
+ v1 -

Zin
50 nF
v1 vs ~ 0.5 F 10  vO
2

Fig. P1.11.16
Fig. P1.11.12
(A) 346 kHz (B) 55 kHz (A) (5 j20 ) 1 (B) (5 j 4 ) 1
(C) 196 kHz (D) 286 kHz (C) (5 j 30 ) 1 (D) 5(1 j 6 ) 1

13. For the circuit shown in fig. P1.11.13 the resonant 17. The value of input frequency is required to cause a
frequency f is gain equal to 1.5. The value is
2 k

10 H
+
600 pF 22 k vs
~ 60 F vO

1.8 

Fig. P1.11.17
Fig. P1.11.13
(A) 20 rad s (B) 20 Hz
(A) 12.9 kHz (B) 12.9 MHz (C) 10 rad s (D) No such value exists.
(C) 2.05 MHz (D) 2.05 kHz
18. In the circuit of fig. P1.11.18 phase shift equal to
14. The network function of circuit shown in
45
is required at frequency   20 rad s . The value of
fig.P1.11.14 is
R is
V 4 10 
H ( )  o 
V1 1 j0.01
2 k 15 k
+
+
Vs
~ 1 F vO

+
vi
~ C vC

AvC

vo

Fig. P1.11.18

Fig. P1.11.14 (A) 200 k (B) 150 k


The value of the C and A is (C) 100 k (D) 50 k
(A) 10 F, 6 (B) 5 F, 10
(C) 5 F, 6 (D) 10 F, 10 19. For the circuit of fig. P1.11.19 the input frequency is
adjusted until the gain is equal to 0.6. The value of the
Vo
15. H ( )  ? frequency is
Vi
ia 20  2H
+

+
vi
~ 4H 3ia 0.25 F vO vs
~ 30  vO

Fig. P1.11.15
Fig. P1.11.19
0.6 0.6
(A) (B)
j(1 j0. 2 ) j(5 j) (A) 20 rad s (B) 20 Hz
3 3
(C) (D) (C) 40 rad s (D) 40 Hz
j(1 j) j(20 j 4 )
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
102
For E-books/Materials/Notes-PDFs|PPTs
UNIT 1 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Networks

31. Bode diagram of the network function Vo Vs for the


circuit of fig. P1.11.30 is SOLUTIONS
4
+ 1. (B) BW  2  1  2 (90  86)k  8 krad s
2 1 1 1
BW  C  
vs
~ vo RC RBW 8  10 3  2  10 3
30 mF
 19.89 nF

Fig.P1.11.30 ( 1 2 ) 2 (90 86)k


dB dB 2. (C)     176 krad s
2 2
1 1
ec.

   L C
B/d


.
ec
/d LC
d

dB
40

1
20

0 log  0 log    0.16 mH


5.56 16.7 5.56 16.7 (176  10 ) (20  10 9)
3 2

(B)
(A)  176 k
3. (A) Q    22
0 dB 5.56 16.7 0 dB 5.56 16.7 B 8 k
log  log 
.
ec
c.

d
/de

B/

1
4. (A) At mid-band frequency Z  R , Y 
0d
dB

-2

R
-40

1
R  40 
25  10 3
(C) (D)

5. (C) Q   RC
Q 80
 C   10 F
 R 200  10 3  40

*************** C 10 6
6. (B) Q  R  200  10 3
L L
 L  25 H

C 50  10 6
7. (C) Q  R  10 3  70.7
L 10  10 3

1
8. (B)  
LC
1
 C 3
 100 pF
10  10  (106 ) 2
R
BW   R  10  10 3  10 3  10
L

R
9. (A) BW 
L
R
  15.9  2  0.1 
1  10 3

C
10. (B) Q  R
L

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
104
ForFrequency
E-books/Materials/Notes-PDFs|PPTs
Response Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 1.11

0. 25  10 6 Vi 3I a
 8  10 3  20 15. (A) I a  , Vo 
40  10 3 20 j 4 0. 25 j
Vo 3 0.6
 
11. (A) Thevenin equivalent seen by LC combination V1 j(5 j) j(1 j0.2 )
 v  0.105 v1 
3  v1 10 1   v1  100 10
 125 
j(0.51) 10
1100 16. (A) Z1  
I sc   0.8 V
10 1 j 3
1
125
j0.5
Open Circuit : v1  0, voc  3 V
10
3 1 1 j5 
RTH  . ,  
 375  1000 Vo

Z1

0.8 LC Vi 40 Z1 10
40
 L 1000  4 1 j5 
Q     1066.67
R 375
. 10
  (5 j20 ) 1
|vC|max  Q vTH  1066.67  3  3200 V 50 j200 
Vo 1
12. (B) Applying 1 A at input port V1  10 V 17. (D) H ( )  
Vi 1 jRC
voltage across 1 A source
1
3 j gain 
Vtest  10 j10  (5 1) (1 2 RC)
50  10 9
For any value of , R, C gain  1.
Z in  Vtest
Thus (D) is correct option.
At resonance Im { Z in } = 0
6
  10 3     346 kHz 18. (D) H ( ) 
Vo

1
 50  10 9 Vs 1 jCR
fo  55 kHz phase shift   tan 1 CR   450

1 1 CR  1,
13. (C) Y  j600  10 12
2  10 3 1.8 j10 5 20  1  10 6 R  1  R  50 k.

1.8  j10 5
 j6  10 10 45.45 Vo R
3. 24 2 10 50 19. (A) H ( )  
Vs 1 jL
At resonance Im { Y }  0
R 30
gain  
 6  10 10 ( 324
. 2 10 10 )   10 5  0 R  L
2 2 2
900 4 2 0.6
2

10
3. 24  102
  16.67  10   12.9 Mrad s
3

50 2  30 2
   20 rad s
f    2.05 MHz 2
2

Vo 1 1
Vi 20. (A) H ( )   
Vs 1 jCR 1 j
jC Vi
14. (C) VC  
2  10 3
1 1 j2  10 3 C Phase shift   tan 1 CR   45

jC 1 1
gain    0.707
(15 k) 2 AVc 2 AVi | j 1| 2
V  AVc  
16 k 30 k 3 3(1 j2  10 3 C)
2A 21. (B) BW 2  1  2 ( 456  434)  44
Vo 3
    2 f  QBW  20  44
Vi 1 j2  10 3 C
f  440 Hz
2A
4  A  6, 2  10 3 C  0.01
3 1
22. (C) f 
 C  5 F 2 LC
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
105
For E-books/Materials/Notes-PDFs|PPTs
UNIT 1 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Networks

1 1
  541 kHz  R  106
. k
2 360  10 12
 240  10 6 2  15  10  10 6
1
f   1.45 MHz 1
2 50  10 12
 240  10 6 30. (B) 20 log H  20 log  40 log 
2

1 1 R2 1 j
23. (B) f   2 2 1
2 LC L Vo j30  10 3 16 .67
31. (D)  
R 400 10 7 Vs 6 1 j
  1
L 240  10 6
6 j30  10 3 .
356

1 1 1016 20 dB/decade line starting from   5.56 rad s


 
LC 240  10 6  120  10 12 288 20 dB/decade line starting from   16.67 rad s
R 1 1 Hence 20 dB/decade line for 5.56    16.67
 , f   938 kHz
L LC 2 LC parallel to  axis to   16.67

1
24. (B)   , R and C should be as small as possible.
RC
(1.8)
R  ( 3.3)  1165
. k ***********
3.3. 1.8
( 30)
C  (10)  7.5 pF
(10 30)
1
  114.5  106 rad s
.
1165  7.5  10 9

25. (D) R  K m R  800  12  10 3  9.6 M


Km 800
L   40  10 6  32 F
K f L 1000
C 10 9
C  K F  30   1000  0.375 pF
Km 80

LC 4  20  10 3  10 6
26. (A) L C   K 2f 
K 2f 1 6

 K f  2  10 4
L L 2 (1)(20  10 6 )
 Km  K m2 
C C (2) ( 4  10 3)
 K m  0.05

1
27. (D) c  2 fc 
RC
1
 R  15.9 
2  20  10 3  0.5  10 6

28. (A) RTH across the capacitor is


RTH  (1k 4 k)||5 k  2.5 k
1
fc   106
. kHz
2  2.5  10 3  40  10 9

1
29. (B) c  2 fc 
RC
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
106
For E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com

CHAPTER

2.1
SEMICONDUCTOR PHYSICS

In the problems assume the parameter given in 3. Two semiconductor material have exactly the same
following table. Use the temperature T  300 K unless properties except that material A has a bandgap of 1.0
otherwise stated. eV and material B has a bandgap energy of 1.2 eV. The
ratio of intrinsic concentration of material A to that of
Property Si Ga As Ge material B is

Bandgap Energy 1.12 1.42 0.66 (A) 2016 (B) 47.5


(C) 58.23 (D) 1048
Dielectric Constant 11.7 13.1 16.0

Effective density of 4. In silicon at T = 300 K the thermal-equilibrium


2.8  1019 4.7  1017 .  1019
104
states in conduction concentration of electron is n0 = 5 10 4 cm -3. The hole
band N c (cm 3)
concentration is
Effective density of (A) 4.5 1015 cm -3 (B) 4.5 1015 m -3
.  1019
104 7.0  1018 6.0  1018
states in valence
band N v(cm 3) (C) 0.3 10 -6 cm -3 (D) 0.3 10 -6 m -3

Intrinsic carrier 5. In silicon at T = 300 K if the Fermi energy is 0.22 eV


.  1010
15 1.8  106 2.4  1013
concertration
ni (cm 3) above the valence band energy, the value of p0 is
(A) 2 1015 cm -3 (B) 1015 cm -3
Mobility
Electron 1350 8500 3900 (C) 3 1015 cm -3 (D) 4 1015 cm -3
Hole 480 400 1900
6. The thermal-equilibrium concentration of hole p0 in
1. In germanium semiconductor material at T  400 K silicon at T = 300 K is 1015 cm -3. The value of n0 is
the intrinsic concentration is (A) 3.8 108 cm -3 (B) 4.4 10 4 cm -3
(A) 26.8  1014 cm 3 (B) 18.4  1014 cm 3 (C) 2.6 10 4 cm -3 (D) 4.3 108 cm -3
(C) 8.5  1014 cm 3 (D) 3.6  1014 cm 3
7. In germanium semiconductor at T = 300 K, the
2. The intrinsic carrier concentration in silicon is to be acceptor concentrations is N a = 1013 cm -3 and donor
no greater than ni = 1 1012 cm -3. The maximum concentration is N d = 0. The thermal equilibrium
temperature allowed for the silicon is ( E g = 112
. eV) concentration p0 is
(A) 300 K (B) 360 K (A) 2.97 10 9 cm -3 (B) 2.68 1012 cm -3
(C) 382 K (D) 364 K (C) 2.95 1013 cm -3 (D) 2.4 cm -3
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
109
For E-books/Materials/Notes-PDFs|PPTs
UNIT 2 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Electronics Devices

Statement for Q.8-9: 15. For a particular semiconductor at T = 300 KE g = 15


.

In germanium semiconductor at T  300 K, the eV, mp* = 10 mn* and ni = 1 1015 cm -3. The position of

impurity concentration are Fermi level with respect to the center of the bandgap is
(A) +0.045 eV (B) - 0.046 eV
N d = 5 1015 cm -3 and N a = 0
(C) +0.039 eV (D) - 0.039 eV
8. The thermal equilibrium electron concentration n0 is
(A) 5 1015 cm -3 . 1011 cm -3
(B) 115 16. A silicon sample contains acceptor atoms at a
-3 -3
. 10 cm
(C) 115 9
(D) 5 10 cm6
concentration of N a = 5 1015 cm -3. Donor atoms are
added forming and n - type compensated semiconductor
9. The thermal equilibrium hole concentration p0 is such that the Fermi level is 0.215 eV below the
. 1013
(A) 396 . 1013 cm -3
(B) 195 conduction band edge. The concentration of donors
(C) 4.36 1012 cm -3 . 1013 cm -3
(D) 396 atoms added are
. 1016 cm -3
(A) 12 (B) 4.6 1016 cm -3
10. A sample of silicon at T = 300 K is doped with boron
. 1012 cm -3
(C) 39 (D) 2.4 1012 cm -3
at a concentration of 2.5 1013 cm -3 and with arsenic at
a concentration of 1 1013 cm -3. The material is
17. A silicon semiconductor sample at T = 300 K is
. 1013 cm -3
(A) p - type with p0 = 15
doped with phosphorus atoms at a concentrations of 1015
. 10 7 cm -3
(B) p - type with p0 = 15
cm -3. The position of the Fermi level with respect to the
-3
(C) n - type with n0 = 15
. 10 cm 13
intrinsic Fermi level is
. 10 7 cm -3
(D) n - type with n0 = 15 (A) 0.3 eV (B) 0.2 eV

11. In a sample of gallium arsenide at T = 200 K, (C) 0.1 eV (D) 0.4 eV

n0 = 5 p0 and N a = 0. The value of n0 is


(A) 9.86 10 9 cm -3 (B) 7 cm -3 18. A silicon crystal having a cross-sectional area of
0.001 cm 2 and a length of 20 mm is connected to its ends
(C) 4.86 10 3 cm -3 (D) 3 cm -3
to a 20 V battery. At T = 300 K, we want a current of
12. Germanium at T = 300 K is uniformly doped with 100 mA in crystal. The concentration of donor atoms to
-3
an acceptor concentration of N a = 10 cm 15
and a donor be added is
concentration of N d = 0. The position of fermi energy (A) 2.4 1013 cm -3 (B) 4.6 1013 cm -3
with respect to intrinsic Fermi level is (C) 7.8 1014 cm -3 (D) 8.4 1014 cm -3
(A) 0.02 eV (B) 0.04 eV
(C) 0.06 eV (D)0.08 eV 19. The cross sectional area of silicon bar is 100 mm 2 .
The length of bar is 1 mm. The bar is doped with
13. In germanium at T = 300 K, the donor concentration arsenic atoms. The resistance of bar is
are N d = 1014 cm -3 and N a = 0. The Fermi energy level (A) 2.58 mW (B) 11.36 kW
with respect to intrinsic Fermi level is
(C) 1.36 mW (D) 24.8 kW
(A) 0.04 eV (B) 0.08 eV
(C) 0.42 eV (D) 0.86 eV
20. A thin film resistor is to be made from a GaAs film

14. A GaAs device is doped with a donor concentration doped n - type. The resistor is to have a value of 2 kW.
of 3 1015 cm -3. For the device to operate properly, the The resistor length is to be 200 mm and area is to be
intrinsic carrier concentration must remain less than 10 -6 cm 2 . The doping efficiency is known to be 90%. The
5% of the total concentration. The maximum mobility of electrons is 8000 cm 2 V - s. The doping
temperature on that the device may operate is needed is
(A) 763 K (B) 942 K (A) 8.7 1015 cm -3 (B) 8.7 10 21 cm -3
(C) 486 K (D) 243 K (C) 4.6 1015 cm -3 (D) 4.6 10 21 cm -3
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
110
ForSemiconductor
E-books/Materials/Notes-PDFs|PPTs
Physics Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 2.1

21. A silicon sample doped n  type at 1018 cm 3 have a 27. For a sample of GaAs scattering time is t sc = 10 -13 s
-6
resistance of 10 W . The sample has an area of 10 cm 2
and electrons effective mass is me* = 0.067 mo . If an
and a length of 10 mm . The doping efficiency of the electric field of 1 kV cm is applied, the drift velocity
sample is (m n = 800 cm V - s) 2
produced is
(A) 43.2% (B) 78.1% (A) 2.6 106 cm s (B) 263 cm s
(C) 96.3% (D) 54.3% (C) 14.8 106 cm s (D) 482

22. Six volts is applied across a 2 cm long


28. A gallium arsenide semiconductor at T = 300 K is
semiconductor bar. The average drift velocity is 10 4
doped with impurity concentration N d = 1016 cm -3. The
cm s. The electron mobility is
mobility m n is 7500 cm 2 V - s. For an applied field of 10
(A) 4396 cm 2 V - s (B) 3 10 4 cm 2 V - s
V cm the drift current density is
(C) 6 10 4 cm 2 V - s (D) 3333 cm 2 V - s
(A) 120 A cm 2 (B) 120 A cm 2
23. For a particular semiconductor material following (C) 12 10 4 A cm 2 (D) 12 10 4 A cm 2
parameters are observed:
29. In a particular semiconductor the donor impurity
m n = 1000 cm 2 V - s ,
concentration is N d = 1014 cm -3. Assume the following
m p = 600 cm 2 V - s , parameters,
-3
N c = N v = 10 cm 19
m n = 1000 cm 2 V - s,
These parameters are independent of temperature. 32
T
The measured conductivity of the intrinsic material is N c = 2 1019 cm -3,
300
s = 10 -6 (W - cm) -1 at T = 300 K. The conductivity at
32
T = 500 K is T
N v = 1 1019 cm -3,
(A) 2 10 -4
(W - cm) -1
(B) 4 10 -5
(W - cm) -1 300
-5 -1 -3
(C) 2 10 (W - cm) (D) 6 10 (W - cm) -1 E g = 11
. eV.

An electric field of E = 10 V cm is applied. The


24. An n - type silicon sample has a resistivity of 5
electric current density at 300 K is
W - cm at T = 300 K. The mobility is m n = 1350
cm 2 V - s. The donor impurity concentration is (A) 2.3 A cm 2 (B) 1.6 A cm 2

(A) 2.86 10 -14 cm -3 (B) 9.25 1014 cm -3 (C) 9.6 A cm 2 (D) 3.4 A cm 2

(C) 11.46 1015 cm -3 . 10 -15 cm -3


(D) 11
Statement for Q.30-31:
25. In a silicon sample the electron concentration A semiconductor has following parameter
18 -3 16 -3
drops linearly from 10 cm to 10 cm over a length
m n = 7500 cm 2 V - s,
of 2.0 mm. The current density due to the electron
diffusion current is ( Dn = 35 cm 2 s). m p = 300 cm 2 V - s,

(A) 9.3 10 4 A cm 2 (B) 2.8 10 4 A cm 2 ni = 3.6 1012 cm -3


(C) 9.3 10 9A cm 2 (D) 2.8 10 9 A cm 2
30. When conductivity is minimum, the hole
26. In a GaAs sample the electrons are moving under concentration is
an electric field of 5 kV cm and the carrier (A) 7.2 1011 cm -3 (B) 1.8 1013 cm -3
concentration is uniform at 1016 cm -3. The electron (C) 1.44 1011 cm -3 (D) 9 1013 cm -3
7
velocity is the saturated velocity of 10 cm s. The drift
current density is 31. The minimum conductivity is
(A) 1.6 10 A cm 4 2
(B) 2.4 10 A cm 4 2
(A) 0.6 10 -3 (W - cm) -1 . 10 -3 (W - cm) -1
(B) 17
(C) 1.6 108 A cm 2 (D) 2.4 108 A cm 2 (C) 2.4 10 -3 (W - cm) -1 (D) 6.8 10 -3 (W - cm) -1
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
111
For E-books/Materials/Notes-PDFs|PPTs
UNIT 2 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Electronics Devices

-x
32. A particular intrinsic semiconductor has a



15 L p
resistivity of 50 (W - cm) at T = 300 K and 5 (W - cm) at
Hole concentration p0 = 10 e cm -3,x 0

T = 330 K. If change in mobility with temperature is


-x

neglected, the bandgap energy of the semiconductor is Electron concentration n0 = 5 1014 e L n cm -3,x 0

(A) 1.9 eV (B) 1.3 eV Hole diffusion coefficient Dp = 10 cm 2 s


(C) 2.6 eV (D) 0.64 eV
Electron diffusion coefficients Dn = 25 cm 2 s

33. Three scattering mechanism exist in a Hole diffusion length Lp = 5 10 -4 cm,


semiconductor. If only the first mechanism were
Electron diffusion length Ln = 10 -3 cm
present, the mobility would be 500 cm V - s. If only the 2

The total current density at x = 0 is


second mechanism were present, the mobility would be
(A) 1.2 A cm 2 (B) 5.2 A cm 2
750 cm 2 V - s. If only third mechanism were present,
the mobility would be 1500 cm 2 V - s. The net mobility (C) 3.8 A cm 2 (D) 2 A cm 2
is
(A) 2750 cm 2 V - s (B) 1114 cm 2 V - s 37. A germanium Hall device is doped with 5 1015
(C) 818 cm 2 V - s (D) 250 cm 2 V - s donor atoms per cm 3 at T = 300 K. The device has the
geometry d = 5 10 -3 cm, W = 2 10 -2 cm and L = 0.1 cm.
34. In a sample of silicon at T = 300 K, the electron The current is I x = 250 mA, the applied voltage is
concentration varies linearly with distance, as shown in Vx = 100 mV, and the magnetic flux is Bz = 5 10 -2
fig. P2.1.34. The diffusion current density is found to be tesla. The Hall voltage is
J n = 0.19 A cm 2 . If the electron diffusion coefficient is (A) -0.31mV (B) 0.31 mV
Dn = 25 cm 2 s, The electron concentration at is
(C) 3.26 mV (D) -3.26 mV

51014
Statement for Q.38-39:
n(cm-3)

A silicon Hall device at T = 300 K has the


geometry d = 10 -3 cm , W = 10 -2 cm, L = 10 -1 cm. The
n(0) following parameters are measured: I x = 0.75 mA,
0 0.010
x(cm) Vx = 15 V, V H = +5.8 mV, tesla
Fig. P2.1.34
38. The majority carrier concentration is
-3 -3
(A) 4.86 10 cm
8
(B) 2.5 10 cm13
(A) 8 1015 cm -3, n - type
(C) 9.8 10 26 cm -3 (D) 5.4 1015 cm -3 (B) 8 1015 cm -3, p - type
(C) 4 1015 cm -3, n - type
35. The hole concentration in p - type GaAs is given by
(D) 4 1015 cm -3, p - type
x
p = 10 1 - cm -3 for 0 x L
16

L
39. The majority carrier mobility is
where L = 10 mm. The hole diffusion coefficient is (A) 430 cm 2 V - s (B) 215 cm 2 V - s
10 cm s. The hole diffusion current density at x = 5 mm
2
(C) 390 cm 2 V - s (D) 195 cm 2 V - s
is
(A) 20 A cm 2 (B) 16 A cm 2 40. In a semiconductor n0 = 1015 cm -3 and ni = 1010 cm -3.
(C) 24 A cm 2 (D) 30 A cm 2 The excess-carrier life time is 10 -6 s. The excess hole
concentration is dp = 4 1013 cm -3. The electron-hole
36. For a particular semiconductor sample consider recombination rate is
following parameters:
(A) 4 1019 cm -3s -1 (B) 4 1014 cm -3s -1
(C) 4 10 24 cm -3s -1 (D) 4 1011 cm -3s -1
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
112
ForSemiconductor
E-books/Materials/Notes-PDFs|PPTs
Physics Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 2.1

41. A semiconductor in thermal equilibrium, has a hole


concentration of p0  1016
3
cm 3 and an intrinsic SOLUTIONS
concentration of ni  10 cm . The minority carrier life
10
-Eg
-
time is 4  10 7s. The thermal equilibrium kT

1. (C) ni2 = N c N v e
recombination rate of electrons is
400
(A) 2.5  10 22 cm 3 s 1 (B) 5  1010 cm 3 s 1 Vt = 0.0259 = 0.0345
300
(C) 2.5  1010 cm 3 s 1 (D) 5  10 22 cm 3 s 1
For Ge at 300 K,
Statement for Q.42-43: N c = 104
. 1019, N v = 6.0 1018 , E g = 0.66 eV
3 0 .66
A n-type silicon sample contains a donor 400 -
0 .0345
ni2 = 104
. 1019 6.0 1018 e
concentration of N d  106 cm 3. The minority carrier 300
hole lifetime is t p 0 = 10 m s. ni = 8.5 1014 cm -3

42. The thermal equilibrium generation rate of hole is -Eg


-

-3 -1 -3 -1 kT
(A) 5 10 cm s
8
(B) 10 cm s 4
2. (C) n = N c N v e
2
i
-3 -1 -3 -1
(C) 2. 25 10 cm s
9
(D) 10 cm s 3
T -
3 1 .12 e

kT
(1012 ) 2 = 2.8 1019 104
. 1019 e
300
43. The thermal equilibrium generation rate for
-1310 3
electron is 3
T e T
= 9.28 10 -8 , By trial T = 382 K
-3 -1 -3 -1
(A) 1125
. 10 cm s 9
(B) 2.25 10 cm s 9

-10 -3 -1 E gA
(C) 8.9 10 cm s (D) 4 10 9 cm -3 s -1 -
- E - E gB
gA
n2 e kT kT niA
3. (B) iA
2
= E gB = e
= 2257.5 = 47.5
niB - niB
44. A n -type silicon sample contains a donor e kT
-3
concentration of N d = 10 16
cm . The minority carrier
hole lifetime is t p 0 = 20 m s. The lifetime of the majority . 1010 ) 2
ni2 (15
4. (A) p0 = = = 4.5 1015 cm -3
n0 5 10 4
. 1010 cm -3)
carrier is ( ni = 15
(A) 8.9 106 s (B) 8.9 10 -6 s ( EF - Ev ) -0 .22
-
(C) 4.5 10 -17 s . 10 -7 s
(D) 113 5. (A) p0 = N v e kT
. 1019 e 0 .0259 = 2 1015 cm -3
= 104

( EF - Ev )
45. In a silicon semiconductor material the doping - N
-3
6. (B) p0 = N v e kT
EF - Ev = kT ln v
concentration are N a = 10 16
cm and N a = 0. The p0
equilibrium recombination rate is Rp 0 = 1011 cm -3s -1 . A . 1019 cm -3
At 300 K, N v = 10
uniform generation rate produces an excess- carrier
. 1019
104
concentration of dn = dp = 10 cm . The factor, by which
14 -3 EF - Ev = 0.0259 ln = 0. 239 eV
1015
the total recombination rate increase is ( Ec - EF )

(A) 2.3 1013 (B) 4.4 1013 n0 = N c e kT

(C) 2.3 10 9 (D) 4.4 10 9 At 300 K, N c = 2.8 1019 cm -3


Ec - EF = 112
. - 0. 239 = 0.881 eV
***********
n0 = 4.4 10 4 cm -3

2
Na - Nd N
7. (C) p0 = + N a - d + ni2
2 2

For Ge ni = 2.4 10 3
2
1013 1013
p0 = + + (2.4 1013) 2 = 2.95 1013 cm -3
2 2
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
113
ForSemiconductor
E-books/Materials/Notes-PDFs|PPTs
Physics Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 2.1


0.1
 11.36 kW 26. (A) J = evn = (1.6 10 -19)(10 7)(1016 ) = 1.6 10 4 A cm 2
19
(1.6  10 )(1100)(5  1016 )(100  10 8 )
et sc E (1.6 10 -19)(10 -13)(10 5)
27. (A) vd = =
L L me* (0.067)(9.1 10 -31 )
20. (A) R = , s e m n n0 , R=
sA em n n0 A
= 26.2 10 3 m s = 2.6 106 cm s
L
n0 =
em n AR 28. (A) N d >> ni n0 = N d
n0 = 0.9 N d J = em n n0 E = (1.6 10 -19
)(7500)(1016 )(10) = 120 A cm 2
-4
20 10
= = 8.7 1015 cm -3 -Eg
(0.9)(1.6 10 -19)( 8000)(10 -6 )(2 10 3) -

kT
29. (D) ni2 = N c N v e
1 .1
L L -
21. (B) s e m n n0 , R = , n0 = = (2 1019)(1 1019) e 0 .0259
= 7.18 1019
sA em n AR
10 10 -4 ni = 8.47 10 9 cm -3
= = 7.81 1017 cm -3
(1.6 10 -19)( 800)(10 -6 )(10) N d >> ni N d = n0
n0 7.8 1017 J = sE = em n n0 E
Efficiency = 100 = 100 = 78.1 %
Nd 1018 = (1.6 10 -19)(1000)(1014 )(100) = 1.6 A cm 2

V 6 ni2
22. (D) E = = = 3 V/cm, vd = m n E, 30. (A) s = em n n0 + em p p0 and n0 =
L 2 p0
vd 10 4 ni2
mn = = = 3333 cm 2 V - s s = em n + em p p0 ,
E 3 p0
ds ( -1) em n ni2
=0 = + em p
23. (D) s1 = eni (m n + m p ) dp0 p02
10 -6 = (1.6 10 -19)(1000 + 600)ni 1 1
m 2
= 3.6 1012 7500
2
At T = 300 K, ni = 391
. 10 cm 9 -3 p0 = ni n
m 300
Eg p
-

kT N N
ni2 = N c N v e E g = kT ln c 2 v = 7. 2 1011 cm -3
ni
1019 2 s i m pm n
E g = 2(0.0259) ln = 1122
9
. eV 31. (B) smin = = 2 en i m pm n
.
391 10 mp + mn
500 = 2 1.6 10 -19( 3.6 1012 ) (7500)( 300)
At T = 500 K , kT = 0.0259 = 0.0432 eV,
300
. 10 -3(W - cm) -1
= 17
1 .122
-
ni2 = (1019) 2 e 0 .0432
cm -3,
1
32. (B) s = = emni ,
ni = 2.29 1013 cm -3 r
= (1.6 10 -19)(2.29 1013)(1000 + 600) 1 Eg
-
= 5.86 10 -3(W - cm) -1 r1 ni1 e 2 kT1
= = Eg
1 ni 2 -
2 kT2
1 1 r2 e
24. (B) r = =
s em n N d -
Eg 1
-
1
2 k T1 T2
1 1 0.1 = e
Nd = = = 9.25 1014 cm -3
rem n 5(1.6 10 -19)(1350) E g 330 - 300
= ln 10
2 k 330 300
dn
25. (B) J n = eDn E g = 22( k300) ln 10 = 1.31 eV
dx
1018 - 1016 1 1 1 1
= (1.6 10 -19)( 35) -4
= 2.8 10 4 A cm 2 33. (D) = + +
2 10 m m1 m 2 m 3
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
115
For E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com

CHAPTER

2.2
THE PN JUNCTION

In this chapter, N d and N a denotes the net donor 5. The Fermi level on p - side is
and acceptor concentration in the individual n and (A) 0.2 eV (B) 0.1 eV
p-region. (C) 0.4 eV (D) 0.3 eV

1. An abrupt silicon in thermal equilibrium at T  300 Statement for Q.68:


K is doped such that Ec  EF  0.21 eV in the n  region A silicon pn junction at T = 300 K with zero
and EF  Ev  0.18 eV in the p  region. The built-in applied bias has doping concentrations of N d = 5 1016
potential barrier Vbi is cm -3 and N a = 5 1015 cm -3.
(A) 0.69 V (B) 0.83 V
(C) 0.61 V (D) 0.88 V 6. The width of depletion region extending into the
n-region is
2. A silicon pn junction at T  300 K has N d  1014 (A) 4 10 -6 cm (B) 3 10 -6 cm
3 3
cm and N a  10 cm . The built-in voltage is
17
(C) 4 10 -5 cm (D)3 10 -5 cm
(A) 0.63 V (B) 0.93 V
(C) 0.026 V (D) 0.038 V 7. The space charge width is
. 10 -5 cm
(A) 32 (B) 4.5 10 -5 cm
3. In a uniformly doped GaAs junction at T  300 K, at (C) 4.5 10 -4 cm . 10 -4 cm
(D) 32
zero bias, only 20% of the total space charge region is to
be in the p-region. The built in potential barrier is 8. In depletion region maximum electric field | Emax | is
(A) 1 10 4 V cm (B) 2 10 4 V cm
Vbi  1.20 V. The majority carrier concentration in
(C) 3 10 4 V cm (D) 4 10 4 V cm
n-region is
(A) 1  1016 cm 3 (B) 4  10 16 cm 3 9. An n n isotype doping profile is shown in fig. P2.2.9.
3 3
(C) 1  10 22
cm (D) 4  10 22
cm The built-in potential barrier is . 1010 cm -3 )
(ni = 15
Nd(cm-3)
Statement for Q.45: 16
10
An abrupt silicon pn junction at zero bias and 15
10
T  300 K has dopant concentration of N a  1017 cm 3
and N d = 5 1015 cm -3.
0
4. The Fermi level on n - side is Fig. P2.2.9

(A) 0.1 eV (B) 0.2 eV (A) 0.66 V (B) 0.06 V


(C) 0.3 eV (D) 0.4 eV (C) 0.03 V (D) 0.33 V
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
117
For E-books/Materials/Notes-PDFs|PPTs
UNIT 2 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Electronics Devices

Statement for Q.1011: concentration are N d = 4 1016 cm -3 and N a = 4 1017

A silicon abrupt junction has dopant concentration cm -3. The magnitude of the reverse bias voltage is

N a = 2 1016 cm -3 and N d = 2 10 15 cm -3. The applied (A) 3.6 V (B) 9.8 V


reverse bias voltage is VR = 8 V. (C) 7.2 V (D) 12.3 V

10. The maximum electric field | Emax | in depletion region is 17. An abrupt silicon pn junction has an applied
(A) 15 10 V cm
4
(B) 7 10 V cm
4 reverse bias voltage of VR = 10 V. it has dopant

. 10 4 V cm
(C) 35 (D) 5 10 4 V cm concentration of N a = 1018 cm -3 and N d = 1015 cm -3. The
pn junction area is 6 10 -4 cm 2 . An inductance of 2.2
11. The space charge region is mH is placed in parallel with the pn junction. The
(A) 2.5 mm (B) 25 mm resonant frequency is
(C) 50 mm (D) 100 mm (A) 1.7 MHz (B) 2.6 MHz
(C) 3.6 MHz (D) 4.3 MHz
12. A uniformly doped silicon pn junction has
N a = 5 1017 cm -3 and N d = 10 17 cm -3. The junction has 18. A uniformly doped silicon p+ n junction is to be
a cross-sectional area of 10 -4 cm -3 and has an applied designed such that at a reverse bais voltage of V R = 10
reverse-bias voltage of VR = 5 V. The total junction V the maximum electric field is limited to Emax = 106
capacitance is V cm. The maximum doping concentration in the
(A) 10 pF (B) 5 pF n-region is
(C) 7 pF (D) 3.5 pF . 1019 cm -3
(A) 32 . 1017 cm -3
(B) 32
(C) 6.4 1017 cm -3 (D) 6.4 1019 cm -3
Statement for Q.1314:

An ideal one-sided silicon n+ p junction has 19. A diode has reverse saturation current I s = 10 -10 A
uniform doping on both sides of the abrupt junction. and non ideality factor h = 2. If diode voltage is 0.9 V,
The doping relation is N d = 50 N a . The built-in potential then diode current is
barrier is Vbi = 0.75 V. The applied reverse bias voltage (A) 11 mA (B) 35 mA
is V R = 10. (C) 83 mA (D) 143 mA

13. The space charge width is 20. A diode has reverse saturation current I s = 10 -18 A
(A) 1.8 mm (B) 1.8 mm and nonideality factor h = 105
. . If diode has current of 70
(C) 1.8 cm (D) 1.8 m mA, then diode voltage is
(A) 0.63 V (B) 0.87 V
14. The junction capacitance is (C) 0.54 V (D) 0.93 V
(A) 3.8 10 -9 F cm 2 (B) 9.8 10 -9 F cm 2
(C) 2.4 10 -9 F cm 2 (D) 5.7 10 -9 F cm 2 21. An ideal pn junction diode is operating in the
forward bais region. The change in diode voltage, that
+
15. Two p n silicon junction is reverse biased at VR = 5 will cause a factor of 9 increase in current, is
V. The impurity doping concentration in junction A are (A) 83 mV (B) 59 mV
-3 -15 -3
N a = 10 cm
18
and N d = 10 cm , and those in junction (C) 43 mV (D) 31 mV
B are N a = 1018 cm -3 and N d = 1016 cm -3. The ratio of the
space charge width is 22. An pn junction diode is operating in reverse bias
(A) 4.36 (B) 9.8 region. The applied reverse voltage, at which the ideal
(C) 19 (D) 3.13 reverse current reaches 90% of its reverse saturation
current, is
16. The maximum electric field in reverse-biased silicon (A) 59.6 mV (B) 2.7 mV
pn junction is | Emax | = 3 10 5
V cm. The doping (C) 4.8 mV (D) 42.3 mV
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
118
ForThe
E-books/Materials/Notes-PDFs|PPTs
pn Junction Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 2.2

23. For a silicon p n junction diode the doping cross-sectional area is 10 -3 cm 2 . The minority carrier
3 3
concentrations are N a  10 18
cm and N d  10 16
cm . lifetimes are t n 0 = 1 ms and t p 0 = 0.1 m s. The minority
The minority carrier hole diffusion coefficient is Dp  12 carrier diffusion coefficients are Dn = 35 cm 2 s and
cm 2 s and the minority carrier hole life time is t p 0 = 10 -7 Dp = 10 cm 2 s. The total number of excess electron in
s. The cross sectional area is A = 10 -4 cm 2 . The reverse the p - region, if applied forward bias is Va = 0.5 V, is
saturation current is (A) 4 10 7 cm -3 (B) 6 1010 cm -3
-12 -15
(A) 4 10 A (B) 4 10 A (C) 4 1010 cm -3 (D) 6 10 7 cm -3
(C) 4 10 -11 A (D) 4 10 -7 A
28. Two ideal pn junction have exactly the same
24. For an ideal silicon pn junction diode electrical and physical parameters except for the band
t no = t po = 10 -7 s , gap of the semiconductor materials. The first has a
bandgap energy of 0.525 eV and a forward-bias current
Dn = 25 cm 2 s ,
of 10 mA with Va = 0.255 V. The second pn junction
Dp = 10 cm 2 s diode is to be designed such that the diode current
The ratio of N a N d , so that 95% of the current in I = 10 mA at a forward-bias voltage of Va = 0.32 V. The
the depletion region is carried by electrons, is bandgap energy of second diode would be
(A) 0.34 (B) 0.034 (A) 0.77 eV (B) 0.67 eV
(C) 0.83 (D) 0.083 (C) 0.57 eV (D) 0.47 eV

Statement for Q.2526: 29. A pn junction biased at Va = 0.72 V has DC bias


A ideal long silicon pn junction diode is shown in current I DQ = 2 mA. The minority carrier lifetime is 1 ms
fig. P2.2.2526. The n - region is doped with 10 16 is both the n and p regions. The diffusion capacitance is
organic atoms per cm 3 and the p - region is doped with in
5 10 16
boron atoms per 3
cm . The minority carrier (A) 49.3 nF (B) 38.7 nF
lifetimes are D n = 23 cm s and Dp = 8 cm s. The
2 2
(C) 77.4 nF (D) 98.6 nF
forward-bias voltage is Va = 0.61 V.
30. A p+ n silicon diode is forward biased at a current of
W 1 mA. The hole life time in the n - region is 0.1 ms.
Neglecting the depletion capacitance the diode
p n
Va impedance at 1 MHz is
(A) 38.7 + j12.1 W . + j7.5 W
(B) 235
x=0 x
(C) 38.7 - j12.1 mW . - j7.5 W
(D) 235
Fig. P.2.2.25-26

31. The slope of the diffusion capacitance verses


25. The excess hole concentration is
forward-bias current of a p+ n diode is 2.5 10 -6 F A.
(A) 6.8 1012 e -246 x cm -3, x 0
The hole lifetime is
(B) 6.8 1012 e -246 x cm -3, x 0 (A) 1.3 10 -7 s (B) 1.3 10 -4 s
(C) 3.8 1014 e -3534 x cm -3, x 0 (C) 6.5 10 -8 s (D) 6.5 10 -4 s
+3534 x -3
(D) 3.8 10 e 14
cm , x 0
32. A silicon pn junction with doping profile of N a = 1016
26. The hole diffusion current density at x = 3 mm is cm -3 and N d = 10 15 cm -3 has a cross sectional area of
(A) 0.6 A cm 2 (B) 0.6 10 -3 A cm 2 10 -2 cm 2 . The length of the p - region is 2 mm and
(C) 0.4 A cm 2 (D) 0.4 10 -3 A cm 2 length of the n - region is 1 mm. The approximately
series resistance of the diode is
27. The doping concentrations of a silicon pn junction (A) 62 W (B) 43 W
-3 -3
are N d = 10 16
cm and N a = 8 10 15
cm . The (C) 72 W (D) 81 W
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
119
For E-books/Materials/Notes-PDFs|PPTs
UNIT 2 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Electronics Devices

33. A gallium arsenide pn junction is operating in 39. A GaAs laser has a threshold density of 500 A cm 2 .
reverse-bias voltage VR  5 V. The doping profile are The laser has dimensions of 10 mm 200 mm. The active
3
N a  N d  10 16
cm . The minority carrier life- time are region is dLas = 100 A . The electron-hole recombination
-8
t p 0 = t n 0 = t 0 = 10 s. The reverse-biased generation time at threshold is 1.5 ns. The current density of 5J th
current density is (e r = 131
. , ni = 1.8 10 ) 6
is injected into the laser. The optical power emitted, if
-8 -9
. 10
(A) 19 A cm 2
. 10
(B) 19 A cm 2
emitted photons have an energy of 1.43 eV, is
(C) 1.4 10 -8
A cm 2
(D) 1.4 10 -9
A cm 2 (A) 143 mW (B) 71.5 mW
(C) 62.3 mW (D) 124.6 mW
34. For silicon the critical electric field at breakdown is
approximately Ecrit = 4 10 5 V cm. For the breakdown
voltage of 25 V, the maximum n - type doping ***************
+
concentration in an abrupt p n-junction is
(A) 2 1016 cm -3 (B) 4 10 16 cm -3
(C) 2 1018 cm -3 (D) 4 10 18 cm -3

35. A uniformly doped silicon pn junction has dopant


profile of N a = N d = 5 1016 cm -3. If the peak electric
field in the junction at breakdown is E = 4 10 5 V cm,
the breakdown voltage of this junction is
(A) 35 V (B) 30 V
(C) 25 V (D) 20 V

36. An abrupt silicon p+ n junction has an n - region


doping concentration of N d = 5 10 15 cm -3. The
minimum n - region width, such that avalanche
breakdown occurs before the depletion region reaches
an ohmic contact, is (VB 100 V)
(A) 5.1 mm (B) 3.6 mm
(C) 7.3 mm (D) 6.4 mm

37. A silicon pn junction diode has doping profile


N a = N d = 5 1019 cm -3. The space charge width at a
forward bias voltage of Va = 0.4 V is
(A) 102 A (B) 44 A
(C) 153 A (D) 62 A

38. A GaAs pn+ junction LED has following


parameters

Dn = 25 cm 2 s, Dp = 12 cm 2 s

N d = 5 1017 cm -3, N a = 1016 cm -3

t n 0 = 10 ns , t p 0 = 10 ns

The injection efficiency of the LED is


(A) 0.83 (B) 0.99
(C) 0.64 (D) 0.46
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
120
For E-books/Materials/Notes-PDFs|PPTs
UNIT 2 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Electronics Devices

. 10 -5 cm,
= 301 4 1016 4 10 17
= 0.0259 ln = 0.826 V
eA 117
. 8.85 10 10 -14 -4
2. 25 10 20
CT = = . 10 -12 F
= 35
W . 10 -5
301 1
2 e( Vbi + VR ) Na Nd 2
| Emax | =
N N e ( N a + N d )
13. (A) Vbi = Vt ln a 2 d
ni eEmax
2
1 1
Vbi + VR = +
2e Na Nd
50 N a2
0.751 = 0.0259 ln
2.25 10
20
. 8.85 10 -14 )( 3 10 5) 2
(117 1 1
= + 17
V
2 1.6 10 -19 4 1016
4 10
N a = 4. 2 1015 cm -3, N d = 2.1 1017 cm -3
1
= 8.008 V
2 e ( V + VR ) 1 1 2 VR = 8.008 - 0.826 = 7.18 V
W = s bi + ,
e Na Na
N N
17. (B) Vbi = Vt ln a 2 d
1
2 e( Vbi + VR ) 1 2
Nd > > Na W ni
e N a
1018 1015
= 0.0259 ln = 0.754 V
2.25 10
20
1

. 8.85 10 -4 )(10.752)
2 (117 -4
2
= = 1.8 10 cm
1.6 10 -19 4.2 1015
1
eeN a N d 2
C =
= 1.8 mm 2 ( Vi + VR )( N a + N d )
1
1
eeN d 2
ee N a N d 2 For N a >> N d , C =
14. (D) C = 2 ( Vbi + VR )
2( Vbi + VR )( N a + N d )
1
1
1.6 10 -19 117. 8.85 10 -4 1015 2
ee N a 2 =
For N d >> N a , C = 2 (10 + 0.754)
2( Vbi + VR )
1 = 2.77 10 -9 F cm 2
-19 -4
1.6 10 117
. 8.85 10 4.2 10 15 2
C = AC = 6 10 -4 2.77 10 -9 = 1.66 10 -12 F
=
2 (10 + 0.754) 1 1
fo = = = 2.6 MHz.
= 5.7 10 -9 F cm 2 2p LC 2 p 2. 2 10 -3 1.66 10 -12

1
2 e ( V + VR ) 1 1 2 eN a xn
15. (D) W = s bi + 18. (B) | Emax | =
e N e
a Na
2e( Vbi + VR )
1
For a p+ n junction, xn
W A ( Vbia + V R ) ( N aA + N dA ) N aB N dB 2 eN d
=
WB ( Vbib + R ) ( N aB + N dB ) N aA N dB 1
2 eN d 2
N N So that | Emax | = ( Vbi + VR )
Vbi = Vt ln a 2 d es
ni
Assuming Vbi << VR ,
1018 1015
VbiA = 0.0259 ln = 0.754 V eEmax
2
. 8.85 10 -14 )(10 6 ) 2
(117
2.25 10
20
Nd = =
2 eVR 2(1.6 10 -14 )(10)
1018 1016
VbiB = 0.0259 ln = 0.814 V = 3. 24 1017 cm -3
2.25 10
20

1
VD 0 .9

W A 5.754 1018 + 1015 1016 2 19. (B) I D = I s e hVt - 1 = 10 -10 ( e 2 ( 0 .0259) - 1 ) = 35 mA


= 15 = 313
.
WB 5.814 10 18 + 1016 10

N N VD I
16. (C) Vbi = Vt ln a 2 d 20. (B) I D = I s e hVt - 1 VD = hVt ln 1 + D
Is
ni
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
122
ForThe
E-books/Materials/Notes-PDFs|PPTs
pn Junction Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 2.2

70 10 -6 eVa
. )(0.0259) ln 1 
 (105 = 0.87 V 27. (A) N p = ALn np 0 e kT -1
10 -18
V1 . 1010 ) 2
ni2 (15
V
-
-
Vt ( V1 - V2 ) np 0 = = = 2.8 10 4 cm -3
V
t
I d1 e -
Na 8 1015
21. (B) I d I s e , = V2 = e Vt

I d2 -
e Vt Ln = Dn t no = 35 10 -6 = 5.9 10 -3 Cm
I
V1 - V2 = Vt ln d 2 = 0.0259 ln 10 = 59.6 mV 0 .5
I d1 N p = 10 -3 5.9 10 -3 2.8 10 4 e 0 .0259 - 1

VV I = 4 10 7 cm -3
22. (A) I = I s e t - 1 V = Vt ln + 1
I
s
-Eg Va
Va
V V
I 28. (A) I ni2 e Vt e t
e t
= -0.90 (ive due to reverse current)
Is V -Eg
a
V
V = 0.0259 ln (1 - 0.9) = -59.6 mV I e t

V a - E g1

V 1
23. (B) I s = Aen
1 2 D I1 e t ( Va1 - Va2 - E g1 + E g2 )
i = V - E = e Vt
Nd t po I2

a2 g2

Vt
e

(10 -4 )(1.6 10 -19)(15


. 10 10 ) 2 12
= . 10 -15 A
= 394 10 10 -3
( 0 .255- 0 .32 - 0 .525+ E g2 )
1016 10 -7 =e ( 0 .0259)

10 10 -6
E g2 - 0 .59
Jn
24. (D) = 0.95, 0 .0259

Jn + Jp 10 = e
3

Dp E g 2 = 0.59 + 0.0259 ln 10 3 = 0.769 EV


1 Dn 1
J n = en 2
i , J p = en 2
i
Na t no Nd t po
I p 0 t p 0 + I n 0 tn 0
29. (B) Cd =
Dn 5 2 Vt
= 0.95, = 0.95
N Na
Dn + a Dp 5+ 10 t n 0 = t p 0 = 10 -6 s, I p 0 + I n 0 = I dQ = 2 mA
Nd Nd
-3 -6
2 10 10
Na Cd = = 3.86 10 -8 F
= 0.083 2( 0.0259)
Nd

I dQ 10 -3
eVa

- Lx

30. (D) g d = = = 3.86 10 -2 S
Vt 0.0259
25. (C) dpn = pn - pn 0 = pn 0 e kt - 1 e p
I dQt p 0 10 -3 10 -7
Cd = = . 10 -9 F
= 193
2 Vt 2 (0.0259)
. 1010 ) 2
ni2 (15
pn 0 = = = 2. 25 10 4 cm -3
Nd 1016 1 1
Z= = = 235
. - j7.5 W
Y g d + jwCd
Lp = Dp t p 0 = ( 8)(1 10 -8 ) = 2.83 10 -4 cm

0 .61 - x 31. (A) For a p+ n diode I p 0 >> I n 0
dpn = 2.25 10 4 e 0 .0259 - 1 e 2 .8310 -4
1 tp 0
-3534 x -3
Cd = ( I pot po), = 2.5 10 -6
= 3.8 10 e 14
cm 2 Vt 2 Vt

t p 0 = 2 0.0259 2.5 10 -6 = 1.3 10 -7 s


( dpn )
26. (A) J p = - eDp = eDp ( 3.8 1014 )( 3534) e -3534 x
x
rp L L
x = 3 mm = 3 10 -4 cm 32. (C) RP = =
-4
A A( em p N a )
J p = (1.6 10 -19)(18)( 3.8 1014 )( 3534) e - ( 3534 )( 310 )

0.2
= = 26 W
= 0.6 A cm 2 (10 -2 )(1.6 10 -19)( 480)(10 16 )
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
123
For E-books/Materials/Notes-PDFs|PPTs
UNIT 2 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Electronics Devices

-n L L 5 1019
Rn   = 2(0.0259) ln = 114
. V
A Ae( n N d ) . 1010
15
0.10 1
 = 46.3 W 2 e ( V + VR ) 1 1 2
(10 )(1.6 10 -19)(1350)(1015)
-3
W = s bi N +
e a Na
R = Rp + Rn = 72.3 W
1
. 8.85 10 -14 )(114
2 (117 . - 0.4) 2 2
N N = -14 19
33. (B) Vbi = Vt ln a 2 d 1.6 10 5 10
ni = 6.19 10 -7 cm = 62 A
10 16

= 2(0.0259) ln =1.16 V
1.8 10
6
38. (B) Ln = Dn t n 0 , Lp = Dp t p 0
1
Dn np 0 Dn
2 e ( V + VR ) 1 1 2 np 0
W = s bi N + N Ln tn 0
e a a hinj = =
Dn np 0 Dp pn 0 Dn Dp
1 + np 0 + pn 0
. 8.85 10 -14 )( 6.16) 2
2 (131 2 Ln Lp tn 0 tp 0
-4
= -19 16 = 1.34 10 cm
1 .6 10 10 ni2 (1.8 106 ) 2
np 0 = = . 10 -4 cm -3
= 324
eniW Na 1016
J gen =
2t o ni2 (1.8 106 ) 2
pn 0 = = = 6.48 106 cm -3
1.6 10 -19 1.8 106 1.34 10 -4 Nd 5 1017
= . 10 -9 A cm 2
= 193
2 10 -8 Dn 25
= = 5 10 4 ,
tn 0 10 10 -9
eEcrit
2
34. (A) VB = Dp 12
2 eN B = = 35
. 10 4
tp 0 10 10 -9
. 8.85 10 -4 )( 4 10 5) 2
(117
25 = (5 10 4 )( 3. 24 10 -4 )
2 1.6 10 -19 N B hinj =
(5 10 )( 3. 24 10 -4 ) + ( 35
4
. 10 4 )( 6.48 10 -6 )
N B = N d = 2 1016 cm -3
= 0.986
eN d xn eEmax
35. (D) Emax = xn = 39. (B) The areal density at threshold is
e eN d
J th t r (500)(15. 10 -9)
. 8.85 10 -14 )( 4 10 5)
(117 n2 D = = = 4.69 1012 cm -3
= = 5.18 10 -5 cm e 1.6 10 -19
(1.6 10 -19)(5 1016 )
The carrier density is
N N 5 106
Vbi = Vt ln a 2 d = 2(0.0259) ln = 0.778 V n2 D 4.69 1012
. 1010 nth = = = 4.69 1018 cm -3
ni 15 dLas 10 -6
1
2 e V N Once the threshold is reached, the carrier density does
1 2
xn = s bi a
not change. When J > J th the electron hole
e N d N a + N d
recombination is
-5 2 . 8.85 10 -4 )( Vbi + VR )
2(117 . 10 -9
(5.18 10 ) = -19
J th
tr ( J ) = t r ( J th ) =
15
= 3 10 -10 s
(1.6 10 )(2 5 10 )
6
J 5
Vbi + VR = 20.7, VR = 19.9 V, VR = VB The optical power produced is p =
JA
hw
e
36. (A) For a p+ n diode, Neglecting Vi compared to VB , (5 500)(2 10 -5)(1.43 1.6 10 -19)
= = 715
. MW
1 1 1.6 10 -19
. 8.85 10 -14 )(100) 2
2 eVB 2 2(117
xn = -19 = 5.1 mm
eN d (1.6 10 )(5 10 )
15

****************
N N
37. (D) Vbi = Vt ln a 2 d
ni
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
124
For E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com

CHAPTER

2.3
THE BIPOLAR JUNCTION TRANSISTOR

Statement for Q.1-2: 5. A uniformly doped npn bipolar transistor is biased in


the forward-active region. The transistor doping
The parameters in the base region of an npn
concentration are N E  5  1017 cm 3, N B  10 16 cm 3 and
bipolar transistor are as follows Dn  20 cm 2 s, nB0  10 4
N C  1015 cm 3. The minority carrier concentration pE0 ,
cm 3, xB  1 m, ABE  10 4 cm 2 .
nB0 and pC0 are
1. If VBE  0.5 V, then collector current I C is (A) 4.5  10 2 , 2. 25  10 4 , 2. 25  10 5 cm 3

(A) 7.75 A (B) 1.6 A (B) 2. 25  10 4 , 2. 25  10 5, 4.5  10 2 cm 3

(C) 0.16 A (D) 77.5 A (C) 2. 25  10 4 , 2. 25  10 5, 4.5  10 4 cm 3


(D) 4.5  10 4 , 2.25  10 4 , 2. 25  10 5 cm 3
2. If VBE  0.7 V, then collector current I C is
6. A uniformly doped silicon pnp transistor is biased in
(A) 418 A (B) 210 A
the forward-active mode. The doping profile is N E  10 18
(C) 17.5 A (D) 98 A cm 3, N B  5  1016 cm 3 and N C  1015 cm 3. For VEB  0.6
V, the pB at x  0 is (See fig. P2.3.7-8)
3
3. In bipolar transistor biased in the forward-active (A) 5.2  10 cm 19
(B) 5.2  10 13 cm 3
region the base current is I B  50 A and the collector (C) 5.2  1016 cm 3 (D) 5.2  10 11 cm 3
currents is I C  2.7 mA. The  is
(A) 0.949 (B) 54 Statement for Q.7-8:

(C) 0.982 (D) 0.018 An npn bipolar transistor having uniform doping
of N E  10 18 cm 3 N B  1016 cm 3 and N C  6  10 15 cm 3
is operating in the inverse-active mode with VBE   2 V
4. A uniformly doped silicon npn bipolar transistor is to
and VBC  0.6 V. The geometry of transistor is shown in
be biased in the forward active mode with the B-C
fig P2.3.7-8.
junction reverse biased by 3 V. The transistor doping
Emitter Base Collector
are N E  1017 cm 3, N B  1016 cm 3 and N C  10 15 cm 3. -n- -p- -n-

The BE voltage, at which the minority carrier electron


concentration at x  0 is 10% of the majority carrier hole xE xB xC
concentration, is
(A) 0.94 V (B) 0.64 V x' = xE x'=0 x=0 x = xB x'' = 0 x'' = xC
x' x x''
(C) 0.48 V (D) 0.24 V Fig. P2.3.7-8

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
125
For E-books/Materials/Notes-PDFs|PPTs
UNIT 2 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Electronics Devices

7. The minority carrier concentration at x  x B is N E  1018 cm 3, N B  5  1016 cm 3,


(A) 4.5  1014 cm 3 (B) 2.6  10 12 cm 3 N C  2  1019 cm 3,
(C) 2.6  1014 cm 3 .  10 14 cm 3
(D) 39 DE  8 cm 2 s , DB  15 cm 2 s , DC  14 cm 2 s

8. The minority carrier concentration at x  0 is xE  0.8 m, xB  0.7 m

.  1014 cm 3
(A) 39 (B) 2.7  10 12 cm 3 The emitter injection efficiency is
(C) 2.7  10 14
cm 3
(D) 4.5  10 14
cm 3 (A) 0.999 (B) 0.977
(C) 0.982 (D) 0.934
9. An pnp bipolar transistor has uniform doping of
N E  6  1017 cm 3, N B  2  10 16 cm 3 and N C  5  1014 15. A uniformly doped silicon epitaxial npn bipolar
3
cm . The transistor is operating is inverse-active mode. transistor is fabricated with a base doping of
3
The maximum V CB voltage, so that the low injection N B  3  10 16
cm and a heavily doped collector region
condition applies, is with N C  5  10 17
cm 3. The neutral base width is
(A) 0.86 V (B) 0.48 V xB  0.7 m when VBE  VBC  0. The VBC at

(C) 0.32 V (D) 0.60 V punch-through is


(A) 26.3 V (B) 18.3 V
Statement for Q.10-12: (C) 12.2 V (D) 6.3 V
The following currents are measured in a
uniformly doped npn bipolar transistor: 16. A silicon npn transistor has a doping concentration
of N B  1017 cm 3 and N C  7  10 15 cm 3. The
I nE  120
. mA, I pE  0.10 mA, I nC  118
. mA
metallurgical base width is 0.5 m. Let VBE  0.6 V.
I R  0.20 mA, I G  1 A, I pC0  1 A Neglecting the BE junction depletion width the VCE at
punch-through is
10. The  is
(A) 146 V (B) 70 V
(A) 0.667 (B) 0.733
(C) 295 V (D) 204 V
(C) 0.787 (D) 0.8

17. A uniformly doped silicon pnp transistor is to


11. The  is
designed with N E  1019 cm 3 and N C  10 16 cm 3. The
(A) 3.69 (B) 0.44
metallurgical base width is to be 0.75 m. The
(C) 2.27 (D) 8.39
minimum base doping, so that the minimum
punch-through voltage is Vpt  25 V, is
12. The is
(A) 4.46  1015 cm 3 (B) 4.46  10 16 cm 3
(A) 0.816 (B) 0.923
.  1015 cm 3
(C) 195 .  1016 cm 3
(D) 195
(C) 1.083 (D) 0.440

13. A silicon npn bipolar transistor has doping 18. For a silicon npn transistor assume the following

concentration of N E  2  1018 cm 3, N B  10 17 cm 3 and parameters:

.  1016 cm 3. The area is 10 3 cm 2 and neutral


N C  15 I E  0.5 mA,   48
base width is 1 m. The transistor is biased in the active xB  0.7 A, xdc  2 m
region at VBE  0.5 V. The collector current is
Cs  C  0.08 pF, C je  0.8 pF
(DB  20 cm 2 s)
Dn  25 cm 2 s, rc  30

(A) 9 A (B) 17 A
The carrier cross the space charge region at a
(C) 22 A (D) 11 A
speed of 10 7 cm s. The total delay time ec is
14. A uniformly doped npn bipolar transistor has (A) 164.2 ps (B) 234.4 ps
following parameters: (C) 144.2 ps (D) 298.4 ps
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
126
ForThe
E-books/Materials/Notes-PDFs|PPTs
Bipolar Junction Transistor Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 2.3

19. In a bipolar transistor, the base transit time is 25% Statement for Q.24-26:
of the total delay time. The base width is 0.5 m and For the transistor in circuit of fig. P2.3.24-26. The
base diffusion coefficient is DB  20 cm 2 s. The cut-off parameters are R  1 , F  100 , and I s  1 fA .
frequency is
(A) 637 MHz (B) 436 MHz
5V
(C) 12.8 GHz (D) 46.3 GHz

20. The base transit time of a bipolar transistor is 100


ps and carriers cross the 1.2 m BC space charge at a
speed of 10 7 cm s . The emitter-base junction charging Fig. P2.3.24-26
time is 25 ps and the collector capacitance and
24. The current I C is
resistance are 0.10 pF and 10
, respectively. The cutoff
(A) 1 fA (B) 2 fA
frequency is (C) 1.384 fA (D) 0 A
(A) 43.8 GHz (B) 32.6 GHz
(C) 3.26 GHz (D) 1.15 GHz 25. The current I E is
(A) 1 fA (B) -1 fA
Statement for Q.21-22: (C) 2 fA (D) -2 fA
Consider the circuit shown in fig. P2.3.21-22. If
26. The current I B is
voltage Vs  0.63 V, the currents are I C  275 A and
(A) 2 fA (B) -2 fA
I B  5 A .
(C) 1 fA (D) -1 fA

27. For the transistor in fig. P2.3.27, I S  10 15 A,


F  100 , R  1. The current I CBO is
Vs

5V

Fig.P2.3.21-22

21. The forward common-emitter gain F is


(A) 56 (B) 55
(C) 0.9821 (D) 0.9818 Fig.P2.3.27
.  10 14 A
(A) 101 (B) 2  10 14 A
22. The forward current gain  F is .  10 15 A
(C) 101 (D) 2  10 15 A
(A) 0.9821 (B) 0.9818
Statement for Q.28-31:
(C) 55 (D) 56
Determine the region of operation for the
23. Consider the circuit shown in fig P2.3.23. If Vs  0.63 transistor shown in circuit in question.
V, I1  275 A and I 2  125 A, then the value of I 3 is
28.
I1
6V
I2

Vs I3

Fig. P2.3.23 Fig.P2.3.28


(A)  400 A (B) 400 A (A) Forward-Active (B) Reverse-Active
(C)  600 A (D) 600 A (C) Saturation (D) Cutoff
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
127
For E-books/Materials/Notes-PDFs|PPTs
UNIT 2 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Electronics Devices

29. 33. The current I1 is


(A) 12.75 mA (B) 12.75 mA
(C) 12.5 mA (D)  12.5 mA

Statement for Q.3435:


6V
The leakage current of a transistor are I CBO  5 
Fig. P2.3.29 A and I CEO  0.4 mA, and I B  30 A.
(A) Forward-Active (B) Reverse-Active
34. The value of  is
(C) Saturation (D) Cutoff
(A) 79 (B) 81
30. (C) 80 (D) None of the above

35. The value of I C is


(A) 2.4 mA (B) 2.77 mA
(C) 2.34 mA (D) 1.97 mA
6V

Statement for Q.3637:


Fig.P2.3.30
For a BJT, I C  5 mA, I B  50 A and I CBO  0.5 A.
(A) Forward-Active (B) Reverse-Active
(C) Saturation (D) Cutoff 36. The value of  is
(A) 103 (B) 91
31.
(C) 83 (D) 51
3V
37. The value of I E is
(A) 5.25 mA (B) 5.4 mA
6V (C) 5.65 mA (D) 5.1 mA

Fig.P2.3.31
(A) Forward-Active (B) Reverse-Active ********

(C) Saturation (D) Cutoff

Statement for Q.32-33:

For the circuit shown in fig. P2.3.32-33, let the


value of  R  0.5 and F  50. The saturation current is
10 16 A.
+3 V

250 A I1

Fig. P2.3.32-33

32. The base-emitter voltage is


(A) 0.53 V (B) 0.7 V
(C) 0.84 V (D) 0.98 V
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
128
ForThe
E-books/Materials/Notes-PDFs|PPTs
Bipolar Junction Transistor Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 2.3

.  1010 ) 2
ni2 (15
8. (D) pC 0   .  10 4 cm 3
 375
SOLUTIONS NC 6  1015
VBC 
 
 V 
VBE 

 V 
 pC ( x  0)  pC 0 e t 

b 
1. (A) I C  I s e 0 .6 
 
eDn ABE nB 0 .  10 4 e 0 .0259   4.31  1014 cm 3
 375
Is 
xB
(1.6  10 19)(20)(10 4 )(10 4 ) 9. (B) Low injection limit is reached when
 .  10 14 A
 32
10 4 pC (0)  0.10 N C  5  1013 cm 3,


0 .5 
 .  1010 ) 2
ni2 (15
I C  3. 2  10 14 e 0 .0259   7.75 A pC 0    4.5  10 5
NC 5  1014

 VCB 
0 .7 
   V  p (0) 
2. (C) I C  32
.  10 14
e 0 .0259 
 17.5 mA pC (0)  pC 0 e t 
 VCB  Vt ln  C 
pC 0 

I F 5  1013 
3. (C) F  C ,  F   0.0259 ln    0.48 V
5
IB 1  F 4.5  10 
IC 2.7m
F    0.982 J nC I nC
I C  I B 2.7m  50 10. (C)   
J nE  J R  J pE I nE  I R  I pE

ni2 .  1010 ) 2
(15 118
.
4. (B) np 0    2. 25  10 4 cm 3   0.787
NB 1016 .  0.2  0.1
12
VBE 
 
At x  0, np (0)  np 0 e
 V 
t   0.787
11. (A)     3.69
1 1  0.787
np (0) 
 VBE  VT ln  
 n  J nE I nE 1. 2
p0  12. (B)     0.923
10 1016 J nE  J pE I nE  I pE 1. 2  0.1
np (0)   NB   10 15
100 10
1015  .  10 10 ) 2
ni2 (15
VBE  0.0259 ln    0.635 V 13. (B) nB 0    2.25  10 3 cm 3
NB 1017
2.25  10
4

VBE  0 .5 
   
 V 
nB (0)  nB 0 e t 
 2.25  10 3 e 0 .0259   5.45  1011 cm 3
.  10 10 ) 2
ni2 (15
5. (A) pE 0    450 cm 3 eDB AnB (0)
NE 5  1017 IC 
xB
.  10 10 ) 2
ni2 (15
nB 0    2. 25  10 4 cm 3 (1.6  10 19)(20)(10 3)(5.45  10 11 )
NB 5  1016   17.4 A
10 4
.  10 10 ) 2
ni2 (15
pC 0    2. 25  10 5 cm 3
NE 5  1015 1
14. (B) 
N B D E xB
1  
.  1010 ) 2
ni2 (15 N E D B xE
6. (B) pB 0    4.5  10 3 cm 3
NB 5  1016 1
VEB 
  0.977
  0 .6 
  5  1016 8 0.7
pB (0)  pB 0 e
 V
t


 4.5  10 e 3 0 .0259 
 5. 2  1013 cm 3 1
1018 15 0.8

.  10 10 ) 2
ni2 (15 N N 
7. (C) nB 0    2. 25  10 4 cm 3 15. (B) Vbi  Vt ln  B 2 C 
NB 1016
ni 

 VBC 
 V 
t  3  1016  5  1017 
nB ( x  xB )  nB 0 e  0.0259 ln    0.824 V
(15 .  1010 ) 2 
0 .6

 2. 25  10 4 e 0 .0259  2.6  10 14 cm 3 At punch-through


www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
129
ForThe
E-books/Materials/Notes-PDFs|PPTs
Bipolar Junction Transistor Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 2.3

  VBE  
 VBC  
VBE 
I    33. (A) I E  (F  1) I B  12.75 mA
27. (C) I E  I S  e Vt   e Vt    S  e Vt   1   0
 

  F   I1   I E   12.75 mA.
 
 VBC 
 VBE   
 V  1 R  Vt  34. (A) I CEO  (  1) I CBO
 e t 
  e
1  F 1  BF 0.4m
1  80    79
VBE 
 

 VBC  # VBC 
  # 5
%  I S "e Vt   1%
   V   
I C  I S "e Vt 
e t 

" % R " %$
! $ ! 35. (B) I C  I B  I CEO  79( 30 )  0.4m =2.77 mA
VBC  VBC 
IS 
 V 
 # I 
 V


#
I CBO  "1  e t 
%  S "e t 
 1% 36. (A) I C  I B  I CEO   I B  (  1) I CBO
1  F " %$ R "! %$
! I C  I CBO 5.2m  0.5
  & 10396
.
VBC   5 V, Vt  0.0259 V I B  I CBO 50  0.5
Is I
I CBO  (1  0)  S (0  1)  101 .  10 15 A
. I S  101 
101 1 37. (A)    0.9904
1
28. (D) I C  I CBO 5.2m  0.5
IE    5.25 MA
 0.9904
B-C Junction VBC
B-E junction VBE
Reverse Bias Forward bias

Forward bias Forward-Active Saturation


*******
Reverse Bias Cut-off Reverse-Active

VBE  0 , VBC  0, Thus both junction are in reverse bias.


Hence cutoff region.

29.(A) VBE  0 , VBC  0, Base-Emitter junction forward


bais, Base-collector junction reverse bias, Hence
forward-active region.

30. (B) VBE  0 , VBC  0, Base-Emitter junction reverse


bais , Base-collector junction forward bias, Hence
reverse-active region.

31. (C) VBE  6 V, VBC  3 V, Both junction are forward


biase, Hence saturation region.

32. (C) The current source will forward bias the


base-emitter junction and the collector base junction
will then be reverse biased. Therefore the transistor is
in the forward active region
VBE 
 
 V 
t 
IC  ISe
I C  F I B  50  250  10 6  12.5  10 3 A
I  12.5  10 3 
VBE  Vt ln  C   0.0259 ln  16   0.84 V
IS  10 
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
131
For E-books/Materials/Notes-PDFs|PPTs
UNIT 2 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
electronics Devices

11. In n-well CMOS fabrication substrate is 18. Monolithic integrated circuit system offer greater
(A) lightly doped n  type reliability than discrete-component systems because

(B) lightly doped p  type (A) there are fewer interconnections

(C) heavily doped n  type (B) high-temperature metalizing is used


(C) electric voltage are low
(D) heavily doped p  type
(D) electric elements are closely matched
12. The chemical reaction involved in epitaxial growth
in IC chips takes place at a temperature of about 19. Silicon dioxide is used in integrated circuits
(A) because of its high heat conduction
(A) 500 C (B) 800 C
(B) because it facilitates the penetration of diffusants
(C) 1200 C (D) 2000 C
(C) to control the location of diffusion and to protect
13. A single monolithic IC chip occupies area of about and insulate the silicon surface.

(A) 20 mm 2 (B) 200 mm 2 (D) to control the concentration of diffusants.

(C) 2000 mm 2 (D) 20,000 mm 2 s


20. Increasing the yield of an IC
(A) reduces individual circuit cost
14. Silicon dioxide layer is used in IC chips for
(A) providing mechanical strength to the chip (B) increases the cost of each good circuit
(C) results in a lower number of good chips per wafer
(B) diffusing elements
(D) means that more transistor can be fabricated on
(C) providing contacts
the same size wafer.
(D) providing mask against diffusion
21. The main purpose of the metalization process is
15. The p-type substrate in a monolithic circuit should (A) to act as a heat sink
be connected to
(B) to interconnect the various circuit elements
(A) any dc ground point
(C) to protect the chip from oxidation
(B) the most negative voltage available in the circuit
(D) to supply a bonding surface for mounting the chip
(C) the most positive voltage available in the circuit
22. In a monolithic-type IC
(D) no where, i.e. be floating
(A) each transistor is diffused into a separate
16. The collector-substrate junction in the epitaxial isolation region
collector structure is, approximately (B) all components are fabricated into a single crystal
of silicon
(A) a step-graded junction
(C) resistors and capacitors of any value may be
(B) a linearly graded junction
made
(C) an exponential junction
(D) all isolation problems are eliminated
(D) None of the above
23. Isolation in ICs is required
17. The sheet resistance of a semiconductor is (A) to make it simpler to test circuits
(A) an important characteristic of a diffused region (B) to protect the transistor from possible ``thermal
especially when used to form diffused resistors run away
(B) an undesirable parasitic element (C) to protect the components mechanical damage
(C) a characteristic whose value determines the (D) to minimize electrical interaction between circuit
required area for a given value of integrated components
capacitance
(D) a parameter whose value is important in a 24. Almost all resistor are made in a monolithic IC
thin-film resistance (A) during the base diffusion
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
140
ForIntegrated
E-books/Materials/Notes-PDFs|PPTs
Circuits Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chapc2.5

(B) during the collector diffusion 30. For the circuit shown in fig. P2.5.30, the minimum
(C) during the emitter diffusion number and the maximum number of isolation regions
(D) while growing the epitaxial layer are respectively

25. The equation governing the diffusion of neutral


R2 R1
atom is
N 2 N N 2 N Q1
Vo2
(A) D (B) D
t x 2 x t 2 Q2

 N
2
N  N
2
N
(C) D (D) D
t 2
x x 2
t Fig. P2.5.32
(A) 2, 6 (B) 3, 6
26. The true statement is
(C) 2, 4 (D) 3, 4
(A) thick film components are vacuum deposited
(B) thin film component are made by screen-and- fire 31. For the circuit shown in fig. P2.5.31, the minimum
process number of isolation regions are
(C) thin film resistor have greater precision and are
more stable
(D) thin film resistor are cheaper than the thin film
resistor

27. The False statement is


(A) Capacitor of thin film capacitor made with proper
dielectric is not voltage dependent Fig. P2.5.31
(B) Thin film resistors and capacitor need to be
(A) 2 (B) 3
biased for isolation purpose
(C) 4 (D) 7
(C) Thin film resistors and capacitor have smaller
stray capacitances and leakage currents.
(D) None of the above
*******

28. Consider the following two statements


S1 : The dielectric isolation method is superior to
junction isolation method.
S2 : The beam lead isolation method is inferior to
junction isolation method.
The true statements is (are)
(A) S1 , S2 (B) only
(C) only (D) Neither nor S2

29. If P is passivation, Q is n-well implant, R is


metallization and S is source/drain diffusion, then the
order in which they are carried out in a standard n-well
CMOS fabrication process is
(A) S - R - Q - P (B) R - P - S - Q
(C) Q - S - R - P (D) P - Q - R - S

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
141
For E-books/Materials/Notes-PDFs|PPTs
UNIT 2 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
electronics Devices

SOLUTIONS
1. (D) 2. (D) 3. (B) 4. (B) 5. (C) 6. (B)

7. (C) 8. (B) 9. (C) 10. (D) 11. (B) 12. (C)

13. (C) 14. (D) 15. (B) 16. (A) 17. (A) 18. (A)

19. (C) 20. (A) 21. (B) 22. (B) 23. (D) 24. (A)

25. (A) 26. (C) 27. (B) 28. (B) 29. (C)

30. (D) The minimum number of isolation region is 3


one containing Q1 , one containing and one containing
both and . The maximum number of isolation region is
4, or one per component.

31. (A) The minimum number of isolation region is two.


One for transistor and one for resistor.

*******

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
142
For E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com

CHAPTER

3.1
DIODE CIRCUITS

vo vo
Statement for Q.14: 22
18

In the question a circuit and a waveform for the


t t
input voltage is given. The diode in circuit has cutin
voltage V = 0. Choose the option for the waveform of (A) (B)
vo vo
output voltage vo .
t t
-3
-7
1.
vi
(C) (D)
+
2.2 k 20
vi vo
3.
2 k
5V vi
t +
_ -5 16

Fig.3.1.1 vi vo
t
4V 2 T
T
20 _
15 -16

t t
Fig. P3.1.3
vo vo
-5
-10 16
12

(A) (B) 4
t
4
t
vo vo T T T T
2 2
20 20 (A) (B)
vo vo
5 16
t t 12
4
t t
(A) (D) -4 T T T T
2 2
2.
2V (C) (D)
+ vi 4.
20 R vi
vi vo + 10
10 k
D1
6V
t
_ -5 vi vo t
8V D2
Fig.3.1.2 _ -10

Fig.3.1.4
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
145
For E-books/Materials/Notes-PDFs|PPTs
UNIT 3 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Analog Electronics

vo vo vo vo
10
8 9.42 9.42
5.7
4.3
t t
vi vi
5.7 15 4.3 15
-6
-10 (C) (D)
(A) (B) 7. For the circuit shown in fig. P3.1.7, each diode has
vo vo Vg = 0.7 V. The vo for -10 vs +10 V is
8 +10 V
6
10 kW
t t

-6 D1 D2
-8

(C) (D) vs vo

5. For the circuit in fig. P.3.1.5, let cutin voltage V = 0.7 D3 D4


10 kW

V. The plot of vo verses vi for -10 vi 10 V is


+ 10 kW

20 kW 10 kW
-10 V
vi vo

10 V 10 V Fig. P3.1.7.
_ vo vo
8.43 7.48
Fig. P3.1.5
vs vs
vo vo -10 10 -10 10
9.3 9.3
-8.43 -7.48

3.33 3.33 (A) (B)


vi vi vo vo
-10 3.33 10 -10 4.03 10
5.68 4.65
(A) (B) vs vs
vo vo -10 -6.8 6.8 10 -10 4.65 4.65 10
10 10 -5.68 -4.65

(C) (D)
3.33 3.33 8. A symmetrical 5 kHz square wave whose output
vi vi
-10 3.33 10 -10 4.33 10 varies between +10 V and -10 V is impressed upon the
(C) (D) clipping circuit shown in fig. P3.1.8. If diode has rf = 0
6. For the circuit in fig. P3.1.6 the cutin voltage of diode and rr = 2 MW and Vg = 0, the output waveform is
is Vg = 0.7 V. The plot of vo versus vi is +
1 MW
+
vi vo
2 kW 1 kW
1 kW vo 2.5 V
_
15 V vi
_ Fig. P3.1.8
vo vo
Fig. P3.1.6
10
vo vo 5
t t
19.6 19.6 -5 -10

(A) (B)
5.7 vo vo
4.3
vi 5
vi t t
5.7 15 4.3 15 -5
(A) (B)
(C) (D)
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
146
ForDiode
E-books/Materials/Notes-PDFs|PPTs
Circuits Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 3.1

C
9. In the circuit of fig. P3.1.9, the three signals of fig are vi
+
impressed on the input terminals. If diode are ideal 10
t
then the voltage vo is vi R vo
5V
D1 v
v3 _ -20
+ D2

+ D3 v2 Fig. P.3.1.11
vo v1 vo
v1 +
35 vo
v2 t
v3 10 k
25
- - -

5
Fig. P.3.1.9 t t
vo vo
-5

(A) (B)
vo
15
t t
(A) (B) (D) None of the above
t
vo vo
-15

(C) (D)

t t 12. In the circuit of fig. P3.1.12, D1 and D2 are ideal


diodes. The current i1 and i2 are
(C) (D)
D1 i1 i2 D2
10. For the circuit shown in fig. P3.1.10 the input
voltage vi is as shown in fig. Assume the RC time
500 
constant large and cutin voltage of diode V = 0. The 3V
5V
output voltage vo is 5V
C

+ vi Fig. P3.1.12
10

vi R vo t (A) 0, 4 mA (B) 4 mA, 0


-10
_ (C) 0, 8 mA (D) 8 mA, 0

Fig. P.3.1.10
vo vo
13. In the circuit of Fig. P3.1.13 diodes has cutin
20
voltage of 0.6 V. The diode in ON state are
10
t t D1 D2
12  6
(A) (B)
vo vo
t t 5.4 V 18  5V

-10

-20
Fig. P3.1.13
(C) (D)
11. For the circuit shown in fig. P.3.1.11, the input (A) only D1 (B) only D2
voltage vi is as shown in fig. Assume the RC time (C) both D1 and D2 (D) None of the above
constant large and cutin voltage V = 0. The output
voltage vo is

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
147
ForDiode
E-books/Materials/Notes-PDFs|PPTs
Circuits Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 3.1

22. The diodes in the circuit in fig. P3.1.22 has 26. If v2 = 0, then output voltage vo is
parameters V = 0.6 V and rf = 0. The current iD2 is (A) 6.43 V (B) 9.43 V
+10 V (C) 7.69 V (D) 8.93 V
9.5 k
27. If v2 = 5 V, then vo is
0.5 k D2
0V (A) 8.93 V (B) 12.63 V
iD2 vo
(C) 18.24 V (D) 10.56 V
+5 V
0.5 k D1 D3
28. If v2 = 10 V, then vo is
+5 V (A) 10 V (B) 9.16 V
Fig. P3.1.22
(C) 8.43 V (D) 12.13 V
(A) 8.4 mA (B) 10 mA
(C) 7.6 mA (D) 0 mA Statement for Q.2930:

The diode in the circuit of fig. P3.1.2930 has the


Statement for Q.2325:
non linear terminal characteristic as shown in fig. Let
The diodes in the circuit in fig. P3.1.23-25 have
the voltage be vs = cos t V.
linear parameter of V = 0.6 V and rf = 0. 100  a iD(mA)
+10 V
iD
vi 4
9.5 k
~ 100 
+
vD
0.5 k D2 2V -
v2 vo

b vD(V)
0.5 0.7
v1
0.5 k D1 Fig. P3.1.2930

Fig. P3.1.2325
29. The current iD is
23. If v1 = 10 V and v2 = 0 V, then vo is
(A) 2.5(1  cos t) mA (B) 5(0.5  cos t) mA
(A) 8.93 V (B) 7.82 V
(C) 5(1  cos t) mA (D) 5(1  0.5 cos t) mA
(C) 1.07 V (D) 2.18 V

30. The voltage vD is


24. If v1 = 10 V and v2 = 5 V, then vo is
(A) 0.25( 3  cos t) V (B) 0.25(1  3 cos t) V
(A) 9.13 V (B) 0.842 V
(C) 0.5( 3  1 cos t) V (D) 0.5(2  3 cos t) V
(C) 5.82 V (D) 1.07 V

25. If v1 = v2 = 0, then output voltage vo is 31. The circuit inside the box in fig. P3.1.31. contains
(A) 0.964 V (B) 1.07 V only resistor and diodes. The terminal voltage vo is
(C) 10 V (D) 0.842 V connected to some point in the circuit inside the box.
The largest and smallest possible value of vo most
Statement for Q.2628: nearly to is respectively
The diodes in the circuit of fig. P3.1.2628 have +15 V

linear parameters of V = 0.6 V and rf = 0.


D2 Circuit Containing
500  -9 V
Diode and Resistor
vo
v2

+10 V vo
500  D1
Fig. 3.1.31
9.5 k
(A) 15 V, 6 V (B) 24 V, 0 V
(C) 24 V, 6 V (D) 15 V, -9 V
Fig. P3.1.2628
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
149
For E-books/Materials/Notes-PDFs|PPTs
UNIT 3 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Analog Electronics

32. In the voltage regulator circuit in fig. P3.1.32 the Statement for Q.3638:
maximum load current iL that can be drawn is In the voltage regulator circuit in fig. P3.1.3638
15 kW
the Zener diode current is to be limited to the range
iL
5  iz  100 mA.
Vz = 9 V 12 
30 V Rz = 0 RL
iz iL

Vz = 4.8 V
6.3 V Rz = 0 RL
Fig. 3.1.32

(A) 1.4 mA (B) 2.3 mA


(C) 1.8 mA (D) 2.5 mA Fig. P3.1.3638

36. The range of possible load current is


33. In the voltage regulator shown in fig. P3.1.33 the
power dissipation in the Zener diode is (A) 5  iL  130 mA (B) 25  iL  120 mA
150 W (C) 10  iL  110 mA (D) None of the above

Vz = 15 V 37. The range of possible load resistance is


50 V Rz = 0 75 W

(A) 60  RL  372  (B) 60  RL  200 

(C) 40  RL  192  (D) 40  RL  360 


Fig. P3.1.33

(A) 1 W (B) 1.5 W 38. The power rating required for the load resistor is
(C) 2 W (D) 0.5 W
(A) 576 mW (B) 360
W

34. The Q-point for the Zener diode in fig. P3.1.34 is (C) 480 mW (D) 75
W
11 k
39. The secondary transformer voltage of the rectifier
Vz = 4 V circuit shown in fig. P3.1.39 is vs = 60 sin 2 60 t V. Each
20 V Rz = 0 3.6 k
diode has a cut in voltage of V = 0.6 V. The ripple
voltage is to be no more than Vrip = 2 V. The value of

Fig. P3.1.34
filter capacitor will be
+
(A) (0.34 mA, 4 V) (B) (0.34 mA, 4.93 V)
(C) (0.94 mA, 4 V) (D) (0.94 mA, 4.93 V) + vo -
+ 10 k
vi vs
35. In the voltage regulator circuit in fig. P3.1.35 the -

power rating of Zener diode is 400 mW. The value of RL C


-
that will establish maximum power in Zener diode is
222  Fig. P3.1.39

(A) 48.8
F (B) 24.4
F
Vz = 10 V
20 V Rz = 0 RL (C) 32.2
F (D) 16.1
F

40. The input to full-wave rectifier in fig. P3.1.40 is


Fig. P3.1.35 vi = 120 sin 2 60 t V. The diode cutin voltage is 0.7 V. If
(A) 5 k (B) 2 k
the output voltage cannot drop below 100 V, the
(C) 10 k (D) 8 k required value of the capacitor is

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
150
ForDiode
E-books/Materials/Notes-PDFs|PPTs
Circuits Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 3.1

+ +
1 : 1
+
vs
2.5 kW C vo
-
SOLUTIONS
vi -
+
vs 1. (D) Diode is off for vi 5 V. Hence vo = 5 V.
-
- For vi  5 V, vo = vi , Therefore (D) is correct option.

Fig. P3.1.40 2. (C) Diode will be off if vi  2  0.Thus vo = 0


(A) 61.2
F (B) 41.2
F
For vi  2 0 V, vi < - 2, vo = vi + 2 = -3 V
(C) 20.6
F (D) 30.6
F
Thus (C) is correct option.

41. For the circuit shown in fig. P3.1.41 diode cutin


3. (D) For vi < 4 V the diode is ON and output vo = 4 V.
voltage is Vin = 0. The ripple voltage is to be no more
For vi > 4 V diode is off and output vo = vi .
than vrip = 4 V. The minimum load resistance, that can
Thus (D) is correct option.
be connected to the output is
+
4. (C) During positive cycle when vs < 8 V, both diode
75sin 2p60t V ~ 50 mF vo RL are OFF vo = vs . For vs > 8 V , vo = 8 V, D1 is ON. During
negative cycle when |vs | < 6 V, both diode are OFF,
-
vo = vs . For vs > 6 V, D2 is on vo = -6 V. Therefore (C) is
Fig. P3.1.41 correct.
(A) 6.25 k (B) 12.50 k
(C) 30 k (D) None of the above 10 10
-
5. (B) For D off , vo = 20 20 = 3.33 V.
1 1
+
20 10
For vi 3.33 + 0.7 = 4.03 V, vo = 3.33 V
****************
For vi > 4.03 V , vo = vi - 0.7
For vi = 10 V, vo = 9.3 V

6. (C) Let v1 be the voltage at n-terminal of diode,


15 1
v1 = =5 V
2 +1
For vi 5.7 V, vo = vi
v1 - 15 v1 v - vi
+ + o =0 3v1 + 2 vo - 2 vi = 15
2k 1k 1k
vo = v1 + 0.7
5 vo - 2 v1 = 15 + 2.1 = 17.9 vo = 0.4 vi + 3.42

7. (D) For vs > 0, when D1 is OFF, Current through D2 is


10 - 0.7
i= = 0.465 mA, vo = 10 ki = 4.65 V
10 + 10
vo = vs for 0 < vs < 4.65 V.
For negative values of vs , the output is negative of
positive part. Thus (D) is correct option.

8. (B) The diode conducts (zero resistance) when vi < 2.5


V and vo = vi . Diode is open (2 MW resistance) when
v - 2.5
vi > 2.5 V and vo = 2.5 + i = 5 V.
3
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
151
For E-books/Materials/Notes-PDFs|PPTs
UNIT 3 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Analog Electronics

= 50 5 (1 + cos wt) 10 -3 + 0.5 C=


vmax
=
119.3
= 20.6 mF
= 0.75 + 0. 25 cos wt = 0. 25( 3 + cos wt) V 2 fkVrip 2( 60)2.5 10 3 14.4

31. (D) The output voltage cannot exceed the positive vmax
41. (A) Vrip =
power supply voltage and cannot be lower than the fRL C
negative power supply voltage. vmax 75
RL = = = 6.25 kW
fCVrip 60 50 10 -5 4
30 - 9
32. (A) At regulated power supply is = = 1.4 mA iL
15 k ***************
will remain less than 1.4 mA.

75(50) 50
33. (D) vTH = = V
75 + 150 3
50
> VZ , RTH = 150 ||75 = 50 W
3
1 50
iZ = - 15 = 33 mA, P = 15 iZ = 0.5 W
50 3

3.6(20)
34. (A) vTH = = 4.93 V > VZ ,
11 + 3.6
4.93 - 4
RTH = 11 || 3.6 = 2.71 kW, iZ = = 0.34 mA
2.71k

400m
35. (B) iZ ( max ) = = 40 mA
10
20 - 10
iL + iZ = = 45 mA
222
10
iL ( min ) = 45 - 40 = 5 mA, RL = = 2 kW
5m

36. (B) Current through 12 W resistor is


6.3 - 4.8
i= = 125 mA
12
iL = i - iZ = 125 - iZ 25 iL 120 mA

37. (C) 25 iL 120 mA, iL RL = 4.8 V


4.8
25 120 mA 40 RL 192 W
RL

38. (A) PL = iL VZ = (120m)( 4.8) = 576 mV

39. (B) vs = 60 sin 2 p60 t V


vmax = 60 - 1.4 = 58.6 V
vmax 58.6
C= = = 24.4 mF
2 fRVrip 2( 60)10 10 3 2

40. (C) Full wave rectifier


vs = vi = 120 sin 2 p60 t V
vmax = 120 - 0.7 = 119.3 V
Vrip = 119.3 - 100 = 19.3 V
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
154
For E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com

CHAPTER

3.2
BASIC BJT CIRCUITS

Use VBE ( ON )  0.7 V, VCE ( Sat )  0.2 V for npn (A) 8.4 V (B) 6.2 V
transistor if not given in problem. (C) 4.1 V (D) None of the above

Statement for Q.1-4: 3. I C , RC  ?


+5 V
The common-emitter current gain of the transistor
is  =75. The voltage VBE in ON state is 0.7 V. RC

VC = 2 V
1. I E , RC  ? 50 k
+12 V

10 k IQ = 1 mA

+
VEC = 6 V -5 V
-
Fig. P3.3.3
RC
(A) 0.987 mA, 3.04 k

-12 V (B) 1.013 mA, 2.96 k


Fig. P3.3.1 (D) 0.946 mA, 4.18 k
(A) 1.46 mA, 6.74 kW (B) 0.987 mA, 3.04 kW (D) 1.057 mA, 3.96 k
(C) 1.13 mA,, 5.98 kW (D) None of the above
4. VC  ?
2. VEC  ? +5 V
+8 V
10 k
20 k
10 k VC

10 k
-2 V

2 k
3 k

Fig. P3.3.4
-8 V
(A) 1.49 V (B) 2.9 V
Fig. P3.3.2
(C) 1.78 V (D) 2.3 V
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
155
For E-books/Materials/Notes-PDFs|PPTs
UNIT 3 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Analog Electronics

Statement for Q.5-6:


9. VB  1 V
In the circuit of fig.P3.3.5-6 VB   1 V (A) 4 V (B) 3 V
+3 V
(C) 1 V (D) 1.9 V

10. VB  2 V
(A) 7 V (B) 1.5 V
500 k
4.8 k (C) 2.6 V (D) None of the above

-3 V
Statement for Q.11-12:
Fig. P3.3.5-6 The transistor in circuit shown in fig. P3.3.11-12
5.   ? has   200. Determine the value of voltage Vo for given
(A) 103.4 (B) 135.5 value of VBB .
+5 V
(C) 134.5 (D) 102.4

5 k
6. VCE  ?
Vo
(A) 6.4 V (B) 4.7 V 50 k

(C) 1.3 V (D) 4.2 V


10 k
VBB
7. In the circuit shown in fig. P3.3.7 voltage VE  4 V.
The value of  and  are respectively
+5 V
Fig. P3.3.11-12
11. VBB  0
2 k
100 k (A) 2.46 V (B) 1.83 V
VE
(C) 3.33 V (D) 4.04 V

12. VBB  1 V
8 k (A) 4.11 V (B) 1.83 V
(C) 2.46 V (D) 3.44 V
-5 V
Fig. P3.3.7 13. VBB  2 V
(A) 0.943, 17.54 (B) 0.914, 17.54 (A) 3.18 V (B) 1.46 V
(C) 0.914, 11.63 (D) 0.914, 11.63 (C) 0.2 V (D) None of the above

Statement for Q.8-10: Statement for Q.14-16:


The transistor shown in the circuit of fig.
For the transistor in circuit shown in fig.
P3.3.14-16 has   150. Determine Vo for given value of
P3.3.8-10,   200. Determine the value of I E and I C for
I Q in question.
given value of VB in question. +5 V
+6 V

5 k
10 k
Vo
VC
VB

IQ
1 k

-5 V

Fig. P3.3.8-10 Fig. P3.3.14-16

8. VB  0 V 14. I Q  0.1 mA
(A) 6.43 mA, 2.4 V (B) 2.18 mA, 3.4 V (A) 1.4 V (B) 4.5 V
(C) 0 A, 6 V (D) None of the above (C) 3.2 V (D) None of the above
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
156
ForBasic
E-books/Materials/Notes-PDFs|PPTs
BJT Circuits Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 3.2

15. I Q  0.5 mA (A) 0.991 (B) 0.939


(A) 3.16 V (B) 2.52 V (C) 0.968 (D) 0.914
(C) 2.14 V (D) 394
. V
20. For the transistor in fig. P3.3.20 ,   50. The value
16. I Q  2 mA of voltage VEC is
(A) 4.9 V (B) 4.9 V +9 V

(C) 0.5 V (D) 0.5 V


1 mA

17. For the circuit in fig. P3.3.17 VB  VC and   50. The


value of VB is
+6 V

50 k 4.7 k
10 k

VC
-9 V
VB
Fig. P3.3.20

1 k (A) 3.13 V (B) 4.24 V


(C) 5.18 V (D) 6.07 V

Fig. P3.317
21. In the circuit shown in fig. P3.3.21 if   50, the
(A) 0.9 V (B) 1.19 V
power dissipated in the transistor is
(C) 2.14 V (D) 1.84 V
+9 V
18. For the circuit shown in fig. P3.3.18, VCB  0.5 V and
  100. The value of I Q is 0.5 mA
+5 V

5 k

Vo
50 k 4.7 k

-9 V
IQ
Fig. P3.3.21

-5 V (A) 3.87 mW (B) 10.46 mW


Fig. P3.3.18
(C) 7.49 mW (D) 18.74 mW
(A) 1.68 mA (B) 0.909 mA
(C) 0.134 mA (D) None of the above 22. For the circuit shown in fig. P3.3.22 the Q-point is
VCEQ  12 V and I CQ  2 A when   60. The value of
19. For the circuit shown in fig. P3.3.19 the emitter
resistor RC and RB are
voltage is VE  2 V. The value of  is +24 V
+10 V

RB RC
10 k

VE

50 k 10 k Fig. P3.3.22
(A) 10 k, 241 k (B) 10 k, 699 k
-10 V (C) 6 k 699 k (D) 6 k 241 k
Fig. P3.3.19
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
157
ForBasic
E-books/Materials/Notes-PDFs|PPTs
BJT Circuits Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 3.2

29. For the transistor in the circuit of fig. P3.3.29, 32. The current gain of the transistor shown in the
  100. The voltage VB is circuit of fig.P3.3.32 is   100. The values of Q-point
+10 V ( I CQ , VCEQ) is
+5 V

20 k 1 k

12 k 5 k

15 k

2 k 0.5 k

Fig. P3.3.29
-5 V
(A) 3.6 V (B) 4.29 V Fig. P3.3.32
(C) 3.9 V (D) 4.69 V
(A) (1.8 mA, 2.1 V) (B) (1.4 mA, 2.3 V)
30. The current gain of the transistor shown in the (C) (1.4 mA , 1.8 V) (D) (1.8 mA, 1.4 V)
circuit of fig. P3.3.30 is   125. The Q-point values
( I CQ , VCEQ) are 33. For the circuit in fig. P3.3.33, let   60. The value of
+24 V
VECQ is
+5 V +10 V

58 k

10 k 2 k

42 k 10 k

20 k 2.2 k

Fig. P3.3.30 -5 V -10 V

(A) (0.418 mA, 20.4 V) (B) (0.915 mA, 14.8 V) Fig.P3.3.33

(C) (0 .915 mA, 16.23 V) (D) (0.418 mA, 18.43 V) (A) 2.68 V (B) 4.94 V
(C) 3.73 V (D) 5.69 V
31. For the circuit shown in fig. P3.3.31, let   75. The
Q-point (I CQ , VCEQ) is 34. In the circuit of fig. P3.3.34 Zener voltage is VZ  5
+24 V
V and   100. The value of I CQ and VCEQ are
+12 V
25 k 3 k
500 

8 k 1 k

Fig. P3.3.34
Fig. P3.3.31
(A) 12.47 mA, 4.3 V (B) 12.47 mA, 5.7 V
(A) ( 4.68 mA, 16.46 V) (B) ( 312
. mA , 1.86 V)
(C) 10.43 A, 5.7 V (D) 10.43 A , 4.3 V
(C) ( 312
. mA, 8.46 V) (D) ( 4.68 mA , 5.22 V)

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
159
For E-books/Materials/Notes-PDFs|PPTs
UNIT 3 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Analog Electronics

35. The two transistor in fig. P3.2.35 are identical. If (A) 36.63 mA (B) 36.17 mA
  25, the current I C2 is (C) 49.32 mA (D) 49.78 mA
+5 V
IC2
39. In the bipolar current source of fig. P3.2.39 the
25 A diode voltage and transistor BE voltage are equal. If
base current is neglected then collector current is

Fig. P3.2.35
(A) 28 A (B) 23.2 A 10 k

(C) 26 A (D) 24 A

36. In the shunt regulator of fig. P3.2.26, the VZ  8.2 V


4.7 k
and VBE  0.7 V. The regulated output voltage Vo is 10 k
120 
+22 V Vo

-20 V
Fig. P3.2.39
(A) 6.43 mA (B) 2.13 mA
100  (C) 1.48 mA (D) 9.19 mA

40. In the current mirror circuit of fig. P3.2.40. the


transistor parameters are VBE  0.7 V,   50 and the
Fig. P3.2.36 Early voltage is infinite. Assume transistor are
(A) 11.8 V (B) 7.5 V matched. The output current I o is
+5 V
(C) 12.5 V (D) 8.9 V

37. In the series voltage regulator circuit of fig. P3.2.37 1 mA

VBE  0.7 V,   50, VZ  8.3 V. The output voltage Vo is Io

+25 V Vo

220 
20 k 50 k

Fig. P3.2.40
(A) 1.04 mA (B) 1.68 mA
50 k 30 k (C) 962 A (D) 432 A

41. All transistor in the N output mirror in fig. P3.2.41


Fig. P3.2.37 are matched with a finite gain  and early voltage
(A) 25 V (B) 25.7 V
V A 
. The expression for each load current is
+
(C) 15 V (D) 15.7 V V

38. In the regulator circuit of fig. P3.2.38 VZ  12 V, Io1 Io2 Io3


Iref R1
  50, VBE  0.7 V. The Zener current is
+20 V Vo
QS
220 
QR Q1 Q2 QN
1 k

-
V
Fig. P32.41
Fig. P3.2.38
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
160
ForBasic
E-books/Materials/Notes-PDFs|PPTs
BJT Circuits Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 3.2

I ref I ref
(A)

 1
(1 N ) 

(B)

 1
N 

SOLUTIONS
 ( 1)  ( 1) 
12  0.7
 I ref  I ref 1. (C) I E   I E  113
. mA
(C) (D) 10 k
(1 N )  N 
 1   1  75 
( 1)   1  I C   . )  112
(113 . mA
75 1 
42. Consider the basic three transistor current source in VCE  12  1.13  10  1.12 RC  ( 12)  6 V
fig. P3.2.42. Assume all transistor are matched with RC  5.98 k
finite gain and early voltage V A 
. The expression for
2. (C) 8  10  (75 1) I B 0.7 10 I B  2
I o is +
V 9.3
IB   12.08 A,
10 760
Io
Iref R1 I C  I B  0.906 mA, I E  ( 1) I B  0.918 mA
8  10(0.918) VEC 3(0.906)  8
 VEC  4.1 V

75  75
3. (A) I C    IE  (1m)  0.987 mA
75 1  76
-
V 5.2
RC   304
. k
Fig. P3.2.42 0.987m
I ref I ref
(A) (B)
2  1  4. (A) 5  (1 )10 kI B 20 kI B 0.7 2 kI B
 1   1 
(1 )  (2 ) 
5  (760 k 20 k 150 k) I B 0.7
I ref I ref  I B  4.62 A,
(C) (D)
2  1 
 1   1  I C  I B  0.347 mA
(1 )  (2 ) 
VC  5  ( 1) I B RC  5  760  4.62  10 3  1.49 V

43. Consider the wilder current source of fig. P3.2.43.


5. (C) VB   I B RB
Both of transistor are identical and   1 and VBE1  0.7
VB 1
V. The value of resistance R1 and RE to produce I ref  1  IB    2.0 A
RB 500k
mA and I o  12 A is ( Vt  0.026)
VE  1  0.7   17. V
+5 V
V  ( 3) 17 . 3
IE  E   0.271 mA
R1 Iref 4.8 k 4.8 k
IE 0.271m
Io  ( 1) 
IB 2
Q1 Q2    134.5

RE 6. (B) VCE  3  VE  3  ( 17


. )  4.7 V

-5 V 5.4
7. (C) I E   0.5 mA
Fig. P3.2.43 2k

(A) 9.3 k 18.23 k (B) 9.3 k , 9.58 k 4  0.7 I B RB I C RC  5, I C  I E ,


8.3  100 I B 0.5  8
(C) 15.4 k , 16.2 k (D) 15.4 k , 32.4 k
 I B  43 A ,
IE 0.5m
1    11.63
***************** IB 43
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
161
For E-books/Materials/Notes-PDFs|PPTs
UNIT 3 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Analog Electronics

 Vo  VCE ( sat )  VBE  0.2  0.7   0.5 V


  10.63,      0.914
1 
VB  0.7
17. (B) I E 
8. (C) VB  0 Transistor is in cut-off region. 1k

I E  0, VC  6 V   50 
I C   I E    ( VB  0.7) mA
 1 51 
1  0.7 6  VC
9. (B) VB  1 V , I E   0.3 mA IC  mA, VC  VB
1k 10
I C  I E  0.3 mA 50 6  VB
( VB  0.7) 
VC  6  I C RC  6  (0.3)(10)  3 V 51 10
10.8 VB  12.86 , VB  119
. V
2  0.7
10. (B) VB  2 V, I E   1.3 mA,
1
18. (B) VCB  0.5 V , VC  0.5 V
I C  I E  1.3 mA
VC  6  (1.3)(10)   7 V 5  0.5 101 
IC  0.9 mA, I Q   0.9  0.909 mA
Transistor is in saturation. The saturation voltage 5k 100 
VCE  0.2 V
VE  (1.3)(1)  1.3 V , VC  VCE VE  15
. V 10  VE
19. (C) I E   0.8 mA
10k
11. (C) VBB  0, Transistor is in cutoff region VB  VE  0.7  1.3 V
RL 10(5) VB 1.3
Vo  VCC  5  3.33 V IB    26 A
RC RL 10 RB 50 k

I E 0.8m
1  0.7  1   30.77    29.77
12. (B) I B   6 A I B 26
50 k
I C  I B  75  6  0.45 mA  29.77
   0.968
5  Vo V  1 30.77
 IC o
5k 10 k
Vo Vo
(1  0.45)  ,  Vo  1.83 V   50
5 10 20. (D) I C   I E   mA  0.98 mA
 1  51
2  0.7
13. (C) I B   26 A VC  I C RC  9  (0.98)( 4.7)  9   4.394 V
50 k
IE 1
I C   I B  75  26 A 1.95 mA IB   mA  19.6 A
( 1) 51
VC  5  I C RC  5  5  195
.  4.75 V
VE  I B RB VEB  50(0.0196) 0.7  1.68 V
Transistor is in saturation, VCE  0.2 V  VC  Vo
VEC  1.68  ( 4.394)  6.074 V

14. (B) I E  0.1 mA


  50
 150 21. (A) I C   I E  (0.5)  0.49 mA
IC  IE  (0.1)  0.099 mA  1  51
( 1) 151
Vo  5  RC I C  5  5(0.099)  4.50 V IB 
0.5
 9.8 A
51
15. (B) I E  I Q  0.5 mA VE  I B RB VEB  (0.0098)(50) 0.7  1.19 V
150  VC  I C RC  9  (0.49)( 4.7)  9   6.7 V
IC    (0.5m)  0.497 mA
150 1  VEC  119
.  ( 6.7)  7.89 V
Vo  5  RC I C  2.517 V PQ  I C VEC I B VEB
 (0.49)(7.89) (0.0098)(0.7) mW  3.87 mW
16. (D) Transistor is in saturation
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
162
For E-books/Materials/Notes-PDFs|PPTs
UNIT 3 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Analog Electronics

R2  15  5.82  0.7  ( 6.06 k 76 k) I BQ


VTH   VCC   (10)  4.29 V
R1 R2  20 15   I BQ  62.4 A
IE I EQ  ( 1) I BQ  4.74 mA
10  I E (1k) VEB ( 8.57 k) 4.29
 1 I CQ  I BQ  4.68 mA
IE VCEQ  24  I CQ RC  I EQ RE
10  I E 0.7 ( 8.57 k) 4.29
101  24  ( 4.68)( 3)  ( 4.74)(1)  5.22 V
 I E  4.62 MA
32. (B) R1  12 k, R2  2 k
IE
IB   0.046 mA RTH  R1||R2  12 ||2  171
. k
 1
VB  ( 8.57)(0.046) 4.29  4.69 V 2 
VTH   (10)  5   357
. V
12 2 
30. (B) R1  58 k, R2  42 k +5 V

+24 V
5 k

1.71 k
-3.57 V
24.36 k
+10.1 V
0.5 k

10 k
-5 V

Fig. S3.3.32
Fig. S3.3.30
357
.  I BQ(171
. k) VBE ( 1) I BQ(0.5 k)  5
RTH  58 ||42  24.36 k 5  357
.  0.7  (171
. 50.5)I BQ
42   I BQ  14 A
VTH  (24)  10.1 V
42 58 
I EQ  (100 1) I BQ  1.412 mA
10.1  I BQ(24.36 k) VBE ( 1) I BQ(10 k)
I CQ  100 I BQ  1.4 mA
10.1  0.7  I BQ(24.36 k 1260 k)
VCEQ  5  RC I CQ  RE I EQ 5
I BQ  7.32 A
 5  (5)(1.4)  (0.5)(1.412) 5  2.3 V
I CQ  I BQ  0.915 mA
I EQ  ( 1) I BQ  0.922 mA 33. (B) RTH  20 ||10  6.67 k
VCEQ  24  (0.922)(10)  14.8 V
20 
VTH   10  5  1.67 V
31. (D) R1  25 k, R2  8 k 10 20 
+10 V
+24 V

2 k
3 k
6.67 k
6.06 k +1.67 V
+5.82 V

2.2 k
1 k

-10 V

Fig. S3.3.31 Fig. S3.3.33


8 
RTH  25 ||8  6.06 k VTH  (24)  5.82 V
25 8  10  (1 ) I BQ(2) VEB I BQ( 6.67) 1.67

5.82  ( 6.06 k)( I BQ) VBE ( 1) I B (1k) 10  1.67  0.7  I BQ( 6.67 122)

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
164
For E-books/Materials/Notes-PDFs|PPTs
UNIT 3 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Analog Electronics

41. (A) I ref  I CR I BS  I CR


I ES I ref 
I o RE  Vt ln  
1  Io 
I ES  I BR I B1 I B 2 ....... I BN 0.026 1  10 3 
RE  ln    9.58 k
I BR  I Bi , I CR  I Ci  I oi 12  10 6
12  10
6

(1 N ) I CR
I ES  (1 N ) I BR  V  VBE1  V  5  7  ( 5)
 R1    9.3 k
I ref 1m
I ES (1 N ) I CR
Then I ref  I CR  I CR
 1  ( 1)
(1 N )  ***********
 I Oi  1 
( 1) 
I ref
I oi 
(1 N ) 
 1 
( 1) 

42. (C) I ref  I C1 I B 3 , I B1  I B 2 , I E 3  2 I B 2


+
V

Iref
Io = IC2
Q3
IC1
IE3
Q1 Q2
IB1 IB2

-
V

Fig. S3.2.42

I E 3  (1 ) I B 3
IE3 2 IB2
I ref  I C1  I C1
(1 ) (1 )
I C1  I C 2  I B 2
2 IC2 2 
I ref  I C 2  I C2  1 
(1 ) (1 ) 
I ref
IC2  Io 
2 
 1 
(1 ) 

43. (B) If   1 and transistor are identical


VBE1 VBE2

I ref  I C1  I S e Vt
, Io  IC2  IS e Vt

I ref  I 
VBE1  Vt ln  , VBE 2  Vt ln o 
IS  IS 
I ref 
VBE1  VBE 2  Vt ln  
Io 
From the circuit,
VBE1  VBE 2  I E 2 RE  I o RE

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
166
For E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com

CHAPTER

3.3
BASIC FET CIRCUITS

Statement for Q.13: Statement for Q.46:

In the circuit shown in fig. P3.3.13 the transistor In the circuit shown in fig. P3.3.46 the transistor
parameters are as follows: parameter are as follows:

Threshold voltage VTN  2 V W


VTN  2 V, kn = 60 mA / V 2 , = 60
Conduction parameter K n  0.5 mA / V 2 L
+10 V +10 V

32 kW 4 kW 14 kW 1.2 kW

18 kW 2 kW 6 kW 0.5 kW

-10 V
Fig. P3.3.13
Fig. P3.3.46

4. VGS = ?
1. VGS  ?
(A) 2.05 V (B) 6.43 V (A) -3.62 V (B) 3.62 V

(C) 4.86 V (D) 3.91 V (C) -0.74 V (D) 0.74 V

2. I D  ? 5. I D = ?
(A) 1.863 mA (B) 1.485 mA (A) 13.5 mA (B) 10 mA
(C) 0.775 mA (D) None of the above
(C) 19.24 mA (D) 4.76 mA

3. VDS =? 6. VDS = ?

(A) 4.59 V (B) 3.43 V (A) 2.95 V (B) 11.9 V

(C) 5.35 V (D) 6.48 V (C) 3 V (D) 12.7 V

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
167
ForBasic
E-books/Materials/Notes-PDFs|PPTs
FET Circuits Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 3.3

16. The parameter of the transistor in fig. P3.3.16 are 19. In the circuit of fig. P.3.3.19 the PMOS transistor
VTN  1.2 V, K n  0.5 mA / V 2 and   0. The voltage VDS has parameter VTP = -1.5 V, kp = 25 mA / V 2 , L = 4 mm
is and l = 0. If I D = 0.1 mA and VSD = 2.5 V, then value of W
+5 V will be
+9 V

50 mA

Fig. P3.3.16 R

(A) 1.69 V (B) 1.52 V


Fig. P3.3.19
(C) 1.84 V (D) 0
(A) 15 mm (B) 1.6 mm
(C) 32 mm (D) 3.2 mm
17. The parameter of the transistor in fig. P3.3.17 are
VTN  0.6 V and K n  0. 2 mA / V 2 . The voltage VS is 20. The PMOS transistor in fig. P3.3.20 has parameters
+9 V
W
VTP = -1.2 V, = 20, and kp = 30 mA / V 2 .
L
24 kW +5 V

Rs

0.25 mA

-9 V RD
Fig. P3.3.17
-5 V
(A) 1.72 V (B) -1.72 V Fig. P3.3.20

(C) 7.28 V (D) -7.28 V


If I D = 0.5 mA and VD = -3 V, then value of RS

18. In the circuit of fig. P3.3.18 the transistor and RD are

parameters are VTN = 17


. V and K n = 0.4 mA / V 2 . (A) 4 kW, 5.8 kW (B) 4 kW, 5 kW

+5 V
(C) 5.8 kW, 4 kW (D) 5 kW, 4 kW

RD 21. The parameters for the transistor in circuit of fig.


P3.3.21 are VTN = 2 V and K n = 0.2 mA / V 2 . The power
dissipated in the transistor is
+10 V
50 kW RS

-5 V
Fig. P3.3.18
10 kW

If I D = 0.8 mA and VD = 1 V, then value of resistor


RS and RD are respectively Fig. P3.3.21

(A) 2.36 kW, 5 kW (B) 5 kW, 2.36 kW


(A) 5.84 mW (B) 2.34 mW
(C) 6.43 kW, 8.4 kW (D) 8.4 kW, 6.43 kW
(C) 0.26 mW (D) 58.4 mW
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
169
For E-books/Materials/Notes-PDFs|PPTs
UNIT 3 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Analog Electronics

Statement for Q.2223: 25. The transistors in the circuit of fig. P3.3.25 have

Consider the circuit shown in fig. P3.2.2233. parameter VTN = 0.8 V, kn = 40 mA / V 2 and l = 0. The

+5 V
width-to-length ratio of M 2 is ( WL )2 = 1. If Vo = 0.10 V
when Vi = 5 V, then ( )
W
L 1
for M1 is
M1 +5 V

Vo
M1
M2
Vo

Vi M2
Fig. P3.3.22-23

The both transistor have parameter as follows


Fig. P3.3.25
VTN  0.8 V, kn = 30 mA / V 2

(A) 47.5 (B) 28.4


22. If the width-to-length ratios of M1 and M 2 are
(C) 40.5 (D) 20.3
W W
= = 40
L 1 L 2
Statement for Q.2627:
The output Vo is All transistors in the circuit in fig. P3.3.2627
(A) -2.5 V (B) 2.5 V have parameter VTN = 1 V and l = 0.
(C) 5 V (D) 0 V
+5 V

W W
23. If the ratio is = 40 and = 15, then Vo is RD
L 1 L 2
M1 M4
(A) 2.91 V (B) 2.09 V
ID1 RG
(C) 3.41 V (D) 1.59 V ID4

M2 M3
24. In the circuit of fig. P3.324. the transistor
parameters are VTN = 1 V and kn = 36 mA / V 2 . If I D = 0.5
mA, V1 = 5 V and V2 = 2 V then the width to-length -5 V

ratio required in each transistor is Fig. P3.3.2627

+5 V

The conduction parameter are as follows:


M1
K n1 = 400 mA / V 2
V1
K n 2 = 200 mA / V 2
M2

V2 K n 3 = 100 mA / V 2

M3 K n 4 = 80 mA / V 2

26. I D1 = ?
Fig. P3.3.24
(A) 0.23 mA (B) 0.62 mA
W W W
(C) 0.46 mA (D) 0.31 mA
L 1 L 2 L 3
(A) 1.75 6.94 27.8 27. I D4 = ?
(B) 4.93 10.56 50.43 (A) 0.62 mA (B) 0.31 mA
(C) 35.5 22.4 8.53 (C) 0.46 mA (D) 0.92 mA
(D) 56.4 38.21 12.56

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
170
ForBasic
E-books/Materials/Notes-PDFs|PPTs
FET Circuits Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 3.3

28. For the circuit in fig. P3.3.28 the transistor (A) 7.43 V (B) 8.6 V
parameter are VTN  0.8 V and kn = 30 mA / V 2 . If output (C) -1.17 V (D) 1.17 V
voltage is Vo = 0.1 V, when input voltage is Vi = 4.2 V,
the required transistor width-to length ratio is 32. A p-channel JFET biased in the saturation region
+5 V with VSD = 5 V has a drain current of I D = 2.8 mA, and
I D = 0.3 mA at VGS = 3 V. The value of I DSS is
10 kW
(A) 10 mA (B) 5 mA
Vo
(C) 7 mA (D) 2 mA
Vi

Statement for Q.3334:

Fig. P3.3.28 For the p-channel transistor in the circuit of fig.


P3.3.3334 the parameters are I DSS = 6 mA, VP = 4 V
(A) 1.568 (B) 0.986
and l = 0.
(C) 0.731 (D) 1.843
1 kW
29. For the transistor in fig. P3.3.29 parameters are
VTN = 1 V and K n = 12.5 mA / V 2 . The Q-point ( I D , VDS ) is
+10 V

0.4 kW
20 kW

-5 V

10 kW Fig. P3.3.3334

33. The value of I DQ is


(A) 8.86 mA (B) 6.39 mA
Fig. P3.3.29. (C) 4.32 mA (D) 1.81 mA

(A) (1 mA, 8 V) (B) (0.2 mA, 4 V)


34. The value of VSD is
(C) (1.17 mA, 8 V) (D) (0.23 mA, 3.1V) (A) -4.28 V (B) 2.47 V
(C) 4.28 V (D) 2.19 V
30. For an n-channel JFET, the parameters are I DSS = 6
mA and VP = -3 V. If VDS > VDS ( sat ) and VGS = -2 V, then
35. The transistor in the circuit of fig. P3.3.35 has
I D is parameters I DSS = 8 mA and VP = -4 V. The value of VDSQ
(A) 16.67 mA (B) 0.67 mA
is
+20 V
(C) 5.55 mA (D) 1.67 mA

31. For the circuit in fig. P3.3.32 the transistor 140 kW 2.7 kW
parameters are Vp = - 35
. V, I DSS = 18 mA, and l = 0. The
value of VDS is
+15 V

60 kW 2 kW
0.8 kW

Fig. P3.3.35

IQ = 8 mA (A) 2.7 V (B) 2.85 V


(C) -1.30 V (D) 1.30 V
-15 V
Fig. P3.3.32
******************
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
171
For E-books/Materials/Notes-PDFs|PPTs
UNIT 3 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Analog Electronics

10 - ( 4.67 + VSG) = (0.5)(1)( VGS )


SOLUTIONS VSG = 377
. V, - 177
. V, VSG is positive voltage.

1. (A) R1  32 kW, R2 = 18 kW, VDD = 10 V 10 - VS 10 - ( VG + VGS )


8. (A) I D = =
RS RS
R2 18
VG = VDD = 10 = 3.6 V 10 - ( 4.67 + 377
. )
R1 + R2 18 + 32 = = 312
. mA
0.5
Assume that transistor in saturation region
V V - VGS 9. (C) 10 = I D( RS + RD) + VSD - 10
ID = S = G = K n ( VGS - VTN ) 2
RS RS
VSD = 20 - I D ( RS + RD)= 20 - 2.12(2 + 0.5) = 12.2 V
RS = 2 kW, K n = 0.5 mA / V 2
3.6 - VGS = (2)(0.5)( VGS - 0.8) 2 VGS = 2.05 V 10. (C) Assume transistor in saturation.
I D = 0.4 mA, 0.4 = K P ( VGS + VTP ) 2
V - VGS 3.6 - 2.05
2. (C) I D = G = = 0.775 mA 0.4 = (0.2)( VSG - 0.8) 2 VSG = 2 + 0.8 = 2.21 V
RS 2k
VG = 0, VSG = VS - VG = VS
3. (C) VDS = VDD - I D( RD + RS )
11. (A) VD = I D RD - 5 = (0.4)(5) - 5 = -3 V
= 10 - 0.775( 4 + 2) = 5.35 V
VDS ( sat ) = VGS - VTN = (2.05 - 0.8) = 1. 25 V VSD = VS - VD = 2. 21 - ( -3) = 5. 21 V

VDS > VDS ( sat ) as assumed.


12. (C) R1 = 14.5 kW, R2 = 5.5 kW,

4. (B) R1 = 14 kW, R2 = 6 kW, RS = 0.5 kW, RD = 1.2 kW RS = 0.6 kW, RD = 0.8 kW,
RL RL 5.5
6 VG = (10) - 5 = (10) - 5 = -2.25 V
VG = (20) - 10 = (20) - 10 = -4 V
R1 + R2 14 + 6 R1 + R2 14.5 + 5.5

Assume transistor in saturation Assume transistor in saturation.


V - ( -10) VG - VGS + 10 V - ( -5)
ID = S = = K n ( VGS - VTN ) 2 ID = S = K n ( VGS - VTN ) 2
RS RS RS

kn W ( 60)( 60 10 -6 ) VS = VG - VGS
Kn = = = 1.8 mA / V 2
2 L 2 -2.25 - VGS + 5 = (0.6)(0.5) ( VGS - ( -1)) 2
- 4 - VGS + 10 = (0.5)(1.8)( VGS - 2) 2 VGS = 124
. , - 6.58 V
VGS = 3.62, - 0.74 V, VGS will be positive. VGS is positive. Thus (D) is correct option.

VG - VGS + 10 -4 - 3.62 + 10 VS + 5 VG - VGS + 5 -2.25 - 124


. +5
5. (D) I D = = = 4.76 mA 13. (D) I D = = =
RS 0.5 k RS RS 0.6 k
= 2.52 mA, Therefore (D) is correct option.
6. (B) 10 = I D( RS + RD) + VDS - 10
VDS = 20 - 4.76(12
. + 0.5) = 119
. V 14. (B) 5 = I D( RS + RD) + VDS - 5
VDS ( sat ) = VGS - VTN = 3.62 - 2 = 1.62 V VDS = 10 - I D( RS + RD) = 10 - 2.52(0.8 + 0.6) = 6.47 V
VDS = 119
. V > VDS ( sat ) , Assumption is correct. VDS ( sat ) = VGS - VTH = 124
. - ( -1) =2.24
VDS > VDS ( sat ) ,Assumption is correct.
7. (B) R1 = 8 kW, RL = 22 kW, RS = 0.5 kW, RD = 2 kW
R2 22 15. (B) I S = 50 mA = I D ,I D = K n ( VGS - VTN ) 2
VG = (20) - 10 = (20) - 10 = 4.67 V
R1 + R2 8 + 22 50 10 -6 = 0.5 10 -3( VGS - 12
. )2 VGS = 1516
. V,
Assume transistor in saturation VG = 0, VS = VG - VGS = -1516
. V
10 - VS VDS = VD - VS = 5 - ( -1516
. ) = 6.516 V
ID = = K P ( VSG + VTP ) 2
RS
VS = VG + VSG 16. (B) I D = 50m = K n ( VGS - VTN ) 2
50 10 -6 = 0.5 10 -3( VGS - 12
. )2
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
172
ForBasic
E-books/Materials/Notes-PDFs|PPTs
FET Circuits Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 3.3

VGS  152
. V, VGS  VDS W W
23. (A) > thus VGS1 < VGS 2
L 1 L 2
17. (B) I D = K n ( VGS - VTN ) 2 40( VGS1 - 0.8) 2 = 15( VGS 2 - 0.8) 2
0.25 = 0.2( VGS - 0.6) 2
VGS = 172
. V, VGS 2 = 5 - VGS1
VGS = VG - VS , VG = 0, VS = -172
. V 1.63( VGS1 - 0.8) = (5 - VGS1 - 0.8)
VGS1 = 2.09, VGS 2 = 2.91 V, Vo = VGS 2 = 2.91 V
5 - VD 6 -1
18. (A) I D = = 0.8 mA, RD = = 5 kW
RD 0.8m
24. (A) Each transistor is biased in saturation because
I D = K n ( VGS - VTN ) 2
VDS = VGS and VDS > VGS - VTN
0.8 = (0.4)( VGS - 17
. )2 VGS = 311
. V
For M 3 , V2 = 2 V = VGS 3
VGS = VG - VS , VG = 0, VS = -311
. V
36 10 -3 W W
-311
. - ( -5) I D = 0.5 = (2 - 1) 2 = 27.8
I D = 0.8 mA = RS = 2.36 kW 2 L 3 L 3
RS
For M 2 , VGS 2 = V1 - V2 = 5 - 2 = 3 V
kp W 36 10 -3 W W
19. (C) VSD = VSG, I D = ( VGS + VTP ) 2 I D = 0.5 = ( 3 - 1) 2 = 6.94
2 L 2 L 2 L 2
25 W For M1 , VGS1 = 10 - V1 = 10 - 5 = 5 V
10 -4 = (2.5 - 15
. )2 W = 32 mm
2 4 36 W W
I D = 0.5 = 10 -5 (5 - 1) 2 = 174
.
2 L 1 L 1
30 10 -6
20. (D) K p = (20) = 0.3 mA / V 2
2 25. (D) M 2 is in saturation because
I D = K p ( VSG + VTP ) 2 0.5 = 0.3( VSG - 12
. )2
VGS 2 = VDS 2 > VGS 2 - VTN
VSG = 2.49 V, VG = 0
M1 is in non saturation because
VS = VSG = 2.49 V
VGS1 = Vi = 5 V, VDS1 = VD = 0 V
5 - VS 5 - 2.49
ID = RS = = 5.02 kW VDS1 < VGS1 - VTN , I D1 = I D2
RS 0.5m
W W
VD - ( -5) -3 + 5 [2( VGS1 - VTN1 ) VDS1 - VDS 2 ]= ( VGS 2 - VTN 2 )
2 2
ID = RD = = 4 kW 1
L 2
L
RD 0.5m
W
[2(5 - 0.8)(0.1) - (0.1) 2 ] = (1)(5 - 0.1 - 0.8) 2
21. (B) Assume transistor in saturation L 1
10 - VGS W W
ID = = K n ( VGS - VTN ) 2 (0.83) = 16.81 =20.3
10 k L 1 L 1
10 - VGS = (10)(0.2)( VGS - 2) 2
VGS = 377
. V, - 0.27 V, VGS will be 3.77 V 26. (B) I D1 = K n 1 ( VGS1 - VTN ) 2 = K n 2 ( VGS 2 - VTN ) 2
VGS = VDS = 377
. V VGS1 = 5 - VGS 2 (5 - VGS 2 - 1) 2 = 200 ( VGS 2 - 1) 2
10 - 377
. VGS 2 = 2.76 V, VGS1 = 2.24 V
ID = = 0.623 mA
10 k -6
I D1 = 400 10 (2.24 - 1) 2 =0.62 mA
Power = I DVDS = 2.35 mW
VDS > VGS - VTN assumption is correct. 27. (B) VGS 2 = VGS 3 = 2.76 V
I D4 = K n 4 ( VGS 4 - VTN ) 2 = K n 3 ( VGS 3 - VTN ) 2
22. (B) For both transistor VDS = VGS ,
= 100 10 -6 (2.76 - 1) 2 = 0.31 mA
VDS > VGS - VTN Therefore both transistor are in
saturation. 28. (C) VGS = 4.2 V, VDS = 0.1 V
I D1 = I D2 K n 1 ( VGS1 - VTN1 ) = K n 2 ( VGS 2 - VTN 2 )
2 2
VDS < VGS - VTN , Thus transistor is in non saturation.
K n 1 = K n 2 , VTN1 = VTN 2 5 - 0.1
5 ID = = 0.49 mA
VGS1 = VGS 2 = V 10 k
2 k W
Vo = VGS 2 = 2.5 V
ID = n
2 L
{2 ( VGS - VTN ) VDS - VDS2 }
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
173
For E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com

CHAPTER

3.4
AMPLIFIERS

1. If the transistor parameter are   180 and Early 3. The small signal votlage gain Av  vo vs is
voltage V A  140 V and it is biased at I CQ  2 mA, the (A) 4.38 (B) 4.38
values of hybrid- parameter g m , r and ro are (C) 1.88 (D) 1.88
respectively
4. The nominal quiescent collector current of a
(A) 14 A V, 2.33 k, 90 k
transistor is 1.2 mA. If the range of  for this transistor
(B) 14 A V, 90 k , 2.33 k is 80    120 and if the quiescent collector current
(C) 77 mA V, 2.33 k , 70 k changes by 10 percent, the range in value for r is
(D) 77.2 A V, 70 k, 2.33 k (A) 1.73 k
r
2.59 k
(B) 1.93 k
r
2.59 k
Statement for Q.23. (C) 1.73 k
r
2.59 k
Consider the circuit of fig. P3.4.23. The transistor (D) 1.56 k
r
2.88 k
parameters are   120 and V A  .
Statement for Q.56:
+5 V
Consider the circuit in fig. P3.4.5.6. The transistor
4 k parameter are   100 and V A  .
vo +10 V
250 k

vs
~ RC

2V 50 k

vs
~
Fig. P3.4.23
vBB

2. The hybrid- parameter values of g m , r and ro are

(A) 24 mA V, , 5 k Fig. P3.4.56

(B) 24 mA V, 5 k ,  5. If Q-point is in the center of the load line and I CQ  0.5


(C) 48 mA V, 10 k , 18.4 k mA, the values of VBB and RC are
(D) 48 mA V, 18.4 k, 10 k (A) 10 k , 0.95 V (B) 10 k , 1.45 V
(C) 48 k , 0.95 V (D) 48 k , 1.45 V
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
175
ForAmplifiers
E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 3.4

Statement for Q.1415: 19. For an n-channel MOSFET biased in the saturation

Consider the common Base amplifier shown in fig. region, the parameters are VTN  1 V, 12 n Cox  18 mA V 2
P3.4.1415. The parameters are g m = 2 mS and and  0.015 V 1 and I DQ  2 mA. If transconductance is

ro = 250 k. Find the Thevenin equivalent faced by load g m  3.4 mA V, the width-to-length ratio is
resistance RL . (A) 80.6 (B) 43.2
(C) 190 (D) 110
Thevenin equivalent

270  20. In the circuit of fig. P3.4.20, the parameters are


g m  1mA / V, ro  50 k. The gain Av  vo vs is
vi
~ RL
VDD

Fig. P3.4.1415 60 k 10 k

14. The Thevenin voltage vTH is 2 k

(A) 263vi (B) 132vi


(C) 346vi (D) 498vi
vs
~ 300 k

15. The Thevenin equivalent resistance RTH is


Fig. P3.4.20
(A) 384 k (B) 697 k
(A) 8.01 (B) 8.01
(C) 408 k (D) 915 k
(C) 14.16 (D) 14.16
Statement for Q.1617:
Statement for Q.2123:
The common-base amplifier is drawn as a two-port
For the circuit shown in fig. P3.4.2123 transistor
in fig. P3.4.1617. The parameters are   100, g m  3
parameters are VTN  2 V, K n  0.5 mA / V 2 and  0.
mS, and ro  800 k.
The transistor is in saturation.
i1 i2
+ + +10 V

v1 3.9 k 18 k v2
10 k
_ _ vo

Fig. P3.4.1617 vi
~
16. The h-parameter h21 is VGG
(A) 2.46 (B) 0.9
(C) 0.5 (D) 0.67 Fig. P3.4.2123

21. If I DQ is to be 0.4 mA, the value of VGSQ is


17. The h-parameter h12 is
(A) 5.14 V (B) 4.36 V
(A) 3.8 10 4 (B) 4.83 10 3
(C) 2.89 V (D) 1.83 V
(C) 3.8 10 4 (D) 4.83 10 3
22. The values of g m and ro are
18. For an n-channel MOSFET biased in the saturation
(A) 0.89 mS,  (B) 0.89 mS, 0
region, the parameters are K n  0.5 mA V 2 , VTN  0.8 V
(C) 1.48 mS, 0 (D) 1.48 mS, 
and  0.01 V 1 , and I DQ  0.75 mA. The value of g m and
ro are 23. The small signal voltage gain Av is
(A) 0.68 mS, 603 k (B) 1.22 mS, 603 k (A) 14.3 (B) 14.3
(C) 1.22 mS, 133 k (D) 0.68 mS, 133 k (C) 8.9 (D) 8.9
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
177
ForAmplifiers
E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 3.4

(B) 4.44
(A) 4.44
(C) 2.22 (D) 2.22
SOLUTIONS
I CQ 2m
Statement for Q.3334: 1. (C) g m    77.2 mA V
Vt 0.0259
Consider the source-follower circuit in fig. Vt  180
P3.4.33-34. The values of parameter are g m  2 mS and r     2.33 k
I CQ g m 77.2m
ro  100 k.
V A 140
+5 V ro    70 k
I CQ 2m

Ro
2  0.7
2. (B) I BQ   5.2 A
vo 250 k
vs
~ 500 k IQ 4 k I CQ  I B  (120)(5.2 )  0.642 mA
I CQ 0.624
-5 V
gm    24 mA V
Vt 0.0259
Fig. P3.4.33-34
Vt  120
r     5 k, ro  
33. The voltage gain Av is I CQ g m 24m

(A) 0.89 (B) 0.89


 r  RC
(C) 2.79 (D) 2.79 3. (C) Av   g m RC   
r
   RB  r  RB

34. The output resistance Ro is  5k 


  (24m)( 4 k)    1.88
(A) 100 k (B) 0.498 k  5 k  250 k 
(C) 1.33 k (D) None of the above
VT
4. (D) r  ,
I CQ
(120)(0.0259)
r( max )   2.88 k,
108
. m
******************* ( 80)(0.0259)
r(min)   156
. k
1.32m

1
5. (A) VECQ  VCC  5 V
2
VECQ  10  I CQ RC  5
 10  (0.5m) RC  5
RC  10 k,
I CQ 0.5
I BQ   5 A
 100
VEB ( ON )  I BQ RB  VBB
 0.7  (5 ) (50 k)  0.95 V

I CQ 0.5
6. (D) g m    19.3 mA V
Vt 0.0259
Vt (100)(0.0259)
r    5.18 k , ro  
I CQ 0.5m

 100 
7. (B) I CQ   (0.35)  0.347 mA
 1001 
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
179
For E-books/Materials/Notes-PDFs|PPTs
UNIT 3 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Analog Electronics

The small-signal equivalent circuit is as shown in fig. 50


9. (A) DC Analysis: VTH  (12)  10 V
S3.4.7 10  50
RTH  11||50  8.33 k
500  B C 12  0.7  10
vo I BQ   119
. A
8.33k  (101)1k
+
I CQ  I BQ  119
. mA, I EQ  12
. mA
vs
~ 10 k r V
_
gmV ro 7 k
VECQ  12  (1. 20)1  (119
. )2  8.42 V
E
AC Analysis:
Ib B Ic C
Fig. S3.4.7 vo
_
r V Ib
r ||10 k +
V  ( vs ), vo   g m V( ro ||7k) E
500  r ||10 k vs
~ 1050 k 2 k

vo  r ||10 k  1 k (+1)Ib
  g m   ( ro ||7 k)
vs  500  r ||10 k 
I CQ 0.347m Fig. S3.4.9
gm    1313
. mA V
Vt 0.0259
Vt (100)(0.0259
 V 100 r    2.18 k
r   t   7.6 k I CQ 119
. m
g m I CQ 1313
. m
vo  I b (2k) , vs   (  1) I b (1k)  I b ( r)
VA 100
ro    288 k v (2 k) (100)(2 k)
I CQ 0.347m Av  o    196
.
vs r  (  1)1k 2.18 k  (100)(1k)
288 7 7.6 10
ro ||7k   6.83 k, r ||10k   4.32 k
288  7 7.6  10 10. (B) VECQ  8.42 V,
 4.32 k  For 1  vEC  11 V, vEC  11  8.42  2.58 V
Av  1313
. m ( 6.83k)   80
 500  4.32 k   Output voltage swing  5.16 V peak to peak.

8. (C) DC Analysis: I CQ  I EQ 11. (B) Since the BC junction is not reverse biased, the
VCEQ  5  10  I CQ ( RC  RE ) transistor continues to operate in the forward-active
 5  10  I CQ(1. 2 k  0. 2 k)  I CQ  3.57 mA -mode
3.57
I BQ   23.8 A
150 Ie +

AC Analysis: +
vce vce r gmvce ro
Ib B C _
vo _
+
r V Ib
_ E Fig. S 3.4.11
vs
~ R1  R2 1.2 k
vce 1  1 
0.2 k r  , So r ||   || ro
g m Vce g m  gm 
(100)(0.0259)
Fig. S3.4.8 r   2.33 k
2m
Vt (0.0259) I CQ 2m
r   (150) . k , ro  
 109 gm    77.2 mA V
I CQ 357
. m Vt 0.0259
vo ( I b ) RC 1 V 150
Av   , vs  I b r  (  1) RE I b  12.95 , ro  A   75 k
vs vs gm I CQ 2m
RC (150)(12
. )k re  (2.33k)||(12.95)||(75 k) =12.87 
Av    5.75
r  (1  ) RE 109
. k  (151)(0.2 k)

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
180
ForAmplifiers
E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 3.4

VA VA 75 V V v  Vi
12. (C) ro   I CQ    0.375 mA   g m V  i 0
I CQ ro 200 k r ro 270
V V vi  Vpi
  2mV  0  V  0.647 vi ,
V 75(0.0259) 50 k 250 k 270
13. (B) r  t   194
. k
I CQ 1m I sc  1297
. mvi
Ib vTH 498 vi
E Ie C RTH    384 k
I SC 1297
. mvi
Ib
r
+ 16. (B) The equivalent small-signal circuit is shown in
vi
~ B 2.7 k vo
_ fig. S3.4.16
1.5 k
ro

Fig. S 3.4.13 i1 E C

vi  I b ( r  15k
. ), I in  I e  (  1) I b _ gmV

Vi ( r  15 . k) 194.  15
. k vs
~ 3.9 k r V 18 k v2 = 0
Rin     45  +
Ie (  1) 76
B

14. (D) The equivalent circuit is shown below Fig. S 3.4.16


ro
 100
r    33.3 k
270  gm 3m

gmV i2 V
_ h21  , i2   g m V
i1 r0
vi
~ r V
+
v2  0

V V V V
i1        g m V , can be neglected
. k r
39 ro ro
Fig. S 3.4.14 i2  gm  g m r 39
. k
h21     0.91
Removing the RL , V 
vi r i1 1 1
  gm r  39
. k  g m r 39
. k
270  r . k r
39
vi r(1  g m ro)
vTH  ro g m V  V 
270  r v1 v v  v2
17. (A) v1  V ,  1  1  g m V
 100 . k r
39 ro
r    50 k
g m 2m ro

vi 50 k(1  (2m)(250 k))


vTH   498 vi i1 = 0 E C i2
270  50 k
_ gmV
15. (A) The equivalent small-signal circuit is shown in 3.9 k r V
+
18 k ~ v2
fig. S3.4.15
ro B

Fig. S 3.4.17
270 

gmV  1 1 1 v
_ Isc v1      2   g m v1
 39
. k r ro  ro
vi
~ r V
+


1 1
v1 ro 800 k
 
Fig. S 3.4.15 v2 1 1 1 1 1 1
   gm    3m
. k r ro
39 . k 33.3k 800 k
39
V V
I sc  g m V   2mV   2.004 mV  3.8 10 4
ro 250 k
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
181
ForAmplifiers
E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 3.4

RS = 4 k, v gs = 0.84 vi , vo
v gs  vi ,  Av   g m (7k)
vo   g m v gs ( ro ||RD) vi
 (1.41m)(0.84 vi )(100 k || 5 k) g m  2 K n ( VGS  VTN )
vo  2 (1m)(151
.  0.8)  1.42 mS
  Av   5.6
vi Av  (1.42m) (7 k)   9.9

28. (A) Ro  RD ||ro || 100k =4.76 k 32. (A) The small-signal equivalent circuit is shown in
fig. S.3.4.34
29. (A) As shown in fig. S3.4.27, Ri  R1 || R2  20.6 k gmvgs
S D
vo
_
30. (C) From the DC analysis:
vgs
VGSQ  15
. V, I DQ  0.5 mA vi
~ 10 k RS 5 k RD RL 4 k
+
g m  2 K n ( VGS  VTN )  2(1m) (15
.  0.8)  1.4 mA V G
1
ro  [ I DQ ] 
Fig. S3.4.32
The resulting small-signal equivalent circuit is shown
in fig. S5.4.30
G
vo   g m v gs ( RD || RL ), vi  v gs
D
vo v
+ Av  o  g m ( RD || RL )  (2m)(5 k ||4 k)  4.44
vi
vi
~ RTH vgs gmvgs RD 7 k
_
33. (A) The small-signal equivalent circuit is shown in
S
fig. S3.4.33
RS 0.5 k
G D
+
Fig. S 3.4.30 vi
~ 500 k vgs gmvgs ro
vo   g m v gs RD, vi  v gs  g m v gs RS _
vo  g m RD (7 k)
    (1.4m)  5.76 S vo
vi 1  g m RS 1  (1.4m) (0.5 k)
4 k

31. (B) Since the DC gate current is zero, VS  VGSQ


Fig. S3.4.33
I DQ  I Q  K n ( VGSQ  VTN ) 2
 0.5  1( VGSQ  0.8) 2 vo  g m v gs ( RL || ro)
VGSQ  151
. V  VS vi  v gs  vo  v gs  g m v gs ( RL || ro)
VDSQ  5  (0.5m)(7 k)  ( 151
. )  301
. V 1
v gs 
The transistor is therefore biased in the saturation 1  g m ( RL || ro)
region. The small-signal equivalent circuit is shown in vo g m ( RL || ro)
 Av 
fig.S3.4.31. vi 1  g m ( RL || ro)
D 100 k
G
vo RL ||ro  4 k ||100 k   3.86 k
+ 26
(2m)( 3.85 k)
Av   0.89
vs
~ vgs gmvgs 7 k 1  (2m)( 3.85 k)

_ S
1
34. (B) Ro  ||ro
gm
Fig. S3.4.31
 1
   ||(100)  0.498 k
vo   g m v gs (7k) 2

********************
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
183
For E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com

CHAPTER

3.5
OPERATIONAL AMPLIFIERS

vo (A) 2 sin t  A (B) 7 sin t  A


1. Av  ?
vi 400 k
(C) 5 sin t  A (D) 0
40 k
vi
vo
4. In circuit shown in fig. P3.5.4, the input voltage vi is
0.2 V. The output voltage vo is
50 k
R
150 k
10 k
vi
25 k

Fig. P3.5.1 vo
(A) 10 (B) 10
(C) 11 (D) 11
Fig. P3.5.4
vo
2. Av  ?
vi 400 k (A) 6 V (B) 6 V
40 k (C) 8 V (D) 8 V
vi
vo
60 k 5. For the circuit shown in fig. P3.5.5 gain is
Av  vo vi  10. The value of R is
R 100 k
Fig. P3.5.2
(A) 10 (B) 10
100 k
(C) 13.46 (D) 13.46 100 k
vi
vo
3. The input to the circuit in fig. P3.5.3 is
vi  2 sin t mV. The current io is
10 k
Fig. P3.5.5
1 k
vi io
vo
(A) 600 k (B) 450 k
(C) 4.5 M (D) 6 M
4 k

Fig. P3.5.3

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
184
ForOperational
E-books/Materials/Notes-PDFs|PPTs
Amplifiers Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 3.5

6. For the op-amp circuit shown in fig. P3.5.6 the 10. In the circuit of fig. P3.5.10 the output voltage vo is
voltage gain Av  vo vi is 20 k 20 k
+0.5 V
R R R
40 k
-1 V
R R 60 k vo
R +2 V
vi
vo
Fig. P3.5.10

(A) 2.67 V (B) 2.67 V


Fig. P3.5.6
(C) 6.67 V (D) 6.67 V
(A) 8 (B) 8
(C) 10 (D) 10 11. In the circuit of fig. P3.5.11 the voltage vi1 is
(1  2 sin t) mV and vi2  10 mV. The output voltage
7. For the op-amp shown in fig. P3.5.7 open loop
vo is
differential gain is Aod  10 3. The output voltage vo for
20 k
vi  2 V is 20 k
100 k 2 k
vi1
1 k
100 k
vi
vo
vo vi2
1 k

Fig. P3.5.11
Fig. P3.5.7
(A) 1.996 (B) 1.998 (A) 0.4(1  sin t) mV (B) 0.4(1  sin t) mV
(C) 2.004 (D) 2.006 (C) 0.4(1  2 sin t) mV (D) 0.4(1  2 sin t) mV

8. The op-amp of fig. P3.5.8 has a very poor open-loop 12. For the circuit in fig. P3.5.12 the output voltage is
voltage gain of 45 but is otherwise ideal. The closed-loop vo  2.5 V in response to input voltage vi  5 V. The finite
gain of amplifier is open-loop differential gain of the op-amp is
100 k
500 k
2 k vi
vo
vo 1 k
vi

Fig. P3.5.8
Fig. P3.5.12
(A) 20 (B) 4.5
(C) 4 (D) 5 (A) 5  10 4 (B) 250.5
(C) 2  10 4
(D) 501
9. For the circuit shown in fig. P3.5.9 the input voltage
vi is 1.5 V. The current io is 13. vo  ?
10 k 100 k

6 k 100 k
vi
8 k io
vo vo
20 k
+18 V
5 k 40 k
+15 V

Fig. P3.5.13
Fig. P3.5.9
(A) 1.5 mA (B) 1.5 mA (A) 34 V (B) 17 V

(C) 0.75 mA (D) 0.75 mA (C) 32 V (D) 32 V


www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
185
For E-books/Materials/Notes-PDFs|PPTs
UNIT 3 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Analog Electronics

28. For the circuit shown in fig. P3.5.28 the input 31. io  ?
resistance is
6 k

io 2 k
6A vo

2 k
4 k
is
Fig. S3.5.31
2 k
10 k (A) 18 A (B) 18 A

(C) 36 A (D) 36 A


Fig. P3.5.28

(A) 38 kW (B) 17 kW Statement for Q.3233:


(C) 25 kW (D) 47 kW Consider the circuit shown below

3 k D1
29. In the circuit of fig. P3.5.29 the op-amp slew rate is
SR = 0.5 V s. If the amplitude of input signal is 0.02 V, 6 k D2

then the maximum frequency that may be used is 2 k


vi
240 k vo

10 k
vi
vo
Fig. P3.5.3233

32. If vi  2 V, then output vo is


Fig. P3.5.29
(A) 4 V (B) 4 V

(C) 3 V (D) 3 V

(A) 0.55  106 rad/s (B) 0.55 rad/s


33. If vi  2 V, then output vo is
(C) 1.1  106 rad/s (D) 1.1 rad/s
(A) 6 V (B) 6 V

(C) 3 V (D) 3 V
30. In the circuit of fig. P3.5.30 the input offset voltage
and input offset current are Vio  4 mV and I io  150 nA.
34. vo( t)  ?
The total output offset voltage is

500 k

5 k 8 mF vo
vi
vo
5u(t) mA 250  1 k 50 

5 k

Fig. P3.5.34

Fig. P3.5.30 t t
 
(A) e 10
u( t) V (B) e 10
u( t) V
(A) 479 mV (B) 234 mV 
t

t

(C) e 1 .6
u( t) V (D) e 1 .6
u( t) V
(C) 168 mV (D) 116 mV

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
188
ForOperational
E-books/Materials/Notes-PDFs|PPTs
Amplifiers Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 3.5

35. The circuit shown in fig. P3.5.35 is at steady state (A) vs vss (B) vs vss
before the switch opens at t  0. The vC ( t) for t
0 is v vs
t=0 (C)  s (D)
vss vss

20 k
39. If the input to the ideal comparator shown in fig.
20 k P3.5.39 is a sinusoidal signal of 8 V (peak to peak)
20 k
without any DC component, then the output of the
+ comparator has a duty cycle of
4 F vC
5V
-
Input
Output
Fig. P3.5.35 Vref = 2 V
(A) 10  5 e 12 .5t V (B) 5  5 e 12 .5t V

t

t Fig. P3.5.39
(C) 5  5 e 12 .5
V (D) 10  5 e 12 .5
V
1 1
(A) (B)
36. The LED in the circuit of fig. P3.5.36 will be on if vi 2 3
is 1 1
10 k (C) (D)
+10 V 6 12
470

10 k vi
40. In the op-amp circuit given in fig. P3.5.40 the load
current iL is
Fig. P3.5.36
(A)
10 V (B) 10 V R1

(C)
5 V (D) 5 V R1
vs

37. In the circuit of fig. P3.5.37 the CMRR of the


op-amp is 60 dB. The magnitude of the vo is R2
2V
IL R2

100 k RL
R R
1 k
Fig. P3.5.40
1 k vo
R
R
vs vs
(A)  (B)
100 k R2 R2
vs vs
(C)  (D)
RL RL
Fig. P3.5.37
(A) 1 mV (B) 100 mV
41. In the circuit of fig. P3.5.41 output voltage is vo  1
(C) 200 mV (D) 2 mV
V for a certain set of , R, an C. The vo will be 2 V if
R1
38. The analog multiplier X of fig. P.3.5.38 has the
R1
characteristics vp  v1 v2 . The output of this circuit is
vss vi = sin t V vo
10 k
X
R
R C
vs
vo

Fig. P3.5.41
(A)  is doubled (B)  is halved
Fig. P3.5.38 (C) R is doubled (D) None of the above
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
189
For E-books/Materials/Notes-PDFs|PPTs
UNIT 3 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Analog Electronics

42. In the circuit of fig. P3.5.42. the 3 dB cutoff +15 V


frequency is
50 nF 6 k 47 k

3 k vo
vi
vo

Fig. P3.5.42 Vz = 5 V 100 


(A) 10 kHz (B) 1.59 kHz
(C) 354 Hz (D) 689 Hz
Fig. P3.5.45
43. The phase shift oscillator of fig. P3.5.43 operate at 46. In the circuit in fig. P3.5.46 both transistor Q1 and
f  80 kHz. The value of resistance RF is Q2 are identical. The output voltage at T  300 K is

RF R1 R2
100 pF 100 pF 100 pF v1 v2
R

vo
R R
333 k

20 k
Fig. P3.5.43
20 k vo
(A) 148 kW (B) 236 kW
(C) 438 kW (D) 814 kW 333 k

44. The value of C required for sinusoidal oscillation of


frequency 1 kHz in the circuit of fig. P3.5.44 is Fig. P3.5.46

1 k 2.1 k v R  v R 
(A) 2 log10  2 1  (B) log10  2 1 
 v1 R2   v1 R2 
v R  v R 
(C) 2.303 log10  2 1  (D) 4.605 log10  2 1 
C
 v1 R2   v1 R2 
1 k
47. In the op-amp series regulator circuit of fig. P8.3.47
Vz  6.2 V, VBE  0.7 V and   60. The output voltage vo is
1 k C vo
+36 V

1 k
Fig. P3.5.44
1 30 k
(A) F (B) 2 F
2
1
(C) F (D) 2 6 F
2 6
10 k

45. In the circuit shown in fig. P3.5.45 the op-amp is


ideal. If F  60, then the total current supplied by the Fig. P3.5.47
15 V source is
(A) 35.8 V (B) 24.8 V
(A) 123.1 mA (B) 98.3 mA
(C) 29.8 V (D) None of the above
(C) 49.4 mA (D) 168 mA

*******
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
190
ForOperational
E-books/Materials/Notes-PDFs|PPTs
Amplifiers Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 3.5

26. (C) v2 + = v2 - = 0 V, current through 6 V source 33. (D) If vi 0, then vo


0, D2 blocks and D1 conduct
6 3k
i= = 2 mA, vo = -2m( 3k + 2 k) = -10 V Av   . , vo  ( 2)( 15
 15 . )3 V
3k 2k

vo(1) vo v (2) vo(1) 34. (A) Voltage follower vo  v  v


27. (D) v+ = = , v- = i +
1+ 3 4 2+1 2 +1
v (0  )  5m(250 ||1000)  1 V, v ( )  0
v v 2v v
v+ = v- , o = o + i , o = -8   8m(1000  250)  10 s
4 3 3 vi

35. (A) vc (0  )  5 V  vc (0  )  5 V
28. (B) Since op-amp is ideal
For t
0 the equivalent circuit is shown in fig. S3.5.35
20 k

i1 +
10 V 4 F vC
4 k
is is
i2
2 k
Fig. S3.5.35
10 k
  20 k  4  0.08 s
t

Fig. S3.5.28 vc  10  (5  10) e 0 .08
 10  5 e 12 .5t V for t
0
v- = v+ , 2 kis  4 ki1  is  2 i1
(10)(10 k)
vs  2 kis  10 ki2 36. (C) v  5 V
10 k  10 k
is
i2  is  i1 , vs  2 kis  10 k( is  i1 ), i1  When v
5 V, output will be positive and LED will be
2
 i  vs on. Hence (C) is correct.
vs  2 kis  10 k is  s    17k  Rin
 2 is
R R
37. (B) v  (2)  1 V, v  (2)  1 V, vd  0
2R 2R
R  240 k
29. (C) Closed loop gain A  F   24 v  v R VCM
 R1 10 k VCM    1, vo  F
2 1 CMRR
The maximum output voltage vom  24  0.02  0.48 V 100 1
CMRR  60 dB  10 3 , vo   100 mV
SR 0.5 /  1 10 3
    11
.  106 rad/s
vom 0.48
38. (C) v  0  v ,
 R  Let output of analog multiplier be vp .
30. (A) The offset due to Vio is vo   1  1 Vio
 R1  vs vp
  vs  vp , vp  vss vo
 500  R R
 1   4m  404 mV
 5  v
vs  vss vo , vo   s
vss
Due to I io, vo  RF I io  (500 k)(150n)  75 mV
Total offset voltage vo  404  75  479 mV
39. (B) When vi
2 V, output is positive. When vi 2 V,
vo v output is negative.
31. (A) 6  , io   6  o
6k 3k V
6( 6 k)
io   6   18 A. 4V
3k
2V
2
32. (B) If vi
0, then vo 0, D1 blocks and D2 conducts 5
t
6 6
6k
Av    2  vo  ( 2)(2)  4 V
3k
Fig. S3.5.39

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
193
For E-books/Materials/Notes-PDFs|PPTs
UNIT 3 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Analog Electronics

5 44. (A) This is Wien-bridge oscillator. The ratio



TON 1
Duty cycle   6 6  R2 2.1k
  2.1 is greater than 2. So there will be
T 2 3 R1 1k

vs  v v  vo oscillation
40.(A)   2 v1  vs  vo R2
R1 R1
R1
v v v  vo  R 
    0  vo   2  2  v
R2 RL R2  RL 

 R 
2 v  vs   2  2  v , v  v
 RL  R C
R C
R
 0  vs  2 v
RL
RL v vs
v   vs , iL  , iL   Fig. S3.5.44
R2 RL R2
1 1
Frequency   1  10 3 
2 RC 2 (1k) C
41. (D) This is a all pass circuit
1
vo 1  jRC 1  ( R 2 C) 2 C F
 H ( j)  , H( j)  1 2
vi 1  jRC 1  ( RC) 2
Thus when  and R is changed, the transfer function is 45. (C) v  5 V  v  vE ,

unchanged. The input current to the op-amp is zero.


i15V  iZ  iC  iZ  # F iE
42. (B) Let R1  3 k , R2  6 k , C  50 nF 15  5 60  5 
     49.4 mA
vi v  vo vi v v 47 k 61  100 
 i 0   i  o
 1  R2  R1  R2 R2
R1 ||   
 sC   1  sR C  333
1
46. (B) vo  ( vo1  vo2 )
R  20
vi  2 (1  sR1 C)  1  vo
R
 1  i  i 
vo1  vBE1  Vt ln  c1 , vo2  vBE 2  Vt ln  c 2 
vi  is   is 
R2  R1  sR1 R2 C  vo
R1 i  i 
vo1  vo2  Vt ln  c1   Vt ln  c 2 
vo R  R1  sR1 R2 C   ic 2   ic1 
 2 1  R  R 
vi R1  2 
v1 v2
1
ic1  , ic 2 
R1 R2
vo  R 
   1  2 !1  s( R1 || R2 ) C"
vi  R1  v R 
vo1  vo2  Vt ln  2 1 , Vt  0.0259 V
1  R2 v1 
f3dB 
2 ( R1 || R2 ) C 333 333 v R 
vo  ( vo1  vo2 )  (0.0259) ln  2 1 

1

1
 159
. kHz
20 20  v1 R2 
2 ( 3k ||6 k)50n 2 (2 k)50n v R  v R 
 0.4329 ln 2 1   0.4329(2.3026) log10  2 1 
 v1 R2   v1 R2 
43. (B) The oscillation frequency is
v R 
1 1  log10  2 1 
f   80 k 
2 6 RC 2 6 R(100 )  v1 R2 

1
 R  8.12 k 10 vo v
( 80 k)(2 6 )(100 ) 47. (B) v  v , vZ   o
10  30 4
RF vo  4 vz  6.2  4  24.8 V
 29  RF  ( 8.12 k)(29)  236 k
R

************
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
194
For E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com

CHAPTER

4.1
NUMBER SYSTEMS & BOOLEAN ALGEBRA

1. The 100110 2 is numerically equivalent to 5. A computer has the following negative numbers
stored in binary form as shown. The wrongly stored
1. 2616 2. 3610 3. 468 4. 212 4
number is
The correct answer are (A) 37 as 1101 1011 (B) 89 as 1010 0111
(A) 1, 2, and 3 (B) 2, 3, and 4
(C) 48 as 1110 1000 (D) 32 as 1110 0000
(C) 1, 2, and 4 (D) 1, 3, and 4

2. If (211) x  (152)8 , then the value of base x is 6. Consider the signed binary number A  01010110
and B  1110 1100 where B is the 1s complement and
(A) 6 (B) 5
MSB is the sign bit. In list-I operation is given, and in
(C) 7 (D) 9
list-II resultant binary number is given.

3. 11001, 1001 and 111001 correspond to the 2s


complement representation of the following set of ListI List-II
numbers 1. 0 1 0 0 0011
(A) 25, 9 and 57 respectively P. A  B 2. 0 1 1 0 1001
3. 0 1 0 0 0010
(B) 6, 6 and 6 respectively Q. B  A
4. 1 0 0 1 0101
(C) 7, 7 and 7 respectively R. A  B 5. 1 0 1 1 1100
6. 1 0 0 1 0110
(D) 25, 9 and 57 respectively
S.  A  B 7. 1 0 1 1 1101
8. 0 1 1 0 1010
4. A signed integer has been stored in a byte using 2s
complement format. We wish to store the same integer
in 16-bit word. We should copy the original byte to the The correct match is

less significant byte of the word and fill the more P Q R S


significant byte with
(A) 0 (A) 3 4 2 5
(B) 1 (B) 3 6 8 7
(C) equal to the MSB of the original byte (C) 1 4 8 7
(D) complement of the MSB of the original byte. (D) 1 6 2 5

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
197
For E-books/Materials/Notes-PDFs|PPTs
UNIT 4 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Digital Electronics

A A
28. The simplified form of a logic function B B
Y  A( B  C( AB  AC)) is C
Z
C
Z

(A) A B (B) AB D D

(C) AB (D) AB (A) (B)

A A
29. The reduced form of the Boolean expression of
B B
Y  ( AB )  ( AB) is Z Z
C C
(A) A  B (B) A  B D D

(C) AB  AB (D) A B  AB (C) (D)

35. In fig. P.4.1.35 the input condition, needed to


30. If X Y  XY  Z then XZ  XZ is equal to
produce X  1, is
(A) Y (B) Y A
(C) 0 (D) 1
B
X
31. If XY  0 then X  Y is equal to C

(A) X  Y (B) X  Y
Fig. P4.1.34
(C) XY (D) X Y
(A) A  1, B  1, C  0 (B) A  1, B  1, C  1

32. From a four-input OR gate the number of input (C) A  0, B  1, C  1 (D) A  1, B  0, C  0


condition, that will produce HIGH output are
36. Consider the statements below:
(A) 1 (B) 3
1. If the output waveform from an OR gate is the same
(C) 15 (D) 0
as the waveform at one of its inputs, the other input is
being held permanently LOW.
33. A logic circuit control the passage of a signal
2. If the output waveform from an OR gate is always
according to the following requirements :
HIGH, one of its input is being held permanently
1. Output X will equal A when control input B and HIGH.

C are the same. The statement, which is always true, is


2. X will remain HIGH when B and C are (A) Both 1 and 2 (B) Only 1
different. (C) Only 2 (D) None of the above

The logic circuit would be 37. To implement y  ABCD using only two-input
A A NAND gates, minimum number of requirement of gate
B X B X
is
C C
(A) 3 (B) 4
(A) (B)
(C) 5 (D) 6
A A

B X B X 38. If the X and Y logic inputs are available and their


C C complements X and Y are not available, the minimum
number of two-input NAND required to implement
(C) (D)
X  Y is
34. The output of logic circuit is HIGH whenever A and
(A) 4 (B) 5
B are both HIGH as long as C and D are either both
LOW or both HIGH. The logic circuit is (C) 6 (D) 7

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
200
ForNumber
E-books/Materials/Notes-PDFs|PPTs
Systems & Boolean Algebra Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 4.1

Statement for Q.3940: Assuming complements of x and y are not


A Boolean function Z  ABC is to be implement available, a minimum cost solution for realizing f using
using NAND and NOR gate. Each gate has unit cost. 2-input NOR gates and 2-input OR gates (each having
Only A, B and C are available. unit cost) would have a total cost of
(A) 1 units (B) 2 units
39. If both gate are available then minimum cost is (C) 3 units (D) 4 units
(A) 2 units (B) 3 units
44. The gates G1 and G2 in Fig. P.4.2.44 have
(C) 4 units (D) 6 units
propagation delays of 10 ns and 20 ns respectively.
1 G1
40. If NAND gate are available then minimum cost is G2 Vo
Vi
(A) 2 units (B) 3 units 0 Vi
to
(C) 4 units (D) 6 units
Fig. P4.1.44

41. In fig. P4.1.41 the LED emits light when If the input Vi makes an abrupt change from logic
0 to 1 at t  t0 then the output waveform Vo is
VCC = 5 V
[t1  t0  10 ns, t2  t1  10 ns, t3  t2  10 ns]

1 k 1 k 1 k (A) (B)
t0 t1 t2 t3 t0 t1 t2 t3
1 k

(C) (D)

t0 t1 t2 t3 t0 t1 t2 t3
Fig. P4.1.41
(A) both switch are closed
45. In the network of fig. P4.1.45 f can be written as
(B) both switch are open X0
1
(C) only one switch is closed X1 2
3
(D) LED does not emit light irrespective of the switch X2 n-1
X3 n F
positions Xn-1
Xn

42. If the input to the digital circuit shown in fig. Fig. P4.1.45

P.4.1.42 consisting of a cascade of 20 XOR gates is X, (A) X 0 X1 X 3 X 5  X 2 X 4 X 5 .... X n 1  .... X n 1 X n


then the output Y is equal to (B) X 0 X1 X 3 X 5  X 2 X 3 X 4 .... X n  .... X n 1 X n

1
(C) X 0 X1 X 3 X 5 .... X n  X 2 X 3 X 5 K X n  ....  X n 1 X n
(D) X 0 X1 X 3 X 5... X n 1  X 2 X 3 X 5 K X n .. X n 1 X n  2  X n
Y

Fig. P4.1.42
(A) X (B) X *******

(C) 0 (D) 1

43. A Boolean function of two variables x and y is


defined as follows :

f (0, 0)  f (0, 1)  f (1, 1)  1; f (1, 0)  0

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
201
For E-books/Materials/Notes-PDFs|PPTs
UNIT 4 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Digital Electronics

A  B  A  B, A 010 10110
SOLUTIONS B  00010011
0110 1001
1. (D) 100110 2  2 5  2 2  21  3810
 A  B  A  B, A 1010 1001
2616  2  16  6  3810
B  00010011
468  4  8  6  3810
10111100
212 4  2  4 2  41  3810
So 3610 is not equivalent. 7. (B) Here A , B are 2s complement

A  B, A 0100 0110
2. (C) 2 x 2  x  1  64  5  8  2 x 7 B  1101 0011
1 0001 1001
3. (C) All are 2s complement of 7
11001 00110 Discard the carry 1
 1
A  B  A  B, A 010 0 0110
00111  710 B  0010 1101
1001 0110
0111 0011
 1
0111  710 B  A, B 1101 0011

111001 000110 A  1011 1010


 1 1 1000 1101

000111  710
Discard the carry 1

4. (C) See a example  A  B  A  B, A 1011 1010


B  0010 1101
42 in a byte 00101010
1110 0111
42in a word 0 0 0 0 0 0 0 0 0 0 1 0 1 0 1 0
42 in a byte 11010110
8. (B) 1110  10112
42 in a word 1 1 1 1 1 1 1 1 1 1 0 1 0 1 1 0
0.3 2Fi1 Bi Fi
Therefore (C) is correct.
0.6 0 0.6
5. (C) 4810  00110000 2
1.2 1 0.2
4810  1100 1111
 1 0.4 0 0.4

11010000 0.8 0 0.8

1.6 1 0.6
6. (D) Here A , B are 1s complement
A  B, A 01010110 Repeat from the second line 0.310  0.01001 2

B  1110 1100
10100 0010 , 9. (C)
 1 b4 b3 b2 p3 b1 p2 p1

0100 0011 Received 1 1 0 1 1 0 0


B  A  B  A, B 1110 1100
C1*  b4  b2  b1  p1  0
A  1010 1001
C2*  b4  b3  b1  p2  1
110010101
C3*  b4  b3  b2  p3  1
 1
10010110
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
202
ForNumber
E-books/Materials/Notes-PDFs|PPTs
Systems & Boolean Algebra Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 4.1

C3* C2* C1*  110 which indicate position 6 in error  ( AA  AB)( A  B  C)  A( A  B  C)  A


Transmitted code 1001100. Therefore No gate is required to implement this
function.
10. (D) X  MNQ  M NQ  M NQ
 MQ  M NQ  Q( M  M N )  Q( M  N ) 23. (A)
A B C ( A  BC) ( A  B)( A  C)
11. (A) The logic circuit can be modified as shown in fig.
S. 4.1.11 0 0 0 0 0

A 0 0 1 0 0
B
Z 0 1 0 0 0
C+D

E 0 1 1 1 1
Fig. S4.1.11
1 0 0 1 1
Now Z  AB  ( C  D) E 1 0 1 1 1
Fig. S 4.1.23
12. (D) You can see that input to last XNOR gate is
same. So output will be HIGH. 24. (B) X  ABC  ABC  ABC  BC  ABC

13. (D) Z  A  ( AB  BC)  C


25. (B) ( A  B)( B  C )  ( AB)( BC)  ABC
 A  ( A  B  B  C)  C  A  B  C
( A  B)( B  C )  ( A  B)  ( B  C)  A  B  C
ABC  A  B  C
( A  B)( B  C)  ( A  B)  ( B  C)
AB  BC  AC  A  B  B  C  A  C  A  B  C
 AB  B  C  A  B  C

14. (C) ( X  Y )( X  Y )  XY  X Y From truth table Z  A  B  C


Thus (B) is correct.
( X  Y )( X  Y )( X  Y )  ( X  Y )( XY  X Y )

 XY  XY  XY 26. (D) AC  BC  AC( B  B)  ( A  A) BC


 ABC  ABC  ABC  ABC
15. (B) Using duality
( A  B)( A  C)( B  C)  ( A  B)( A  C) 27. (D) F  A  AB  A BC  A B C( D  DE)
Thus (B) is correct option.  A  AB  A B( C  C( D  E))
 A  A( B  B( C  D  E))  A  B  C  D  E
16. (B) Z  ( AB)( CD)( EF )  AB  CD  EF

28. (B) A( B  C ( AB  AC))  AB  AC ( AB  AC)


17. (A) X  ( A B  AB)( A  B)  ( AB  A B)( AB)  AB
 AB  AC[( A  B)( A  C)]
 AB  AC ( A  AC  AB  B C)  AB
18. (B) Y  ( A  B)  C  ( AB  AC)  C

 ( AB  AB)  C  A B  AB  C
29. (C) ( AB )  ( AB)  AB  AB  AB  AB

19. (C) Z  A( A  A) BC  ABC


30. (B) X Z  XZ  X ( XY  XY )  X ( X Y  XY )

20. (A) Z  AB( B  C)  ABC  X ( XY  X Y )  XY  XY  XY  Y

31. (A) X  Y  X Y  XY  ( XY  XY )  ( XY )  X  Y
21. (A) Z  ( A  B )  BC  ( AB)  BC  ABC

22. (A) A( A  B)( A  B  C)


www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
203
For E-books/Materials/Notes-PDFs|PPTs
UNIT 4 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Digital Electronics

(A) ( w + y)( x + y + z)( w + x + z) (A) AC  AB (B) AC  AB  BC


(B) ( w + x)( w + z )( x + y)( y + z ) (C) AB  BC  ABC (D) Above all
(C) ( x + z)( w + y)
12. In the logic circuit of fig. P4.2.12 the redundant gate
(D) ( x + z )( w + y)
is
7. A function with dont care condition is as follows x
y 1
f ( a, b, c, d) = m(0, 2, 3, 5, 7, 8, 9, 10, 11) + dc ( 4, 15) z
w
The minimized expression for this function is x 2 4 X
z
(A) ab  b d  cd  abc (B) ab  b d  cd  abd
w
(C) ab  b d  b c  abd (D) Above all y 3
z
Fig. P4.2.12
8. A function with dont cares is as follows :
(A) 1 (B) 2
g( X , Y , Z )  m(5, 6)  dc (1, 2, 4)
(C) 3 (D) 4
For above function consider following expression
1. XYZ  XYZ 2. XY  XZ 13. If function W, X, Y, and Z are as follow
3. XZ  XZ  YZ 4. YZ  YZ W  R  PQ  RS
The solution for g are
X  PQRS  P Q RS  PQ R S
(A) 1, 2, and 3 (B) 1, 2, and 4
(C) 1, and 4 (D) 1, and 3 Y  RS  PR  PQ  P Q

9. A logical function of four variable is given as Z  R  S  PQ  P Q R  PQ S

f ( A, B, C, D)  ( A  B C)( B  CD) Then


(A) W  Z , X  Z (B) W  Z , X  Y
The function as a sum of product is
(A) A  BC  ACD  BCD (C) W  Y (D) W  Y  Z

(B) A  BC  ACD  BCD


14. In a certain application four inputs A, B, C, D are
(C) AB  BC  ACD  BCD fed to logic circuit, producing an output which operates
(D) AB  AB  ACD  BCD a relay. The relay turns on when f(A, B, C, D) =1 for the
following states of the inputs (ABCD) : 0000, 0010,
10. A combinational circuit has input A, B, and C and
0101, 0110, 1101 and 1110. States 1000 and 1001 do not
its K-map is as shown in fig. P4.2.10. The output of the
occur, and for the remaining states the relay is off. The
circuit is given by
minimized Boolean expression f is

CD (A) ACD  BCD  BCD (B) BCD  BCD  ACD


00 01 11 10 (C) ABD  BCD  BCD (D) ABD  BCD  BCD
00 1 1
A 15. There are four Boolean variables x1 , x2 , x3 and x4 .
01 1 1
The following function are defined on sets of them

f ( x3 , x2 , x1 )  m( 3, 4, 5)
Fig. P4.2.1
g( x4 , x3 , x2 )  m(1, 6, 7)
(A) ( AB  AB ) C (B) ( AB  AB ) C
h( x4 , x3 , x2 , x1 )  fg
(C) ABC (D) A  B  C
Then h( x4 , x3 , x2 , x1 ) is
11. The Boolean Expression Y  ( A  B)( A  C) is equal (A) m(3, 12, 13) (B) m(3, 6)
to (C) m(3, 12) (D) 0
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
206
ForCombinational
E-books/Materials/Notes-PDFs|PPTs
Logic Circuits Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 4.2

Statement for Q.1617: 21. For a binary half subtractor having two input A and

A switching function of four variable, f ( w, x y, z) is B, the correct set of logical expressions for the outputs
to equal the product of two other function f1 and f2 , of D  ( A  B) and X (borrow) are
the same variable f = f1 f2 . The function f and f1 are as (A) D  AB  AB , X  AB
follows : (B) D  AB  AB , X  AB
f  m( 4, 7, 15) (C) D  AB  AB , X  AB
f1  m(0, 1, 2, 3, 4, 7, 8, 9, 10, 11, 15) (D) D  AB  AB , X  AB

22. f1 f2  ?
16. The number of full specified function, that will
D0
satisfy the given condition, is 3-to-8
f1
x0 I0 Decoder D1
(A) 32 (B) 16 D2
D3
(C) 4 (D) 1 x1 I1
D4
D5
x2 I2
17. The simplest function for f2 is D6 f2
D7
(A) x (B) x
(C) y (D) y Fig. P4.2.22

(A) x0 x1 x2 (B) x0  x1  x2
18. A four-variable switching function has minterms m6
(C) 1 (D) 0
and m9. If the literals in these minterms are
complemented, the corresponding minterm numbers are 23. The logic circuit shown in fig. P4.2.23 implements
(A) m3 and m0 (B) m9 and m6
(C) m2 and m0 (D) m6 and m9 D0
3-to-8
D
Decoder 1
A I0
D2
19. The minimum function that can detect a divisible D3
B I1 Z
by 3 8421 BCD code digit (representation D8 D4 D2 D1 ) is D4
D5
given by C I2 D6
(A) D8 D1  D4 D2  D8 D2 D1 EN D7

(B) D8 D1  D4 D2 D1  D4 D2 D1  D8 D4 D2 D1 D

(C) D4 D1  D4 D2  D8 D1 D2 D1
Fig. P4.2.23
(D) D4 D2 D1  D4 D2 D1  D8 D4 D2 D1
(A) D( A u C  AC ) (B) D( B  C  AC )

20. f ( x2 , x1 , x0 )  ? (C) D( B  C  AB ) (D) D( B u C  AB )

D0 Statement for Q.2425:


3-to-8
D
Decoder 1
x0 I0
D2
The building block shown in fig. P4.2.2425 is a

x1
D3 f active high output decoder.
I1
D4
D5 D0
x2 3-to-8
I2 X
D6 A I0 Decoder D1
D7 D2
D3
Fig. P4.2.21 B I1
D4
D5
C I2 D6
(A) (1, 2, 4, 5, 7) (B) (1, 2, 4, 5, 7) Y
D7
(C) (0, 3, 6) (D) None of Above
Fig. P4.2.24-25

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
207
For E-books/Materials/Notes-PDFs|PPTs
UNIT 4 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Digital Electronics

24. The output X is 28. Z 2 = ?


(A) AB + BC + CA (B) A + B + C (A) ab + bc + ca (B) a + b + c
(C) ABC (D) None of the above (C) abc (D) a u b u c

25. The output Y is 29. This circuit act as a


(A) A + B (B) B + C (A) Full adder (B) Half adder
(C) C + A (D) None of the above (C) Full subtractor (D) Half subtractor

26. A logic circuit consist of two 2  4 decoder as shown 30. The network shown in fig. P4.2.30 implements
in fig. P4.2.26.
A 1 MUX

D0 f
x A0
D1 1 0 S0
D2 D0
y A1 A1 f
D3 D1 B 1 MUX

D2
z A0 0 0
D3 S0

Fig. P4.2.26 C

Fig. P4.2.30
The output of decoder are as follow
(A) NOR gate (B) NAND gate
D0 = 1 when A0 = 0, A1 = 0
(C) XOR gate (D) XNOR gate
D1 = 1 when A0 = 1, A1 = 0

D2 = 1 when A0 = 0, A1 = 1 31. The MUX shown in fig. P4.2.31 is 4  1 multiplexer.


The output Z is
D3 = 1 when A0 = 1, A1 = 1
C I3
The value of f ( x, y, z) is
I2
(A) 0 (B) z MUX Z
I1
(C) z (D) 1 I0
S1 S0

Statement for Q.27-29: +5 V A B

Fig. P4.2.31
A MUX network is shown in fig. P4.2.27-29.
(A) ABC B) A  B  C
c 1
MUX (C) A u B uC (D) A + B + C
Z1
c 0 S0 32. The output of the 4  1 multiplexer shown in fig.
P4.2.32 is
a 1
MUX
Z0
a 0 S0
Y I3
I2
b S0 +5 V MUX Z
c 1 I1
MUX
Z2 X I0
S1 S0
b 0
Y
Fig. P4.2.27-29 Fig. P4.2.32

27. Z1 = ? (A) X + Y (B) X + Y


(A) a + b + c (B) ab + ac + bc (C) XY + X (D) XY
(C) a u b u c (D) a  b  c
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
208
ForCombinational
E-books/Materials/Notes-PDFs|PPTs
Logic Circuits Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 4.2

33. The MUX shown in fig. P4.2.33 is a 4  1 36. The 4to1 multiplexer shown in fig. P4.2.36
multiplexer. The output Z is implements the Boolean expression

I3 I3
C
I2 z I2
MUX Z MUX f
I1 I1
C
I0 0 I0
S1 S0 S1 S0

A B w x

Fig. P4.2.33 Fig. P4.2.36


(A) A  C (B) A uC f ( w, x, y, z)  m( 4, 5, 7, 8, 10, 12, 15)
(C) B  C (D) B u C The input to I1 and I 3 will be
(A) yz , y  z (B) y  z, y u z
34. f = ?
(C) y  z, y  z (D) x  y , y z
0 I0
1 I1 MUX 37. The 8-to-1 multiplexer shown in fig. P4.2.37 realize
1 I2
I0 the following Boolean expression
0 I3
0 I1 MUX
1 EN 1 I0
I2
S1 S0 f I1
1 I3 z
1 EN z I2
w x MUX
S1 S0 0 I3
0 I0 z I4
1 I1 MUX y z
0 I5
0 I2 1 I6
1 I3 z I7
1 EN
EN S2 S1 S0
S1 S0
0 w x y
w x
Fig. P4.2.37
Fig. P4.2.34

(A) wxyz + wx yz + xy + yz (A) wxz  w x z  wyz  xy z

(B) wxyz + wxyz + x y + yz (B) wxz  wyz  wyz  w x y

(C) wx yz + w x yz + yz + zx (C) w x z  wy z  w yz  wxz

(D) wxyz + wxyz + gz + zx (D) MUX is not enable

35. For the logic circuit shown in fig. P4.2.35 the output Statement for Q.38-40:
Y is A PLA realization is shown in fig. P4.2.3840

x0

1
0 x1

I0 I1 I2 I3 I4 I5 I6 I7
x2
EN
S2 MUX
C
B S1
A S0

Y X X X f1
Fig. P4.2.35

X X X f2
(A) A  B (B) A  B
X X f3
(C) A  B  C (D) A  B  C
Fig. P.4.2.38-40

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
209
For E-books/Materials/Notes-PDFs|PPTs
UNIT 4 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Digital Electronics

38. f1 ( x2 , x1 , x0 ) = ? The terms P1 , P2 , P3 , P4 and P5 are


(A) x2 x0 + x1 x0 (B) x2 x0 + x1 x2 (A) ab, ac, bc, bc, ab (B) ab , bc, ac, ab , bc
(C) x2  x0 (D) x2 ux 0
(C) ac, ab, bc, ab , bc (D) Above all

39. f2 ( x2 , x1 , x0 ) = ?
43. The circuit shown in fig. P.4.2.43 has 4 boxes each
(A) m(1, 2, 5, 6) (B) m(1, 2, 6, 7)
described by input P, Q, R and output Y , Z with
(C) m(2, 3, 4) (D) None of the above
Y  P  Q  R and Z  RQ  PR  QP .
Q
40. f3( x2 , x1 , x0 )  ?
(A)  M(0, 4, 6, 7) (B)  M(2, 4, 5,7)
(C)  M(1, 2, 3, 5) (D)  M(2, 3, 4, 7) P
P Q P Q P Q P Q

41. If the input X 3 X 2 X1 X 0 to the ROM in fig. P4.2.41


Z R Z R Z R Z R
are 8421 BCD numbers, then output Y3Y2 Y1 Y0 are

X3 X2 X1 X0 Output

Fig. P4.2.43

BCD to Decimal Decoder The circuit act as a 4 bit


(A) adder giving P  Q
D0 D1 D2 D3 D4 D5 D6 D7 D8 D9
(B) subtractor giving P  Q
X X X X Y3 (C) subtractor giving Q  P
X X X X X X Y2 (D) adder giving P  Q  R
X X X X Y1

X X X X X Y0 44. The circuit shown in fig. P4.2.44 converts

MSB
Fig. P4.2.41

(A) 2421 BCD number (B) gray code number


(C) excess 3 code converter (D) none of the above
+ + +
42. It is desired to generate the following three Boolean
function

f1  ab c  abc  bc MSB

Fig. P4.2.44
f2  ab c  ab  abc,
f3  ab c  abc  ac
(A) BCD to binary code
by using an OR gate array as shown in fig. P4.2.42
(B) Binary to excess
where P1 and P5 are the product terms in one or more
(C) Excess3 to Gray Code
of the variable a, a , b, b , c and c.
(D) Gray to Binary code
P1 X
P2 X X
P3 X *******
P4 X
P5 X
F1 F2 F3

Fig. P4.2.42
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
210
ForCombinational
E-books/Materials/Notes-PDFs|PPTs
Logic Circuits Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 4.2

16. (A) f  m(4, 7, 15),


RS
00 01 11 10 f1  m(0, 1, 2, 3, 4, 7, 8, 9, 10, 11, 15)
f2  m(4, 7, 15)  dc(5, 6, 12, 13, 14)
00 1
There are 5 don't care condition. So 2 5  32 different
01 1 1 1 1
PQ functions f2 .
11 1 1 1 17. (A) f2  m(4, 7, 15)  dc(5, 6, 12, 13, 14), f2  x
10 1
yz
00 01 11 10
Fig. S 4.2.13c
00 0 0 0 0
01 1  1 
Z = R + S + PQ + P Q R + PQ S= R + S + PQP Q R PQ S wx
11   1 
= R + S + ( P + Q )( P + Q + R)( P + Q + S)
10 0 0 0 0
= R + S + ( PQ + PR + Q P + Q R)( P + Q + S)
= R + S + PQ + PQS + PR + PRQ + PRS + Q PS + Q PR + Q RS
Fig. S 4.2.17

RS
18. (B) m6  ABCD , m9  ABCD
00 01 11 10
After complementing literal
00 1 1 1
m6  ABCD  m9 , m 9  ABCD  m6
01 1 1 1 1
PQ
11 1 1 1 19. (B) 0, 3, 6 and 9 are divisible by 3
10 1 1 1 D2 D1
00 01 11 10
Fig. S 4.2.13d 00 1 1

D8 D4 01 1
= R + S + PQ 11    
We can see that W = Z , X = Z 10 1  

14. (D) ABD + BCD + BCD


Fig. S 4.2.19
CD f  D8 D1  D4 D2 D1  D4 D2 D1  D8 D4 D2 D1
00 01 11 10
00 1 1 20. (B) f  m(0, 3, 6)  m(1, 2, 4, 5, 7)
01 1 1
AB 21. (C) D  AB  AB, X  AB
11 1 1
10  
A B D X
0 0 0 0
Fig. S 4.2.14
0 1 1 1
15. (A) f = x3 x2 x1 + x3 x2 x1 + x3 x2 x1 = x3 x2 x1 + x3 x2 1 0 1 0
g = x4 x3 x2 + x4 x3 x2 + x4 x3 x2 = x4 x3 x2 + x4 x3 1 1 0 0
fg = x4 x3 x2 x1 + x4 x3 x2
Fig. S 4.2.20
= x4 x3 x2 x1 + x4 x3 x2 x1 + x4 x3 x2 x1
h  m(3, 12, 13)
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
213
For E-books/Materials/Notes-PDFs|PPTs
UNIT 4 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Digital Electronics

22. (D) f1  m(0, 2, 4, 6), 29. (A) The equation of Z1 is the equation of sum of A
f2  m(1, 3, 5, 7), f1 f2  0 and B with carry and equation of 2 is the resultant
carry. Thus, it is a full adder.
23. (D) Z  D( ABC  ABC  ABC  ABC  ABC)
 D( AB ( C  C)  BC( A  A)  ABC ) 30. (B) f1  CD  CB  CB , S  F1

 D( AB  BC  ABC )  D( B ( A  AC )  BC) f  f 1  f1 A  CB  CBA  CB  A

 D( BA  BC  BC)  D( B u C  AB )  C  B  A  ABC

24. (A) X  m(3, 5, 6, 7), X  AB  BC  CA 31. (D) Z  ABC  AB  AB  AB


 A ( BC  B)  A( B  B)  A ( B  C)  A  A  B  C
25. (D) Y  m(1, 3, 5, 7), Y C
32. (A) Z  XY  XY  XY , ZX Y
BC
00 01 11 10 33. (A) Z  ABC  ABC  ABC  ABC
00 1  AC  AC  A  C
A
01 1 1 1
34. (A) The output from the upper first level
multiplexer is fa and from the lower first level
Fig. S4.2.24 multiplexer is fb
fa  wx  wx, fb  wx  wx  x
26. (D) D0  A1 A0 , D1  A1 A0 , D2  A1 A0 , f  fa yz  fb yz  yz  ( wx  wx) yz  xyz  yz
 wxyz  wx yz  xy  yz
BC
00 01 11 10 35. (D) Output is 1 when even parity
00 1 1
A BA
01 1 1 00 01 11 10
00 1 1
C
Fig. S4.2.25 01 1 1

D3  A1 A0
Fig. S4.2.35
For first decoder A0  x , A1  y, D2  yx , D3  xy
For second decoder A1  D2 D3  yxxy  0, A0  z
Therefore Y  A  B  C
f  D0  D1  A1 A0  A1 A0  A1  1

36. (B) I1  y  z , I3  y z
27. (D) The output of first MUX is
Z o  ab  ab  ( a  b) yz
This is input to select S0 of both second-level MUX 00 01 11 10
Z1  CS0  CS0  C  S0  a  b  c 00 0 0 0 0 I0  0
S1 S0
01 1 1 1 0 I1  y  z
28. (A) Z 2  bS0  cS0 bb
wx 11 1 0 1 0 I 3  yz  yz  y u z
 b( ab  ab )  c( ab  ab )  ab  ab c  abc
10 1 0 0 1 I2  z
 a( b  b c)  abc  ab  ac  abc
 ab  ac  bc
Fig. S 4.2.36

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
214
ForCombinational
E-books/Materials/Notes-PDFs|PPTs
Logic Circuits Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 4.2

37. (C) Let z = 0, Then 42. (A) f1  ab c  abc  bc  ac  ab


f = w x y + w xy + wx y + wxy = w x + w y f2  ab c  ab  abc  ac  b c
If we put z = 0 in given option then f3  ab c  abc  ac  ab  bc
(A) = w x + xy (B) = wy + w x y (C) = w x + wy
Thus P1  ab, P2  ac, P3  bc, P4  bc, P5  ab
Since MUX is enable so option (C) is correct.
43. (B) Let P  1001 and Q  1010 then
38. (C)f = x0 x2 + x0 x1 x2 + x0 x2 = x0 x2 (1 + x1 ) + x0 x2
Yn  Pn  Qn  Rn , Z n  Rn Qn  Pn Rn  Qn Pn
= x0 x2 + x0 x2
output is 1111 which is 2s complement of 1. So it gives
39. (B) f2 = x0 x1 + x1 x2 + x0 x1 x2 P  Q . Let another example P  1101 and Q  0110

= x0 x1 x2 + x0 x1 x2 + x1 x2 x0 + x1 x2 x0 + x0 x1 x2 then output is 00111. It gives PQ.

= x2 x1 x0 + x2 x1 x0 + x2 x1 x0 + x2 x1 x0 So (B) is correct.

f2 ( x2 , x1 , x0 ) = m(1, 2, 6, 7)
Pn Qn Rn Zn Yn

40. (C) f3  x0 x1  x1 x2 n 1 1 0 0 0 1
 x2 x1 x0  x2 x1 x0  x2 x1 x0  x2 x1 x0 n 2 0 1 0 1 1
f3( x2 , x1 , x0 )  m(0, 4, 6, 7) n3 0 0 1 1 1
f3( x2 , x1 , x0 )  M(1, 2, 3, 5)
n4 1 1 1 1 1

41. (A) 1
Let X 3 X 2 X1 X 0 be 1001 then Y3Y2 Y1 Y0 will be 1111. Fig. S4.2.43a

Let X 3 X 2 X1 X 0 be 1000 then Y3Y2 Y1 Y0 will be 1110


Pn Qn Rn Zn Yn
Let X 3 X 2 X1 X 0 be 0110 then Y3Y2 Y1 Y0 will be 1100
n 1 1 0 0 0 1
bc
n 2 0 1 0 1 1
00 01 11 10
n3 1 1 1 1 1
00 1 1
a n4 1 0 1 0 0
01 1 1
0
Fig. S4.2.43b
Fig. S4.2.42a

bc 44. (D) Let input be 1010


00 01 11 10 output will be 1101
00 1 Let input be 0110
a
01 1 1 1 output will be 0100
This convert gray to Binary code.
Fig. S4.2.42b

bc
00 01 11 10 *******

00 1 1 1
a
01 1

Fig. S4.2.42b

So this converts 2421 BCD numbers.


www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
215
ForSequential
E-books/Materials/Notes-PDFs|PPTs
Logic Circuits Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 4.3

13. The counter shown in fig. P4.3.13 counts from


1 D1 Q1 D2 Q2 Y

(B) C J B J A J
X

Q1 Q2

C CLR K B CLR K A CLR K

1 D1 Q1 D2 Q2 Y
A
(C) B
X C

Q1 Q2 Fig.P4.3.13

(A) 0 0 0 to 1 1 1 (B) 1 1 1 to 0 0 0
1 D1 Q1 1 D2 Q2 Y (C) 1 0 0 to 0 0 0 (D) 0 0 0 to 1 0 0
(D) X
14. The mod-number of the asynchronous counter
Q1 Q2
shown in fig. P4.2.13 is

J Q0 J Q1 J Q2 J Q3 J Q4
11. The circuit shown in fig. P4.3.11 is

K CLR K CLR K CLR K CLR K CLR

T Q T Q
All J.K. input are HIGH
CLK A CLK B

Q Q
Fig.P4.3.14
Fig.P4.3.11
(A) 24 (B) 48
(A) a MOD2 counter
(C) 25 (D) 36
(B) a MOD3 counter
(C) generate sequence 00, 10, 01, 00..... 15. The frequency of the pulse at z in the network
shown in fig. P4.3.15. is
(D) generate sequence 00, 10, 00, 10, 00 ......
10-Bit w 4-Bit Parallel x Mod-25 y 4-Bit Johnson z
Ring Counter Counter Ripple Counter Counter
160 kHZ
12. The counter shown in fig. P4.3.12 is a
Fig.P4.3.15

(A) 10 Hz (B) 160 Hz


(C) 40 Hz (D) 5 Hz
Q J Q J Q J 1
16. The three-stage Johnson counter as shown in fig.
C B A
P4.2.16 is clocked at a constant frequency of fc from the
Q K Q K Q K 1 starting state of Q2Q1Q0  101. The frequency of output
CLK Q2Q1Q0 will be
Fig.P4.3.12

J2 Q2 J1 Q1 J0 Q0
(A) MOD8 up counter

(B) MOD8 down counter

(C) MOD6 up counter K2 Q2 K1 Q1 K0 Q0

CLK
(D) MOD6 down counter

Fig.P4.3.16

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
218
For E-books/Materials/Notes-PDFs|PPTs
UNIT 4 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Digital Electronics

fc fc 21. In the circuit shown in fig. P4.3.21 is PIPO 4-bit


(A) (B)
8 6 register, which loads at the rising edge of the clock. The
fc fc input lines are connected to a 4 bit bus. Its output acts
(C) (D)
3 2
as the input to a 16  4 ROM whose output is floating
when the enable input E is 0. A partial table of the
17. The counter shown in the fig. P4.3.17 has initially
contents of the ROM is as follows
Q2Q1Q0  000. The status of Q2Q1Q0 after the first pulse
is
Address 0 2 4 6 8 10 12
Data 0011 1111 0100 1010 1011 1000 0010

J2 Q2 J1 Q1 J0 Q0 The clock to the register is shown below, and the


data on the bus at time t1 is 0110.
MSB
K2 Q2 K1 Q1 K0 Q0

CLK

Fig.P4.3.17

(A) 0 0 1 (B) 0 1 0 CLK A

(C) 1 0 0 (D) 1 0 1

18. A 4 bit ripple counter and a 4 bit synchronous


1
counter are made by flips flops having a propagation
E ROM
delay of 10 ns each. If the worst case delay in the ripple
counter and the synchronous counter be R and S
respectively, then
(A) R  10 ns, S  40 ns (B) R  40 ns, S  10 ns
(C) R  10 ns, S  30 ns (D) R  30 ns, S  10 ns

19. A 4 bit modulo6 ripple counter uses JK flip-flop. If


the propagation delay of each FF is 50 ns, the
maximum clock frequency that can be used is equal to
CLK
(A) 5 MHz (B) 10 MHz t
t1 t2
(C) 4 MHz (D) 20 Mhz
Fig. P4.3.21
The data on the bus at time t2 is
20. The initial contents of the 4-bit serial-in-parallel-out
(A) 1 1 1 1 (B) 1 0 1 1
right-shift, register shown in fig. P4.3.20 is 0 1 1 0.
(C) 1 0 0 0 (D) 0 0 1 0
After three clock pulses are applied, the contents of the
shift register will be 22. A 4-bit right shift register is initialized to value
1000 for (Q3 , Q2 , Q1 , Q0 ). The D input is derived from
CLK
0 1 1 0
Q0 , Q2 and Q3 through two XOR gates as shown in fig.
P4.2.22. The pattern 1000 will appear at

Fig.P4.3.20

(A) 0 0 0 0 (B) 0 1 0 1 Q0 Q1 Q2 Q3
D

(C) 1 1 1 1 (D) 1 0 1 0
Fig.P4.3.22
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
219
ForSequential
E-books/Materials/Notes-PDFs|PPTs
Logic Circuits Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 4.3

(A) 3rd pulse (B) 7th pulse 28. To count from 0 to 1024 the number of required
(C) 6th pulse (D) 4th pulse flip-flop is
(A) 10 (B) 11
Statement for Q.2324:
(C) 12 (D) 13
The 8-bit left shift register and D-flip-flop shown
in fig. P4.3.2223 is synchronized with same clock. The 29. Four memory chips of 16  4 size have their address
buses connected together. This system will be of size
b7 b6 b5 b4 b3 b2 b1 b0
(A) 64  4 (B) 32  8
(C) 16  16 (D) 256  1
D Q

CLK 30. The address bus width of a memory of size 1024  8


bits is
Q
(A) 10 bits (B) 13 bits
(C) 8 bits (D) 18 bits
Fig.P4.3.23-24

D flip-flop is initially cleared. 31. For the circuit of Fig. P4.3.31 consider the
statement:
23. The circuit act as Assertion (A) : The circuit is sequential
(A) Binary to 2s complement converter
Reason (R) : There is a loop in circuit
(B) Binary to Gray code converter
d z1
(C) Binary to 1s complement converter a
b
(D) Binary to Excess3 code converter
c
24. If initially register contains byte B7, then after 4 b
z0 e
clock pulse contents of register will be
(A) 73 (B) 72
Fig.P4.3.131
(C) 7E (D) 74
Choose correct option
Statement for Q.2526: (A) Both A and R true and R is the correct
explanation of A
A Mealy system produces a 1 output if the input
has been 0 for at least two consecutive clocks followed (B) Both A and R true but R is not a correct
explanation on of A
immediately by two or more consecutive 1s.
(C) A is true but R is false
25. The minimum state for this system is (D) A is false
(A) 4 (B) 5
*****************
(C) 8 (D) 9

26. The flip-flop required to implement this system are


(A) 2 (B) 3
(C) 4 (D) 5

27. The output of a Mealy system is 1 if there has been


a pattern of 11000, otherwise 0. The minimum state for
this system is
(A) 4 (B) 5
(C) 6 (D) 7
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
220
For E-books/Materials/Notes-PDFs|PPTs
UNIT 4 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Digital Electronics

6. (D) Q   LM  LMQ
SOLUTIONS  L( M  MQ )
 L M  LQ
1. (C) Given FF is a negative edge triggered T flip-flop.
So at the negative edge of clock Vi FF will invert the
L M Q
output if there is 1 at input.
0 0 0
2. (A) At first rising edge of clock, D is HIGH. So Q will 0 1 0
be high till 2nd rising edge of clock. At 2nd rising edge, 1 0 1
D is low so Q will be LOW till 3rd rising edge of clock. 1 1 Q1
At 3rd rising edge, D is HIGH, so Q will be HIGH till
Fig. S4.3.6
4th rising edge. At 4th rising edge D is HIGH so Q will
be HIGH till 5th rising. edge. At 5th rising edge, D is
LOW, so Q will be LOW till 6th rising edge. 7. (D)

J K Q Q Qn  1 Qn 1
3. (C) Initially
1 0 1
x Q S R Q+ Clock 1st 1 1 0 1 1 0
0 0 0 1 0 2nd 0 1 1 0 0 1
0 1 1 0 1 3rd 1 1 0 1 1 0
1 0 1 0 1 4th 0 1 1 0 0 1
1 1 0 1 0 5th 1 1 0 1 1 0

Fig. S4.3.3 Fig. S4.3.7

4. (D) Q +  x  Q Therefore sequence is 010101.


8. (A) A B X Y
Q1  x1  Q0  x1 0  x1 0  x1
Q2  x2  x1 , Q3  x3  x2  x1 1 1 0 1

Q4  x4  x3  x2  x1 1 0 0 1

So this generate the even parity and check odd parity. X and Y are fixed at 0 and 1.

5. (C)
9. (D) Z  XQ  YQ

A B S R Q Q
X Y Z
0 0 1 0 0 1
0 0 Q
0 0 1 0 1 1
0 1 0
0 1 0 1 0 0
1 0 1
0 1 0 1 1 0
1 1 Q1
1 0 0 0 0 0
Fig. S4.3.9
1 0 0 0 1 1
1 1 1 1 0  Comparing from the truth table of JK FF
1 1 1 1 1  Y  J, X  K

Fig. S4.3.5

Q   AB  AQ  AB  BQ
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
221
ForSequential
E-books/Materials/Notes-PDFs|PPTs
Logic Circuits Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 4.3

10. (C) 14. (A) It is a 5 bit ripple counter. At 11000 the output
of NAND gate is LOW. This will clear all FF. So it is a
t0 t1 t2 t3 Mod24 counter. Note that when 11000 occur, the
CLR input is activated and all FF are immediately
Q1
0 cleared. So it is a MOD 24 counter not MOD 25.
1
D2=Q1
15. (D) 10-bit ring counter is a MOD10, so it divides
the 160 kHz input by 10. therefore, w  16 kHz. The
Q2
four-bit parallel counter is a MOD16. Thus, the
t0 t1 frequency at x  1 kHz. The MOD25 ripple counter
Fig.S4.3.10 produces a frequency at y  40 Hz. (1 kHz/25  40 Hz).
11. (B) The four-bit Johnson Counter is a MOD-8. This, the
frequency at z  5 Hz.
Present State FF Input Next State
Q A QB TA TB Q +A QB+ 16. (D)
0 0 0 1 0 1
Q0 Q0 Q2 Q2 Q1 Q1
0 1 1 1 1 0
J2 K2 J1 K 1 J0 K0 Q2+ Q1+ Q0+
1 0 1 0 0 0
1 0 1
1 1 1 1 0 0
0 1 1 0 0 1 0 1 0
Fig. S4.3.11
1 0 0 1 1 0 1 0 1
From table it is clear that it is a MOD3 counter.
0 1 1 0 0 1 0 1 0
12. (B) It is a down counter because 0 state of previous 1 0 0 1 1 0 1 0 1
FFs change the state of next FF. You may trace the Fig. S4.3.16
following sequence, let initial state be 0 0 0 We see that 1 0 1 repeat after every two cycles, hence
frequency will be fc / 2 .
FF C FF B FF A
JK C JK B JK A C+ B+ A+ 17. (C) At first cycle

111 111 111 111 J 2K 2  1 0  Q2  1,


J1 K 1  0 0  Q1  1,
000 000 110 110
J 0K 0  0 0  Q0  0
000 110 111 101
000 001 110 100 18. (B) In ripple counter delay 4Td  40 ns.
111 111 111 011 The synchronous counter are clocked simultaneously,
001 000 110 010 then its worst delay will be equal to 10 ns.

001 110 111 001


19. (A) 4 bit uses 4 FF
000 001 110 000 Total delay Ntd  4  50 ns  200  10 9
Fig. S4.3.12 1
f   5 Mhz
200  10 9
13. (C) It is a down counter because the inverted FF
output drive the clock inputs. The NAND gate will clear 20. (D) At pulse 1 input, 1  0 1
FFs A and B when the count tries to recycle to 111. This So contents are 1 0 1 1,
will produce as result of 100. Thus the counting At pules 2 input 1  1  0
sequence will be 100, 011, 010, 001, 000, 100 etc. So contents are 0 1 0 1,
At pules 3 input 0  1  1, contents are 1 0 1 0
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
222
For E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com

CHAPTER

4.4
DIGITAL LOGIC FAMILIES

Statement for Q.12: 4. In the circuit shown in fig. P.4.4.4. the output Z is
+5 V +5 V
Consider the DL circuit of fig. P4.4.12.
+5 V
+5 V
A Z

B
+
C

+ Fig. P4.4.4
V1
+
V2 Vo
- - - (A) AB + C (B) ABC
(C) ABC (D) ABC
Fig. P4.4.1-2

1. For positive logic the circuit is a Statement for Q.57:


(A) AND (B) OR
Consider the AND circuit shown in fig. P4.4.57.
(C) NAND (D) NOR
The binary input levels are V(0) = 0 V and V(1) = 25 V.
Assume ideal diodes. If V1 = V (0) and V2 = V (1), then Vo
2. For negative logic the circuit is a
is to be at 5 V. However, if V1 = V2 = V (1), then Vo is to
(A) AND (B) OR
rise above 5 V.
(C) NAND (D) NOR Vss

20 k
3. The diode logic circuit of fig. P4.4.3 is a
1 k D1
D2
V1
V1
Vo
V2
V2 Vo
1 k D2 D0
D1

+5 V
Fig. P4.4.5-7

Fig. P4.4.3 5. If Vss = 20 V and V1 = V2 = V (1), the diode current


I D1 , I D2 , and I D0 are
(A) AND (B) OR (A) 1 mA, 1 mA, 4 mA (B) 1 mA, 1 mA, 5 mA
(C) NAND (D) NOR (C) 5 mA, 5mA, 1 mA (D) 0, 0, 0

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
224
ForDigital
E-books/Materials/Notes-PDFs|PPTs
Logic Families Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 4.4

6. If Vss = 40 V and both input are at HIGH level then, +VCC

diode current I D1 , I D2 and I D0 are respectively


RC
(A) 0.4 mA, 0.4 mA, 0 (B) 0, 0, 1 mA Vo
RB1 RB2
(C) 0.4 mA, 0.4 mA, 1 mA (D) 0, 0, 0 V1 V2
Q1 Q2

7. The maximum value of Vss which may be used is


Fig. P4.4.10-11
(A) 30 V (B) 25 V
(C) 125 V (D) 20 V
10. For positive logic the gate is

8. The ideal inverter in fig. P4.4.8 has a reference (A) AND (B) OR

voltage of 2.5 V. The forward voltage of the diode is 0.75 (C) NAND (D) NOR
V. The maximum number of diode logic circuit, that
11. For negative logic the gate is
may be cascaded ahead of the inverter without
(A) AND (B) OR
producing logic error, is
(C) NAND (D) NOR
+5 V +5 V +5 V

Statement for Q.1213:

+5 V Consider the RTL circuit of fig. P4.4.1213.


A Z +VCC

B
C RC
D Vo1 Vo2

n Stages of Diode Logic RB1 RB2 RB3


Fig. P4.4.8 V1 V2
Q1 Q2 Q3

(A) 3 (B) 4 Fig. P4.4.12-13


(C) 5 (D) 9

9. Consider the TTL circuit in fig. P4.4.9. The value of 12. If Vo1 is taken as the output, then circuit is a

V H and VL are respectively (A) AND (B) OR


(C) NAND (D) NOR
+5 V

13. If Vo2 is taken as output, then circuit is a


4 k 2 k (A) AND (B) OR
Vo (C) NAND (D) NOR
Vi

Statement for Q.1415:

Fig. P4.4.9 Consider the TTL circuit of fig. P4.4.14. If either or


both V1 and V2 are logic LOW, Q1 is driven to
(A) 5 V, 0 V (B) 4.8 V, 0 V
saturation.
(C) 4.8 V, 0.2 V (D) 5 V, 0.2 V +VCC

Statement Q.1011: R1 R2

Consider the resistor transistor logic gate of fig. R3 Vo2

P4.4.10-11. V1
V2 Q1 Q2
Vo1

Fig. P4.4.14-15
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
225
ForDigital
E-books/Materials/Notes-PDFs|PPTs
Logic Families Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 4.4

22. The circuit shown in fig. P4.4.22 is 25. The circuit shown in fig. P4.4.25. implements the
function
+VDD +VDD

C A
Y
A M2
B

B M1
D
Y

Fig. P4.4.22 A B D

C
(A) NAND (B) NOR

(C) AND (D) OR


Fig. P4.4.25
(A) ( A + B) C + D (B) ( AB + C) D
23. The circuit shown in fig. P4.4.23 acts as a
+VDD (C) ( A + B) C + D (D) ( AB + C) D

M3 26. Consider the CMOS circuit shown in fig. P4.4.26.


Y
The output Y is
+VDD
A M1 M2 B

C A
Fig. P4.4.23
B
Y
(A) NAND (B) NOR
C
(C) AND (D) OR
A B
24. The circuit shown in fig. P4.4.24 implements the
function
Fig. P4.4.26
+VDD
(A) ( A + C) B (B) ( A + B) C
A B C +VDD (C) AB + C (D) AB + C

A B C 27. The CMOS circuit shown in fig. P4.4.27 implement


Y +5 V

A A Logic Input PMOS


A to E Network
Y
B B

A B
C C

C D

Fig. P4.4.24
E

(A) ABC + ABC (B ABC + ( A + B + C)


Fig. P4.4.27
(C) ABC + ( A + B + C) (D) None of the above
(A) AB + CD + E (B) ( A + B)( C + D) E
(C) AB + CD + E (D) ( A + B)( C + D) E

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
227
For E-books/Materials/Notes-PDFs|PPTs
UNIT 4 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Digital Electronics

V1 V2 Vo For n attached gate I o  nI B ( sat ) .


Actual Logic Actual Logic Actual Logic . V
To assure no logic error Vo  VCC  I o RC V H  35
V  35 . .
5  35
VH 1 VH 1 VCE ( sat ) 0 n CC   15.6
n 15
RC I B ( sat ) 640(0.15m)
VL 0 VL 0 VCE 1
VH 1 VL 0 VCE ( sat ) 0 19. (A) Let V1  V2  0 V, then M 3 will be ON, M1 and
VL 0 VH 1 VCE ( sat ) 0 M 2 OFF and M 4 ON, hence Vo  VDD . Let V1  0 V and
V2  VDD then M 3 will be ON, M1 OFF M 4 OFF, M 2
13. (B) The Q3 stage is simply an inverter (a NOT gate). ON, hence Vo  VDD. Let V1  VDD and V2  0 V, then

Thus output Vo2 is the logic complement of Vo1 . M 3 OFF, M 4 ON, M 2 OFF hence Vo  VDD. Finally if

Therefore this is a OR gate. V1  V2  VDD, M 3 and M 4 will be OFF and M1 , M 2


will be ON, hence Vo  0 V. Thus the given CMOS gate
14. (A) When Q1 is saturated, Vo1 is logic LOW satisfies the function of a negative NAND gate.
otherwise Vo1 is logic HIGH. The following truth table
20. (C) If V A  VDD then M1 is ON and VY  0 V. If
shows AND logic
VB  VC  VDD and V A  0 V then M 3 and M 2 are ON
V1 V2 Vo1 but M1 is OFF hence VY  0 V. If V A  0 V and either or

1 1 1 both VB , VC are 0 V then M1 is OFF and either or both


M2 and M 3 will be OFF, which implies no current
0 1 0
flowing through M 4 hence VY  VDD . Thus given
1 0 0
circuit satisfies the logic equation A  BC .
0 0 0
21. (A) Let V1  V2  0 V  V (0) then M 4 and M 3 will be
15. (C) The Q2 stage is simply an inverter. Thus output ON and M 2 , M1 OFF hence Vo  VDD  V (1). Let
Vo2 is the logic complement of Vo1 . V1  0 V, V2  VDD then M 4 and M 2 will be ON but M 3
and M1 will be OFF hence Vo  0  V (0). Let
16. (C) If V1  V2 VL , Vo1 VCC . If V1 ( V2 ) V H , while V1  VDD , V2  0 V , then M 4 and M 3 will be OFF and
V2 ( V1 ) VL , Q1 (Q2 ) is ON and Q2 (Q1 ) is OFF and M1 ON hence Vo  0 V  V (0). Finally if V1  V2  VDD ,
Vo1 VCC . If V1  V2 V H , both Q1 and Q2 are ON and M1 and M 2 will be ON but M 4 will be OFF hence
Vo1 2 VCE ( sat ) . The truth table shows NAND logic Vo  0 V  V (0). Thus the given CMOS satisfy the
function of a positive NOR gate.
V1 V2 Vo
22. (A) If either one or both the inputs are V(0)  0 V
Actual Logic Actual Logic Actual Logic
the corresponding FET will be OFF, the voltage across
VL 0 VL 0 VCC 1
the load FET will be 0 V, hence the output is VDD . If
VH 1 VL 0 VCC 1
boths inputs are V (1)  VDD , both M1 and M 2 are ON
VL 0 VH 1 VCC 1 and the output is V(0)  0 V. It satisfy NAND gate.
VH 1 VH 1 2VCE ( sat ) 0
23. (B) If both the inputs are at V(0)  0 V, the

17. (A) The Q3 stage is simple an inverter. Hence AND transistor M1 and M 2 are OFF, hence the output is
V (1)  VDD . If either one or both of the inputs are at
logic.
V (1)  VDD , the corresponding FET will be ON and the
18. (C) For each successive gate, that has a transistor in output will be V (0)  0 V. Hence it is a NOR gate.
saturation, the current required is
I C ( sat ) VCC  VCE ( sat ) 5  0. 2
I B ( sat )     0.15 mA
 RC 50( 640)
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
230
ForDigital
E-books/Materials/Notes-PDFs|PPTs
Logic Families Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 4.4

24. (B) If all inputs A, B and C are HIGH, then input to 30. (A) When an output is LOW, it may be as high as
invertor is LOW and output Y is HIGH. If all inputs are VOL ( max )  0.4 V. The maximum voltage that an input will
LOW, then input to inverter is also LOW and output Y respond to as a LOW is V IL ( max )  0.8 V. A positive noise
is HIGH. In all other case the input to inverter is HIGH spike can drive the actual voltage above the 0.8 V level
and output Y is LOW. if its amplitude is greater than
Hence Y = ABC + ABC = ABC + ( A + B + C) V NL  V IL ( max )  VOL ( max )  0.8  0.4  0.4 V

31. (B) A positive noise spike can drive the voltage


25. (C) The operation of circuit is given below
above 1.0 V level if the amplitude is greater than
ABCD PA PB PC PD NA NB NC ND Y V NL  V IL ( max )  VOL ( max )  1  0.1  0.9 V,

 1    OFF    ON LOW


A negative noise spike can drive the voltage below 3.5 V
if the amplitude is greater than
 0 0   ON ON   OFF OFF HIGH
V NH  VOH ( min )  V IH ( min )  4.9  35
.  1.4 V
0010 ON ON OFF ON OFF OFF ON OFF HIGH

0110 ON OFF OFF ON OFF ON ON OFF LOW 32. (B) V IH ( min )  VOH ( min )  V NH   0.8  0.5   1.3 V

1010 OFF ON OFF ON ON OFF ON OFF LOW V IL ( max )  VOL ( max )  V NL  0.5  ( 2)  15
. V

1110 OFF OFF OFF ON ON ON ON OFF LOW


33. (C) V NH  VOH ( min )  V IH ( min ) , V NL  V IL ( max )  VOL ( max )

Y = ( A + B)C + D V NH  2.7 (for LS) 2.0 (for ALS)  0.7 V


V NL  0.8 (for ALS) 0.5 (for LS)  0.3 V
26. (B) The operation of this circuit is given below :
34. (B) V NH  2.5 (for ALS)  2.0 (for LS)  0.5 V
A B C PA PB PC N A NB NC Y V NL  0.8 (for LS)  0.4 (for ALS)  0.4 V
  0   ON   OFF HIGH
35. (D) V NH ( min )  0.5 V , V NL ( min )  0.3 V
0 0 1 ON ON OFF OFF OFF ON HIGH
 1 1  OFF OFF  ON ON LOW I OL ( max ) 8m
36. (B) fanout (LOW)    80
1  1 OFF  OFF ON  ON LOW I IL ( max ) 0.1m
I OH ( max ) 400
fanout (HIGH)    20
Y = ( A + B) C I IH ( max ) 20
The fanout is chosen the smaller of the two.
27. (B) If input E is LOW, output will not be LOW. It
must be HIGH. Option (B) satisfy this condition. 37. (B) In HIGH state the loading on the output of gate
1 is equivalent to six 74LS input load.
28. (A) In this circuit parallel combination are OR gate Hence load  6  I IH  6  20  120 A
and series combination are AND gate.
38. (C) The NAND gate represent only a single input
Hence Y = ( A + B)( C + D)( E + F )
load in the LOW state. Hence only five loads in the
LOW state.
29. (A) When an output is HIGH, it may be as low as
load  5I IL  5  0.4  2 mA
VOH ( min ) = 2.4 V. The minimum voltage that an input will
respond to as a HIGH is V IH ( min ) = 2.0 V. A negative noise
spike that can drive the actual voltage below 2.0 V if its
amplitude is greater than *******
V NH  VOH ( min )  V IH ( min )  2.4  2.0  0.4 V

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
231
For E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com

CHAPTER

4.6
MICROPROCESSOR

HLT
1. After an arithmetic operation, the flag register of
DSPLY : XRA A
8085 P has the following contents OUT PORT1
HLT
D7 D6 D5 D4 D3 D2 D1 D0
The output at PORT1 is
1 0  1  0  1 (A) 00 (B) FEH
(C) 01H (D) 11H
The contents of accumulator after operation may be
(A) 75 (B) 6C 5. Consider the following 8085 assembly program
(C) DB (D) B6 MVI A, DATA1
MOV B, A
2. In an 8085 microprocessor, the instruction CMP B SUI 51H
JC DLT
has been executed while the contents of accumulator is MOV A, B
less than that of register B. As a result carry flag and SUI 82H
JC DSPLY
zero flag will be respectively DLT : XRA A
(A) set, reset (B) reset, set OUT PORT1
HLT
(C) reset, reset (D) set, set DSPLY : MOV A, B
OUT PORT2
3. Consider the following 8085 instruction HLT

MVI A, A9H This program will display


MVI B, 57H (A) the bytes from 51H to 82H at PORT2
ADD B
ORA A (B) 00H AT PORT1
The flag status (S, Z, CY) after the instruction (C) all byte at PORT1
ORA A is executed, is (D) the bytes from 52H to 81H at PORT 2
(A) (0, 1, 1) (B) (0, 1, 0)
(C) (1, 0, 0) (D) (1, 0, 1) 6. It is desired to mask is the high order bits ( D7  D4 ) of
the data bytes in register C. Consider the following set
4. Consider the following set of 8085 instructions of instruction

MVI A, 8EH (a) MOV A, C


ADI 73H ANI F0H
JC DSPLY MOV C, A
OUT PORT1 HLT
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
239
For E-books/Materials/Notes-PDFs|PPTs
UNIT 4 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Digital Electronics

(b) MOV A, C
(C) 8529H are complemented and stored at location
MVI B, F0H
ANA B 529H
MOV C, A
(D) 5829H are complemented and stored at location
HLT
85892H
(c) MOV A, C
MVI B, 0FH
10. Consider the sequence of 8085 instruction
ANA B
MOV C, A MVI A, 5EH
HLT ADI A2H
MOV C, A
(d) MOV A, C
HLT
ANI 0FH
MOV C, A
The initial contents of resistor and flag are as
HLT
follows
The instruction set, which execute the desired
operation are A C S Z CY
  0 0 0
(A) a and b (B) c and d
(C) only a (D) only d After execution of the instructions the contents of
register and flags are
7. Consider the following 8085 instruction
XRA A A C S Z CY
MVI B, 4AH (A) 10H 10H 0 0 1
SUI 4FH
(B) 10H 10H 1 0 0
ANA B
HLT (C) 00H 00H 1 1 0
(D) 00H 00H 0 1 1
The contents of register A and B are respectively
(A) 05, 4A (B) 4F, 00
11. It is desired to multiply the number 0AH by 0BH
(C) B1, 4A (D) None of the above and store the result in the accumulator. The numbers
are available in register B and C respectively. A part of
8. Consider the following 8085 assembly program :
the 8085 program for this purpose is given below :
MVI B, 89H
MOV A, B MVI A, 00H
MOV C, A LOOP : ---------------
MVI D, 37H ---------------
OUT PORT1 ---------------
HLT ---------------
---------------
The output at PORT1 is HLT
END
(A) 89 (B) 37
(C) 00 (D) None of the above The sequence of instruction to complete the
program would be
9. Consider the sequence of 8085 instruction given
(A) JNZ LOOP
below ADD B
DCR C
LXI H, 9258H
MOV A, M (B) ADD B
CMA JNZ LOOP
MOV M, A DCR C

By this sequence of instruction the contents of (C) DCR C


JNZ LOOP
memory location
ADD B
(A) 9258H are moved to the accumulator
(D) ADD B
(B) 9258H are compared with the contents of the DCR C
accumulator JNZ LOOP
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
240
ForMicroprocessor
E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 4.6

12. Consider the following assembly language program: (A) A7H (B) 98H
MVI B, 87H (C) 47H (D) None of the above
MOV A, B
START : JMP NEXT
15. The memory requirement for this program is
MVI B, 00H
XRA B (A) 20 Byte (B) 21 Byte
OUT PORT1
HLT (C) 23 Byte (D) 18 Byte
NEXT : XRA B
JP START1 16. The instruction, that does not clear the accumulator
OUT PORT2
HLT of 8085, is
(A) XRA A (B) ANI 00H
The execution of the above program in an 8085
(C) MVI A, 00H (D) None of the above
will result in
(A) an output of 87H at PORT1
17. The contents of some memory location of an 8085 P
(B) an output of 87H at PORT2 based system are shown
(C) infinite looping of the program execution with
accumulator data remaining at 00H Address Hex. Contents (Hex.)
(D) infinite looping of the program execution with 3000 02
accumulator data alternating between 00H and 87H.
3001 30

13. Consider the following 8085 program 3002 00

MVI A, DATA1 3003 30


ORA A,
JM DSPLY
OUT PORT1
CMA
DSPLY : ADI 01H
OUT PORT1 Fig. P4.6.17
HLT
The program is as follows
If DATA1 = A7H, the output at PORT1 is
LHLD 3000H
(A) 47H (B) 58H MOV E, M
INX H
(C) 00 (D) None of the above
MOV D, M
LDAX D
Statement for Q.1415: MOV L, A
INX D
Consider the following program of 8085 assembly LDAX D
language: MOV H, A

LXI H 4A02H The contents if HL pair after the execution of the


LDA 4A00H program will be
MOV B, A
LDA 4A01H (A) 0030 H (B) 3000 H
CMP B
(C) 3002 H (D) 0230H
JZ FNSH
JC GRT
MOV M, A 18. Consider the following loop
JMP FNSH
MOV M, B XRA A
FNSH : HLT LXI B, 0007H
LOOP : DCX B
JNZ LOOP
14. If the contents of memory location 4A00H, 4A01H
and 4A02H, are respectively A7H, 98H and 47H, then This loop will be executed
after the execution of program contents of memory (A) 1 times (B) 8 times
location 4A02H will be respectively (C) 7 times (D) infinite times
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
241
For E-books/Materials/Notes-PDFs|PPTs
UNIT 4 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Digital Electronics

19. Consider the following loop 24. Consider the following program
LXI H, 000AH MVI A, BYTE1
LOOP : DCX B RRC
MOV A, B RRC
ORA C
JNZ LOOP If BYTE1  32H, the contents of A after the
execution of program will be
This loop will be executed
(A) 08H (B) 8CH
(A) 1 time (B) 10 times
(C) 12H (D) None of the above
(C) 11 times (D) infinite times
25. Consider the following program

20. The contents of accumulator after the execution of MVI A, DATA


MVI B, 64H
following instruction will be MVI C, C8H
CMP B
MVI A, A7H JC RJCT
ORA A CMP C
RLC JNC RJCT
OUT PORT1
(A) CFH (B) 4FH HLT
RJCT : SUB A
(C) 4EH (D) CEH
OUT PORT1
HLT
21. The contents of accumulator after the execution of If the following sequence of byte is loaded in
following instructions will be accumulator,

MVI A, B7H
DATA (H) 58 64 73 B4 C8 FA
ORA A
RAL
then sequence of output will be
(A) 6EH (B) 6FH
(A) 00, 00, 73, B4, 00, FA
(C) EEH (D) EFH
(B) 58, 64, 00, 00, C8, FA
22. The contents of the accumulator after the execution (C) 58, 00, 00, 00, C8, FA
of the following program will be (D) 00, 64, 73, B4, 00, FA
MVI A, C5H
ORA A 26. Consider the following instruction to be executed by
RAL a 8085 p. The input port has an address of 01H and
(A) 45H (B) C5H has a data 05H to input:
(C) C4H (D) None of the above IN 01H
ANI 80H
23. Consider the following set of instruction After execution of the two instruction the contents
MVI A, BYTE1 of flag register are
RLC
MOV B, A (A) 1 0  1  1  0
RLC
RLC
ADD B (B) 0 1  0  1  0

If BYTE1 = 07H, then content of A, after the


(C) 0 1  1  1  0
execution of program will be
(A) 46H (B) 70H 0 1  1  0  0
(D)
(C) 38H (D) 68H

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
242
ForMicroprocessor
E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 4.6

ORA A ;Set flag


18. (A) The instruction XRA will set the Z flag. LXI and
JM DSPLY ;If negative jump to
;DSPLY DCX does not alter the flag. Hence this loop will be
OUT PORT1 ;A  PORT1 executed 1 times.
DSPLY : CMA ;Complement A
ADI 01H ;A+1  A
OUT PORT1 ;A  PORT1 19. (B) LXI B, 000AH ;00  C, 0AH  B
HLT LOOP : DCX B ; CB 1  B,
;flag not affected
This program displays the absolute value of DATA1. If MOV A, B ;B  A
DATA1 is negative, it determine the 2s complements ORA C ;A OR C  A, set flag
JNZ LOOP
and display at PORT1.
Hence this loop will be executed 0AH or ten times.
14. (A) LXI H, 4A02H ;Store destination address
;in HL pair 20. (B) MVI A, B7H ;B7H  A
LDA 4A00H ;Load A with contents of ORA A ;Set Flags, CY = 1
;memory location A00H RLC ;Rotate accumulator left
MOV B, A ;A  B The contents of bit D7 are placed in bit D0 .
LDA 4A01H ;Load A with contents of
;memory location 4A01H Accumulator
CMA B ;Compare A and B Before RLC 10100111
JZ FNSH ;Jump to FNSH if two
;number are equal After RLC 01001111
JC GRT ;If CY = 1, (A <B) jump
;to GRT 21. (A) RAL instruction rotate the accumulator left
MOV M, A ;Otherwise A  (4A02H)
JMP FNSH through carry.
GRT : MOV M, B D7  CY , CY  D0 , ORA reset the carry.
FNSH : HLT
Accumulator CY
This program find the larger of the two number stored
Before RAL 10110111 0
in location 4A00H and 4A01H and store it in memory
After RAL 01101110 1
location 4A002.
A7H > 98H Thus A7H will be stored at 4A02H. 22. (A) RRC instruction rotate the accumulator right
and D0 is placed in D7 .
15. (C) Operand R, M or implied : 1Byte instruction
Operand 8bit : 2Byte instruction MVI A, C5H ;C5H  A
ORA A ;Reset Carry flag
Operand 16bit : 3Byte instruction RAL ;Rotate A left through
3Byte instruction are: LXI, LDA, JZ, JC, JMP ;carry, A = 8AH
RRC ;Rotate A right, A = 45H
PByte instruction are : MOV, CMP, HLT
Hence memory  3  6  1  5  23 Byte. 23. (A) This program multiply BYTE1 by 10. Hence
content of A will be 46H.
16. (D) All instruction clear the accumulator 07H  0710 ,7  10  70, 7010  46H
XRA A ;A  A
ANI 00H ;A AND 00 24. (B) Contents of Accumulator A = 0011 0010
MVI A ;00  A
After First RRC = 0001 1001
17. (C) LHLD 3000H ;(3000A)  HL = 3002H After second RRC = 1000 1100
MOV E, M ;(3002H)  E = 00
INX H ;HL +1  HL = 3003H
MOV D, M ;M  D=(3003H) = 30H 25. (D) This program will display the number between
LDAX D ;(DE)  A=(3000H) = 02H 64H to C8H including 64H. C8H will not be displayed.
MOV L, A ;A  L = 02H
INX D ;DE +1  DE = 3001H Thus (D) is correct option.
LDAX D ;(DE)  A = (3001) = 30H
MOV H, A ;A  H = 30H
26. (C) 05H AND 80H =00
Hence HL pair contain 3002H.

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
245
For E-books/Materials/Notes-PDFs|PPTs
UNIT 4 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Digital Electronics

After the ANI instruction S, Z and P are modified to


reflect the result of operation. CY is reset and AC is set
. Thus,
S = 0, Z = 1, AC = 1, P =1, CY = 0

27. (B) ACI 56H ;A + 56H + CY  A


37H  56H  1 =8EH

28. (C) Instruction load the register pairs HL with


01FFH. SHLD instruction store the contents of L in the
memory location 2050H and content of H in the memory
location 2051H. Contents of HL are not altered.

29. (B) At a time 8085 can drive only a digit. In a second


each digit is refreshed 500 times. Thus time given to
1
each digit   0.4 ms.
(5  500)

30. (C) The stack pointer register SP point to the upper


memory location of stack. When data is pushed on
stack, it stores above this memory location.

31. (B) Line 5 push the content of HL register pair on


stack. The contents of L will go to 03FFH and contents
of H will go to 03FEH. Hence memory location 03FEH
contain 22H.

32. (C) Contents of register pair B lie on the top of stack


when POP H is executed, HL pair will be loaded with
the contents of register pair B.

33. (C) The instruction PUSH B store the contents of


BC at stack. The POP PSW instruction copy the
contents of BC in to PSW. The contents of register C
will be copied into flag register.
D0  1  carry flag, D6  0  zero flag.
Hence zero flag will be reset and carry will be set.

34. (A) MVI A DATA1 ;DATA1  A


ORA A ; Set flag
JP DSPLY ;If A is positive, then
;jump to DSPLY
XRA A ; Clear A
DSPLY OUT PORT1 ; A  PORT2
HLT
If DATA1 is positive, it will be displayed at port1
otherwise 00.

********************

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
246
For E-books/Materials/Notes-PDFs|PPTs
UNIT 5 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Signal & System

 e2 t, t  0 5 3 1 13 -4 t
30. y( t)  u( t) * h( t) , where h( t)   3t (A) sin t + cos t + e - t - e , t  0
34 34 6 61
e , t 0
5 3 13 -4 t 1 - t
1 5 1
(A) e 2 t u(  t  1) + - e 3t u( -t) (B) sin t + cos t - e + e , t  0
2 6 3 34 34 51 6
1 2t 5 1 3 5 13 -4 t 1 - t
(B) e u( -t - 1) + - e -3t u( -t) (C) sin t + cos t - e + e , t  0
2 6 3 34 34 51 6
1 2t 1 3 5 1 13 -4 t
(C) e + [5 - 3e 2 t - 2 e -3t ]u( t) (D) sin t + cos t + e -4 t - e , t  0
2 6 34 34 6 51
1 2t 1
(D) e + [5 - 3e 2 t - 2 e -3t ]u( -t) d 2 y ( t) dy ( t)
2 6 37. +6 + 8 y( t) = 2 x( t),
dt 2 dt
Statement for Q.31-34: dy( t)
y (0 - ) = -1, = 1, x( t) = e - t u( t)
dt 0 -
The impulse response of LTI system is given.
2 - t 5 -2 t 5 -4 t
Determine the step response. (A) e - e + e , t 0
3 2 6
31. h( t) = e - |t | (B)
2 5 -2 t 5 -4 t
+ e + e , t  0
3 2 6
(A) 2 + e t - e - t (B) e t u( -t + 1) + 2 - e - t
(C) 4 + 5( 3e -2 t + e -4 t ) , t  0
(C) e t u( -t + 1) + [2 - e - t ]u( t) (D) e t + [2 - e - t - e t ]u( t)
(D) 4 - 5( 3e -2 t + e -4 t ), t  0
32. h( t)  ( 2 ) ( t)
d 2 y( t) 3dx( t)
(A) 1 (B) u( t) 38. + y( t) = ,
dt 2 dt
(C) ( 3) ( t) (D) ( t) dy( t)
y (0 - ) = -1, = 1, x( t) = 2 te- t u( t)
dt 0 -
33. h( t)  u( t)  u( t  4)
(A) sin t + 4 cos t - 3te -3t + t, t  0
(A) tu( t) + (1 - t) u( t - 4) (B) tu( t) + (1 - t) u( t - 4)
(B) 4 sin t  cos t  3te  t , t  0
(C) 1 + t (D) (1 + t) u( t)
(C) sin t - 4 cos t + 3te -3t + t, t  0
34. h( t) = y( t) (D) 4 sin t + cos t - 3te - t , t  0
(A) u( t) (B) t
39. The raised cosine pulse x( t) is defined as
(C) 1 (D) tu( t)
 1  
 (cos t + 1) , - t
Statement for Q.35-38: x ( t)   2  
 0, otherwise
The system described by the differential equations
has been specified with initial condition. Determine the The total energy of x ( t) is
output of the system and choose correct option. 3 3
(A) (B)
4 8
dy( t)
35. + 10 y( t) = 2 x( t), y(0 - ) = 1, x( t) = u( t) 3 3
dx (C) (D)
 2
(A) 15 (1 + 4 e -10 t ) u( t) (B) 15 (1 + 4 e -10 t )
(C) - 15 (1 + 4 e -10 t ) u( t) (D) - 15 (1 + 4 e -10 t ) 40. The sinusoidal signal x( t) = 4 cos (200 t +  6) is
passed through a square law device defined by the
d 2 y( t) dy( t) dx( t)
36. 2
+5 + 4 y( t) = , input output relation y ( t)  x 2 ( t). The DC component in
dt dt dt
the signal is
dy( t)
y (0 - ) = 0, = 1, x( t) = sin t u( t) (A) 3.46 (B) 4
dt 0 -
(C) 2.83 (D) 8

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
252
ForContinuous-Time
E-books/Materials/Notes-PDFs|PPTs
Systems Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 5.1

41. The impulse response of a system is h( t)  ( t  0.5). 46. The y( t)  x( t) * h( t) is


If two such systems are cascaded, the impulse response y(t) y(t)

of the overall system will be


(A) 0.58( t  0.25) (B) ( t  0.25)
(C) ( t  1) (D) 0.5 ( t  1) a 1 1+a
t a 1-a 1
t

(A) (B)
42. Fig. P5.1.40 show the input x( t) to a LTI system and
y(t) y(t)
impulse response h( t) of the system.
x(t) h(t)

a t a t
1+a 1+a 1-a 1
2
t t
1 5 3 (C) (D)
Fig P5.1.42
47. If dy( t) dt contains only three discontinuities, the
The output of the system is zero every where
value of a is
except for the
(A) 1 (B) 2
(A) 0  t  5 (B) 0  t  8
(C) 1  t  5 (D) 1  t  8 (C) 3 (D) 0

43. Consider the impulse response of two LTI system 48. Consider the signal x( t) = ( t + 2) - ( t - 2).The value
t
S1 : h1 ( t)  e (1  2 j ) t u( t) of E for the signal y( t)   x( ) d is

t
S2 : h2 ( t)  e cos 2 t u( t)
(A) 4 (B) 2
The stable system is
(C) 1 (D) 
(A) S1 (B) S2
(C) Both S1 and S2 (D) None 49. The response of a system S to a complex input
x( t)  e j 5t is specified as y( t)  te j 5t . The system
44. The non-invertible system is
t
(A) is definitely LTI
(A) y( t)  x( t  4) (B) y( t)  

x( ) d (B) is definitely not LTI
dx( t) (C) may be LTI
(C) y( t)  (D) None of the above
dt
(D) information is insufficient

45. A continuous-time linear system with input x( t) and


50. The response of a system S to a complex input
output y( t) yields the following input-output pairs:
x( t)  e j8 t is specified as y( t)  cos 8 t. The system
x( t)  e j 2 t  y( t)  e j 5t (A) is definitely LTI
x( t)  e  j 2 t  y( t)  e  j 5t (B) is definitely not LTI
If x1 ( t)  cos (2 t  1), the corresponding y1 ( t) is (C) may be LTI
(A) cos (5 t  1) (B) e j cos (5 t  1) (D) information is insufficient.
(C) cos 5( t  1) (D) e j cos (5 t  1)
51. The auto-correlation of the signal x( t)  e  t u( t) is
Statement for Q.4647:
1 t 1 et 1 - t
Suppose that (A) e u( -t) + e - t u( t) (B) + ( e - e t ) u( t)
2 2 2 2
 1, 0  t 1 1 -t 1 1 t 1
x( t)   and (C) e u( -t) + e - t u( t) (D) e u( -t) - e - t u( t)
 0, elsewhere 2 2 2 2

t
h( t)  x , where 0  a  1.
a *********************
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
253
For E-books/Materials/Notes-PDFs|PPTs
UNIT 5 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Signal & System

11. (C)
SOLUTIONS x(10t) x(10t-5)

1
1

2  t t
-0.5 -0.4 0.4 0.5
1. (A)  60   T 0.1 0.9 1
T 30 Fig S5.1.11

2 2
2 2 12. (D) Multiplication by 5 will bring contraction on
2. (C) T1  s, T2  s, LCM ,   2
5 7 5 7  time scale. It may be checked by x(5 ! 0.8)  x( 4).

3. (D) Not periodic because of t. 13. (A) Division by 5 will bring expansion on time scale.

20
It may be checked by y( t)  x   x( 4).
4. (D) Not periodic because least common multiple is 5 
infinite.
 1, for  5  t   4

5. (C) y( t) is not periodic although sin t and 6 cos 2 t are 14. (C) y( t)   1, for 4  t 5
 0, otherwise
independently periodic. The fundamental frequency 
-4 5
cant be determined.
E =  (1) 2 dt +  ( -1) 2 dt = 2
-5 4
6. (C) This is energy signal because
   5 4 5
1 15. (D) E  2  x 2 ( t) dt = 2  (1)1 dt + 2  (5 - t) 2 dt
  x ( t)dt    e u( t) dt   e dt 
4 t 4 t
E  
  0 4 0 0 4

2 26
 =8+ =
3 3
7. (A)  x( t)  1, E    x( t) dt
2



So this is a power signal not a energy. 16. (B) Let x1 ( t) = v( t) then y1 ( t) = u{v( t)}

1
T
Let x2 ( t) = kv( t) then y2 ( t) = u{kv( t)} ky1 ( t)
  x( t) dt
2
P  lim 1
T  2T
T (Not homogeneous not linear)
y1 ( t) = u{v( t)},
8. (D) v( t) is sum of 3 unit step signal starting from, 1, 2,
y2 ( t) = u{v( t - to)} = y1 ( t - to) (Time invariant)
and 3, all signal ends at 4. The response at any time depends only on the
excitation at time t = to and not on any future value.
9. (A) The function 1 does not describe the given pulse.
(Causal)
It can be shown as follows :

u(a-t) u(t-b) u(a-t) - u(t-b)


17. (C) y1 ( t) = v( t - 5) - v( 3 - t)
y2 ( t) = kv( t - 5) - kv( 3 - t) = ky1 ( t) (Homogeneous)
t t t Let x1 ( t) = v( t) then y1 ( t) = v( t - 5) - v( 3 - t)
a b
Let x2 ( t) = 2 w( t) then y2 ( t) = w( t - 5) - w( 3 - t)
Fig S5.1.3.9
Let x3( t) = x( t) + w( t)
10. (B) Then y3( t) = v( t - 5) + w( t - 5) - v( 3 - t) - w( 3 - t)
r(t-4) r(t-6) r(t-4) - r(t-6)
= y1 ( t) + y2 ( t) (Additive)
Since it is both homogeneous and additive, it is also
2 2 2
linear.
t t t y1 ( t) = v( t - 5) - v( 3 - t)
4 6 8 4 6 8 4 6 8

Fig S5.1.10 y2 ( t) = v( t - to - 5) - v( 3 - t + to) = y1 ( t - to)


(Time invariant)
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
254
ForContinuous-Time
E-books/Materials/Notes-PDFs|PPTs
Systems Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 5.1

At time, t  0, y(0)  x( 5)  x( 3). Therefore the 21. (C) All option are linear. So it is not required
response at time, t  0 depends on the excitation at a to check linearity.
later time t  3. (Not causal) d
Let x1 ( t)  v( t) then t y1 ( t)  8 y1 ( t)  v( t)
If x( t) is bounded then x( t  5) and x( 3  t) are bounded dt
and so is y( t). (Stable) d
Let x2 ( t)  v( t  to) then t y2 ( t)  8 y2 ( t)  v( t  to)
dt

t
t The first equation can be written as
18. (D) y1 ( t)  v  , y2 ( t)  kv   ky1 ( t)
2  2  d
( t  to) y( t  to)  8 y( t  to)  v( t  to)
(Homogeneous) dt

x3 = v( t) + w( t) then This equation is not satisfied if y2 ( t)  y1 ( t  to) therefore



t
t y2 ( t) $ y1 ( t  to) (Time Variant)
y3( t) = v  + w  = y1 ( y) + y2 ( t) (Additive)
2  2  The system can be written as
t t
Since it is both homogeneous and additive, it is also x( ) y( )
y( t)   
d  8 
 
d
linear 


t
t
t  to So the response at any time, t  to depends on the
y1 ( t) = v  , y2  to  $ y( t  to)  v 

2 2  2  excitation at t  to , and not on any future values.
(Time variant) (Causal)
At time t  2, y( 2)  x( 1), therefore, the response at The Homogeneous solution to the differential equation
time t  2, depends on the excitation at a later time, is of the form y( t)  kt8 . If there is no excitation but the
t  1. (Not causal) zero excitation, response is not zero. The response will
It x( t) is bounded then y( t) is bounded. (Stable) increases without bound as time increases.
(Unstable)
19. (C) y1 ( t)  cos 2 t v( t)
t 3
y2 ( t) k cos 2 t v( t)  ky1 ( t) (Homogeneous) 22. (C) y1 ( t)   v( d
x3( t)  v( t)  w( t) 
t 3 t 3
y3( t)  cos 2 t [ v( t)  w( t)]  y1 ( t)  y2 ( t) (Additive) y2 ( t)   kv( d  k  v( d  ky1 ( t)
 
(Homogeneous)
Since it is both homogeneous and additive. It is also
linear. x3( t)  v( t)  w( t)
t 3 t 3 t 3
y1 ( t)  cos 2 t v( t) y3( t)   [ v( )  w( )]d   v( )d   w( )d
y2 ( t)  cos 2 t ( t  to) $ y( t  to)   

 cos [2( t  to)]v( t  to) (Time Variant)  y1 (t)  y2 (t) (Additive)


The response at any time t  to depends only on the
excitation at that time and not on the excitation at any Since it is Homogeneous and additive, it is also linear.
t 3
later time. (Causal)
y1 ( t)   v( d
If x( t) is bounded then y( t) is bounded. (Stable) 

t 3 t  to  3

20. (C) y1 ( t)  v( t), y2 ( t)  kv( t)  k y1 ( t) y2 ( t)   v(  to d 



 v( d  y (t  t )

1 o

If k is negative k y1 ( t) $ ky1 ( t) (Time invariant)


(Not Homogeneous Not linear). The response at any time, t  to , depends partially on
y1 ( t)  v( t), y2 ( t)   y( t  to)  y1 ( t  to) the excitation at time to to  t  ( to  3) which are in
(Time Invariant) future. (Not causal)
t 3 t 3
The response at any time t  to depends only on the
excitation at that time and not on the excitation at any
If x( t) is a constant k, then y ( t)   kd  k  d and as
 

later time. (Causal) t  , y ( t) increases without bound. (unstable)


If x( t) is bounded then y( t) is bounded. (Stable)
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
255
For E-books/Materials/Notes-PDFs|PPTs
UNIT 5 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Signal & System

11. x[ n  2 ] y[ n  2 ]  n  n  n
14. x[ n]  cos   sin   3 cos  
x[n]  2
 8
 4 3

3
(A) periodic with period 16
2
(A) (B) periodic with period 4
1
n (C) periodic with period 2
-6 -5 -4 -3 -2 -1 0 1 2 3 4 5 6
(D) Not periodic
x[n]
3 n
j  
6
15. x[ n]  2 e

2
(B)
1
(A) periodic with  (B) periodic with 12
n
-6 -5 -4 -3 -2 -1 0 1 2 3 4 5 6 (C) periodic with  (D) Not periodic

16. The sinusoidal signal has fundamental period


-6 -5 -4 -3 -2 -1 1 2 3 4 5 6
n N  10 samples. The smallest angular frequency, for
-1 which x[ n] is periodic, is
(C) -2 1
(A) rad/cycle (B) 10 rad/cycle
-3 10

(C) 5 rad/cycle (D) rad/cycle
5
-6 -5 -4 -3 -2 -1 1 2 3 4 5 6
n
17. Let x[ n],  5  n  3 and h[ n], 2  n  6 be two finite
-1
(D) duration signals. The range of their convolution is
-2
(A) 7  n  9 (B) 3  n  9
-3
(C) 2  n  3 (D) 5  n  6
Statement for Q.1215:

A discrete-time signal is given. Determine the Statement for Q.1826:


period of signal and choose correct option. x[ n] and h[ n] are given in the question. Compute
the convolution y[ n]  x[ n] * h[ n] and choose correct
n  n 1 option.
12. x[ n]  cos  sin  
9  7 2

18. x[ n]  {1, 2, 4}, h[ n]  {1, 1, 1, 1, 1}


(A) periodic with period N  126
(A) {1, 3, 7, 7, 7, 6, 4}
(B) periodic with period N  32
(B) {1, 3, 3, 7, 7, 6, 4}
(C) periodic with period N  252
(C) {1, 2, 4}
(D) Not periodic (D) {1, 3, 7}

 n  n 19. x[ n]  {1, 2, 3, 4, 5}, h [ n]  {1}


13. x[ n]  cos  cos 
8
 8
(A) {1, 3, 6, 10, 15} (B) {1, 2, 3, 4, 5}
(A) Periodic with period 16 (C) {1, 4, 9, 16, 20} (D) {1, 4, 6, 8, 10}

(B) periodic with period 16(  1) 20. x[ n]  {1, 2, 1}, h [ n]  x [ n]


(C) periodic with period 8 (A) {1, 4, 1} (B) {1, 4, 2, 4, 1}
(D) Not periodic (C) {1, 2, 1} (D) {2, 4, 2}

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
260
ForDiscrete-Time
E-books/Materials/Notes-PDFs|PPTs
Systems Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 5.2

21. x[ n]  {1, 2, 3}, h [ n]  {0, 0, 1, 1, 1, 1} 25. x[ n]  {1, 4, 3, 6, 4}, h[ n]  {2, 4, 3}
   

(A) {1, 2, 4, 1, 1, 1} (A) {2, 4, 19, 36, 25, 2, 12}


 

(B) {0, 0, 3} (B) {4, 19, 36, 25}


 

(C) {0, 0, 3, 1, 1, 1, 1} (C) {1, 4, 3, 6, 4}


 

(D) {0, 0, 1, 1, 2, 2, 1, 3} (D) {1, 4, 3, 6, 4}


 

22. x[ n]  {0, 0, 1, 1, 1, 1}, h[ n]  {1, 2, 3}  1, n  2, 0, 1



  26. x[ n]   2, n  1
0 elsewhere

(A) {0, 0, 1, 1, 2, 2, 1, 3}
 h (n)  [ n ]  [ n  1]  [ n  4]
(A) [ n ]  2 [ n  1 ]  4 [ n  4 ]  [ n  5 ]
(B) {0, 0, 1, 1, 2, 2, 1, 3}
(B) [ n  2 ]  [ n  1 ]  [ n ]  2 [ n  3 ]  [ n  4 ]  [ n  5 ]

(C) [ n  2 ]  [ n  1 ]  [ n ]  2 [ n  3 ]  [ n  4 ]  2 [ n  5 ]
(C) {1, 2, 3, 1, 1, 2, 1, 1}
(D) [ n ]  2 [ n  1 ]  4 [ n  5 ]  [ n  5 ]


(D) {1, 2, 3, 1, 1, 1, 1} Statement for Q.2730:



In question y[ n] is the convolution of two signal.
Choose correct option for y[ n].
23. x[ n]  {1, 1, 0, 1, 1}, h[ n]  {1, 2,  3, 4}
 
27. y[ n]  ( 1) n * 2 n u[2 n  2 ]
(A) {1, 1,  2, 4, 1, 1} 4 4
(A) (B) u[ n  2 ]
 6 6

(B) {1, 1,  2, 4, 1, 1} 8 8


(C) ( 1) n u[ n  2 ] (D) ( 1) n
 3 3

(C) {1, 1,  5, 2, 3, 5, 1, 4} 1


28. y[ n]  u[ n] * u[ n  2 ]
 4n
1 1  1 12
(D) {1, 1,  5, 2, 3, 5, 1, 4} (A)   n u[ n] (B)   n u[ n  2 ]
 3 4
3 4

 4 1  1 n  16 1
(C)   u[ n  2 ]  n u[ n  2 ]
 3 12  4

24. x[ n]  {1, 2, 0, 2, 1}, h[ n]  x[ n] (D) 

 3 4

(A) {1, 4, 4, 4, 10, 4, 4, 4, 1} 29. y[ n]  3n u[ n  3] * u[ n  2 ]


  3n
 , n 5  3n , n 5

(B) {1, 4, 4, 4, 10, 4, 4, 4, 1} (A)  2 (B)  83
  83 ,  2 , n6
n6
 2
(C) {1, 4, 4, 10, 4, 4, 4, 1}
 3n  3n
  , n 5  , n 5
(C)  2 (D)  6
(D) {1, 4, 4, 10, 4, 4, 4, 1}  81 , n6  81 , n6
  2  2
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
261
For E-books/Materials/Notes-PDFs|PPTs
UNIT 5 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Signal & System

30. y[ n]  u[ n  3] * u[ n  3] 37. x[ n] as shown in fig. P5.2.37


(A) ( n  1) u[ n] (B) nu[ n]
5
(C) ( n  1) u[ n] (D) u[ n]
+
x[n] 10 y[n]
31. The convolution of x[ n]  cos ( 2 n) u[ n] and
Fig. P5.2.37
h[ n]  u[ n  1] is f [ n]u[ n  1]. The function f [ n] is
 1, n  4 m  1, 4 m  2
(A)  (A) P, Q, R, S (B) Q, R, S
 0, n  4 m, 4 m  3
(C) P, R, S (D) P, Q, S
 0, n  4 m  1, 4 m  2
(B) 
 1, n  4 m, 4 m  3 38. x[ n] as shown in fig. P5.2.38

 1, n  4 m  1, 4 m  3 +
(C)  x[n] y[n]
 0, n  4 m, 4 m  2 +

 0, n  4 m  1, 4 m  3
(D)  Fig. P5.2.38
 1, n  4 m, 4 m  2
(A) P, Q, R, S (B) P, Q, R
Statement for Q.3238: (C) P, Q (D) Q, R, S
Let P be linearity, Q be time invariance, R be
Statement for Q.3941:
causality and S be stability. In question discrete time
input x[ n] and output y[ n] relationship has been given. In Two discrete time systems S1 and S2 are
the option properties of system has been given. Choose connected in cascade to form a new system as shown in
the option which match the properties for system. fig. P5.2.3941.

32. y[ n]  rect ( x[ n])


x[n] S2 S1 y[n]
(A) P, Q, R (B) Q, R, S
(C) R, S, P (D) S , P, Q Fig. P5.2.3941.

33. y[ n]  nx[ n] 39. Consider the following statements


(A) P, Q, R, S (B) Q, R, S (a) If S1 and S2 are linear, the S is linear
(C) P, R (D) Q, S (b) If S1 and S2 are nonlinear, then S is nonlinear
(c) If S1 and S2 are causal, then S is causal
n 1
34. y[ n]  
m  
u[ m ] (d) If S1 and S2 are time invariant, then S is time
invariant
(A) P, Q, R, S (B) R, S
True statements are :
(C) P, Q (D) Q, R
(A) a, b, c (B) b, c, d
35. y[ n]  x[ n] (C) a, c, d (D) All
(A) Q, R, S (B) R, S, P
40. Consider the following statements
(C) S, P, Q (D) P, Q, R
(a) If S1 and S2 are linear and time invariant, then
interchanging their order does not change the system.
36. x[ n] as shown in fig. P5.2.36
(b) If S1 and S2 are linear and time varying, then
x[n] 2 y[n]
interchanging their order does not change the system.
True statement are
Fig. P5.2.36
(A) Both a and b (B) Only a
(A) P, Q, R, S (B) Q, R, S
(C) Only b (D) None
(C) P, Q (D) R, S

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
262
ForDiscrete-Time
E-books/Materials/Notes-PDFs|PPTs
Systems Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 5.2

41. Consider the statement (D) Above all


(a) If S1 and S2 are noncausal, the S is non causal
45. The system shown in fig. P5.2.45 is
(b) If S1 and/or S2 are unstable, the S is unstable.
+ y[n]
x[n] 1 D D y[n-2]
True statement are : 4
+
(A) Both a and b (B) Only a 1
+ 4
(C) Only b (D) None +
-1
2
42. The following input output pairs have been
Fig. P5.2.45
observed during the operation of a time invariant
system : (A) Stable and causal
(B) Stable but not causal
x1 [ n]  {1, 0, 2} 
S
 y1 [ n]  {0, 1, 2}
  (C) Causal but unstable

x2 [ n]  {0, 0, 3}  S
y2 [ n]  {0, 1, 0, 2} (D) unstable and not causal
 
46. The impulse response of a LTI system is given as
x3[ n]  {0, 0, 0, 1}  S
y3[ n]  {1, 2, 1}
n
   1
h[ n]    u[ n].
 2

The conclusion regarding the linearity of the


The step response is
system is
n 1
1  1 
n
(A) System is linear  1 u[ n]  1 u[ n]
(A) 2  (B) 2 
3   2
3   2

(B) System is not linear

n 1
1  1 
n
(C) One more observation is required.  1 u[ n]  1 u[ n]
(C) 2   (D) 2  
(D) Conclusion cannot be drawn from observation. 3   2


3   2

43. The following input output pair have been observed 47. The difference equation representation for a system
during the operation of a linear system: is
x1 [ n]  { 1, 2, 1} S
y1 [ n]  {1, 2,  1, 0, 1} 1
y[ n]  y[ n  1]  2 x[ n], y [ 1]  3
  2
x2 [ n]  {1,  1,  1} 
S
 y2 [ n]  {  1, 1, 0, 2} The natural response of system is
  3 1
n
2 1
n

(A)   u[ n] (B)   u[ n]
x3[ n]  {0, 1, 1} 
S
 y3[ n]  {1, 2, 1} 2 2
3 2

  3 1
n
21
n

(C)  u[ n] (D)  u[ n]
The conclusion regarding the time invariance of 2 2
32

the system is
48. The difference equation representation for a system is
(A) System is time-invariant
(B) System is time variant y[ n]  2 y[ n  1]  y[ n  2 ]  x[ n]  x[ n  1]

(C) One more observation is required If y[ n]  0 for n  0 and x[ n]  [ n], then y[2 ] will
be
(D) Conclusion cannot be drawn from observation
(A) 2 (B) 2
44. The stable system is (C) 1 (D) 0
(A) y[ n]  x[ n]  11
. y[ n  1]
1 49. Consider a discrete-time system S whose response
(B) y[ n]  x[ n]  ( y[ n  1]  y[ n  2 ])
2 to a complex exponential input e j n 2
is specified as

(C) y[ n]  x[ n]  (15
. y[ n  1]  0.4 y[ n  2 ]) S : e j n 2
 e j 3n 2

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
263
For E-books/Materials/Notes-PDFs|PPTs
UNIT 5 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Signal & System

24. (B) y[ n]  {1, 4, 4, 10, 4, 4, 4, 1} 28. (C) For n  2  0 or n   2, y [ n]  0


 n2
1 4 1 1
for n  2 0 or n   2, y[ n]  4k
k0
 
3 12 4 n
,

 4 1  1 n
1 2 0 2 1
 y[ n]    u[ n  2 ]
 3 12  4

1 1 2 0 2 1 

2 2 4 0 4 2 n 2
3n
29. (D) For n  2  3 or n  5 , y[ n]   3k 
k 6
0 0 0 0 0 0
3
81
2 2 4 0 4 2
for n  2  4 or n  6, y[ n]  3
k  
k

2
,

 3n
1 1 2 0 2 1
 , n 5
 y[ n]   6
Fig. S5.2.24
 81 , n6
 2
25. (A) y[ n]  {2, 4, 19, 36, 25, 2, 12}
 30. (A) For n  3   3 or n  0, y[ n]  0
n 3

1 4 -3 6 4
for n  3   3 or n ' 0, y[ n]   1  n  1,
k  3

2 2 8 -6 12 8 y[ n]  ( n  1) u[ n]

-4 -4 -16 12 -24 -16


31. (A) For n  1  0 or n 1 , y[ n]  0
3 3 12 -9 18 12 
n 1
For n 1  0 or n  1, y[ n]   cos  k
k0 2

Fig. S5.2.25
 1, n  4 m  1, 4 m  2
 y[ n]  
26. (B) x[ n]  {1, 2, 1, 1}, h[ n]  {1, 1, 0, 0, 1}  0, n  4 m, 4 m  3
 
32. (B) y1 [ n]  rect ( v[ n]) , y2 [ n]  rect ( kv[ n])

1 2 1 1 y2 [ n] ( k y1 [ n] (Not Homogeneous not linear)


1 1 2 1 -1 y1 [ n]  rect ( v[ n]), y2 [ n]  rect ( v[ n  no ])
y1 [ n  no ]  rect ( v[ n  no ])  y2 [ n] (Time Invariant)
-1 -1 -2 -1 -1
At any discrete time n  no , the response depends only
0 0 0 0 0 on the excitation at that discrete time. (Causal)
No matter what values the excitation may have the
0 0 0 0 0
response can only have the values zero or one.
1 1 2 1 1 (Stable)
Fig. S5.2.26
33. (C) y1 [ n]  nv[ n] , y2 [ n]  nkv[ n]
y[ n]  {1, 1, 1, 0, 0, 2, 1, 1}
 ky1 [ n]  y2 [ n] (Homogeneous)
Let x1 [ n]  v[ n] then y1 [ n]  nv[ n]
y[ n ]  [ n  2 ]  [ n  1 ]  [ n ]  2 [ n  3 ]  [ n  4 ]  [ n  5 ]
Let x2 [ n]  w[ n] then y2 [ n]  nw[ n]
k Let x3[ n]  v[ n]  w[ n] then
 1
27. (D) y[ n]   ( 1)
kn 2
k
2n k  2n 
kn 2

 2
y3[ n]  n( v[ n]  w[ n])  nv[ n]  nw[ n]
n 2  y1 [ n]  y2 [ n] (Additive)
 1
2n   Since the system is homogeneous and additive, it is also
 2
8
  ( 1) n
1 3 linear.
1
2 y1 [ n  no ]  ( n  no) v[ n  no ] ( yn [ n]  nv[ n  no ]
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
266
ForDiscrete-Time
E-books/Materials/Notes-PDFs|PPTs
Systems Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 5.2

(Time variant) If the excitation is bounded, the response is bounded.


At any discrete time, n  no the response depends only (Stable).
on the excitation at that same time. (Causal)
If the excitation is a constant, the response is 37. (B) y1 [ n]  10 v[ n]  5, y2 [ n]  10 kv[ n]  5

unbounded as n approaches infinity. (Unstable) y2 [ n] ( ky1 [ n] (Not Homogeneous so not linear)


y1 [ n]  10 v[ n]  5, y2 [ n]  10 v[ n  no ]  5
n 1 n 1
34. (C) y1 [ n]   v[ m ] ,
m  
y2 [ n ]   kv[ m ]
m  
y1 [ n  no ]  10 v[ n  no ]  5,  y2 [ n] (Time Invariant)
At any discrete time, n  no the response depends only
y2 [ n]  ky1 [ n] (Homogeneous) on the excitation at that discrete time and not on any
n 1 n 1
y1 [ n]  
n  
v[ m ], y2 [ n]   w[ m ]
n  
future excitation. (Causal)

n 1
If the excitation is bounded, the response is bounded.
y3[ n]   ( v[ n]  w[ m ])
m  
(Stable).
n 1 n 1
  v[ m ]   w[ n]  y [ n]  y [ n]
m   m  
1 2 (Additive) 38. (B) y[ n]  x[ n]  y[ n  1], y[ n  1]  x[ n  1]  y[ n  2 ]
y[ n]  x[ n]  x[ n  1]  y[ n  2 ], Then by induction
Since the system is homogeneous and additive it is also

linear y[ n]  x[ n  1]  x[ n  2 ]  K x[ n  k]  K   x[ n  k]
k0
n 1 n 1
y1 [ n]   v[ n] , y2 [ n] 
m  
 v[ m  no ]
m  
Let m  n  k then y[ n] 


 x[ m ]   x[ m ]
n

m n m  
n no 1 n 1
y1 [ n  no ]   v[ m ] 
m  
 v[ q  no ]  y2 [ n]
q  
y1 [ n] 
n

 v[ m ] , y2 [ n ] 
n

 kv[ m ]  ky [ n] 1
m   m  

(Time Invariant) (Homogeneous)


At any discrete time, n  no , the response depends on n n

the excitation at the next discrete time in future.


y3[ n]   $v[ m ]  w[ m ]%   v[ m ]   w[ m ]
m   m   m  

(Anti causal)  y1 [ n]  y2 [ n] (Additive)


If the excitation is a constant, the response increases System is Linear.
n
without bound. (Unstable)
y1 [ n]   v[ m ]
m  
, y2   v[ n  n ]
m  
o

35. (A) y1 [ n]  v[ n] , y2  kv[ n]  k v[ n] y1 [ n] can be written as


n no
ky1 [ n]  k v[ n] ( y2 [ n] (Not Homogeneous Not linear) n
y1 [ n  no ]   v[ m ]   v[ q  n ]  y [ n] o 2
y1 [ n]  v[ n] , y2 [ n]  v[ n  no ] m   q  

(Time Invariant)
y1 [ n  no ]  v[ n  no ]  y2 [ n] (Time Invariant)
At any discrete time n  no the response depends only
At any discrete time n  no , the response depends only
on the excitation at that discrete time and previous
on the excitation at that time (Causal)
discrete time. (Causal)
If the excitation is bounded, the response is bounded.
If the excitation is constant, the response increase
(Stable).
without bound. (Unstable)
36. (B) y[ n]  2 x [ n] 2

39. (C) Only statement (b) is false. For example


Let x1 [ n]  v[ n] then y1 [ n]  2 v 2 [ n] S1 : y[ n]  x[ n]  b, and S2 : y[ n]  x[ n]  b , where b ( 0
Let x2 [ n]  kv[ n] then y2 [ n]  2 k2 v 2 [ n] S{x[ n]}  S2 {S1 {x[ n]}}  S2 {x[ n]  b}  x[ n]
ky[ n] ( y2 [ n] (Not homogeneous Not linear) Hence S is linear.
Let x1 [ n]  v[ n] then y1 [ n]  2 v 2 [ n]
Let x2 [ n]  v[ n  no ] then y2 [ n]  2 v 2 [ n  no ] 40. (B) For example
y1 [ n  no ]  2 v[ n  no ]  y2 [ n] (Time invariant) S1 : y[ n]  nx[ n] and S2 : y[ n]  nx[ n  1]
At any discrete time, n  no , the response depends only If x[ n]  [ n] then S2 {S1 {[ n]}}  S2 [0 ]  0,
on the excitation at that time. (Causal)
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
267
For E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com

CHAPTER

5.3
THE LAPLACE TRANSFORM

Statement for Q.1-12: 6. x( t)  u( t)  u( t  2)

Determine the Laplace transform of given signal. e 2 s  1 1  e 2 s


(A) (B)
s s
1. x( t)  u( t  2) 2 2
(C) (D)
e 2 s
e 2 s s s
(A) (B)
s s
d
e 2 s 7. x( t)  { te  t u( t)}
(C) (D) 0 dt
1 s 1 s
(A) (B)
s( s  1) 2 ( s  1) 2
2. x( t)  u( t  2)
1 1 e s e s
(A) (B)  (C) (D)
s s s1 ( s  1) 2

e 2 s e  2 s
(C) (D)
s s 8. x( t)  tu( t) * cos 2t u( t)
1 2
(A) (B)
3. x( t)  e 2 t u( t  1) s( s 2  4 2 ) s 2 ( s 2  4 2 )
1 e s
(A) (B) 1 s3
s2 s2 (C) (D)
s ( s  4 2 )
2 2
s  4 2
2

e ( s  2 ) e  s
(C) (D)
s2 s2
9. x( t)  t 3u( t)
4. x( t)  e 2 t u( t  2) 3 3
(A) (B)
2 (s  2 ) 2 s s4 s4
e 1 e
(A) (B)
s 2 s2 6 6
(C) (D) 
1e 2 ( s  2 )
e 2 s s4 s4
(C) (D)
s 2 s 2
10. x( t)  u( t  1) * e 2 t u( t  1)
5. x( t)  sin 5 t
e 2 ( s  1 ) e 2 ( s  1 )
5 s (A) (B)
(A) 2 (B) 2 2s  1 s1
s 5 s 5
5 s e ( s  2 ) e 2 ( s  1 )
(C) 2 (D) 2 (C) (D)
s  25 s  25 s2 s2
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
269
For E-books/Materials/Notes-PDFs|PPTs
UNIT 5 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Signal & System

t
s2  3
11. x( t)   e 3 cos 2  d 17. X ( s) 
0
( s  2)( s 2  2 s  1)

( s  3) ( s  3) (A) ( e 2 t  2 te  t ) u( t) (B) ( e 2 t  2 te  t ) u( t)
(A) (B)
s(( s  3) 2  4) s(( s  3) 2  4) (C) ( e  t  2 te 2 t ) u( t) (D) ( e  t  2 te 2 t ) u( t)
s( s  3) s( s  3)
(C) (D) 3s  2
( s  3) 2  4 ( s  3) 2  4 18. X ( s) 
s  2 s  10
2

t 1
d t (A) 3e cos 3t  e t sin 3t u( t)
12. x( t)  t { e cos t u( t)}
3
dt
1
( s 2  4 s  2) ( s 2  4 s  2) (B) 3e t sin 3t  e t cos 3t u( t)
(A) 2 (B) 2
3
( s  2 s  2) 2 ( s  2 s  2) 2
(C) ( 3e  t cos 3t  e  t sin 3t) u( t)
( s  2 s  2)
2
( s  2 s  2)
2
(C) (D) (D) ( 3e  t sin 3t  3e t cos 3t) u( t)
( s 2  4 s  2) 2 ( s 2  4 s  2) 2
4 s 2  8 s  10
19. X ( s) 
Statement for Q.1324: ( s  2)( s 2  2 s  5)

Determine the time signal x( t) corresponding to (A) (2 e 2 t  2 e  t sin 2 t  2 e  t cos 2 t) u( t)


given X ( s) and choose correct option. (B) (2 e 2 t  2 e  t cos 2 t  2 e  t sin 2 t) u( t)
(C) (2 e 2 t  2 e  t cos 2 t  e  t sin 2 t) u( t)
s3
13. X ( s)  2 (D) (2 e 2 t  2 e  t sin 2 t  e  t cos 2 t) u( t)
s  3s  2

(A) (2 e 2 t  e  t ) u( t) (B) (2 e  t  e 2 t ) u( t) 3s 2  10 s  10
20. X ( s) 
( s  2)( s 2  6 s  10)
(C) (2 e 2 t  e  t ) u( t) (D) (2 e  t  e 2 t ) u( t)
(A) ( e 2 t  2 e 3t cos t  2 e 3t sin t) u( t)
2 s 2  10 s  11 (B) ( e 2 t  2 e 3t cos t  6 e 3t sin t) u( t)
14. X ( s) 
s2  5 s  6 (C) ( e 2 t  2 e 3t cos t  2 e 3t sin t) u( t)
(A) 2 ( t)  ( e 3t  e2 t ) u( t) (D) (9 e 2 t  6 e 3t cos t  3e 3t sin t) u( t)
(B) 2 ( t)  ( e 2 t  e3t ) u( t)
2 s 2  11s  16  e 2 s
2 t 3t 21. X ( s) 
(C) 2 ( t)  ( e  e ) u( t) ( s 2  5 s  6)
2 t
(D) 2 ( t)  ( e  e 3t ) u( t) (A) 2 ( t)  ( 3e 2 t  2 e3t ) u( t  2)
(B) 2 ( t)  (2 e 2 t  e 3t  e 2 ( t  2 )  e 3( t  2 ) ) u( t)
2s  1
15. X ( s)  (C) 2 ( t)  (2 e 2 t  e 3t ) u( t)  ( e 2 t  e 3t ) u( t  2)
s2  2 s  1
(D) 2 ( t)  (2 e 2 t  e 3t ) u( t)  ( e 2 ( t  2 )  e 3( t  2 ) ) u( t  2)
t t
(A) ( 3e  2 te ) u( t)
(B) ( 3e t  2 te t ) u( t) d2 1 1
22. X ( s)  s 2  
ds 2
s  9 s  3
(C) (2 e t  3te t ) u( t)
(D) (2 e t  3te t ) u( t) 2t t2
(A) e 3t  sin 3t  cos 3t u( t)

3 9
5s  4
16. X ( s)  (B) ( e 3t  2 t sin 3t  t 2 cos 3t) u( t)
s 3  3s 2  2 s
2t
(C) e 3t  sin 3t  t 2 cos 3t u( t)
(A) (2  e  t  3e 2 t ) u( t)
3
(B) (2  e  t  3e 2 t ) u( t) (D) ( e 3t  t 2 sin 3t  2 t cos 3t) u( t)
(C) ( 3  e  t  3e 2 t ) u( t)
(D) ( 3  e  t  3e 2 t ) u( t)
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
270
ForThe
E-books/Materials/Notes-PDFs|PPTs
Laplace Transform Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 5.3

1 Statement for Q.3033:


23. X ( s) 
(2 s  1) 2  4
Given the transform pair
0 .5t 1
(A) e sin t u( t) (B) e  t sin t u( t) 2s
2 x( t) u( t) 
L
 .
s2  2
1 0 .5t
(C) e sin t u( t) (D) e t sin t u( t)
4 Determine the Laplace transform Y ( s) of the given
time signal in question and choose correct option.
2 s d 1
24. X ( s)  e 
ds
( s  1) 2 30. y( t)  x( t  2)
(A)  te  t u(1  t) (B) te  t u( t  1) 2 se 2 s 2 se 2 s
(A) (B)
(C) ( t  2) 2 e  ( t  2 ) u( t  2) (D) te  t u( t  1) s2  2 s2  2

2( s  2) 2( s  2)
Statement for Q.2529: (C) (D)
( s  2) 2  1 ( s  2) 2  1
Given the transform pair below. Determine the
dx( t)
time signal y( t) and choose correct option. 31. y( t)  x( t) *
dt
cos 2t u( t) 
L
 X ( s). 4 s3 4
(A) (B)
( s 2  2) 2 ( s 2  2) 2
25. Y ( s)  ( s  1) X ( s)
4 s 3 4
sin 2 t (C) (D)
(A) [cos 2 t  2 sin 2 t ]u( t) (B) cos 2 t  u( t) ( s  2) 2
2
( s 2  2) 2

2

sin 2 t 32. y( t)  e  t x( t)
(C) [cos 2 t  2 sin 2 t ]u( t) (D) cos 2 t  u( t)

2 2( s  1) 2( s  1)
(A) (B)
( s  1) 2  2 s2  2 s  2
26. Y ( s)  X ( 3s)
2( s  1) 2( s  1)
(C) (D)
2 1 2 s2  2 s  4 s2  2 s
(A) cos t u( t) (B) cos t u( t)

3 3
3
1 33. y( t)  2 tx( t)
(C) cos 6t u( t) (D) cos 6 t u( t)
3 8  4 s2 4 s2  8
(A) (B)
( s 2  2) 2 ( s 2  2) 2
27. Y ( s)  X ( s  2)
4 s2 s2
(A) cos 2( t  2) u( t) (B) e2 t cos 2 t u( t) (C) (D)
s2  1 s 1
2

(C) cos 2( t  2) u( t) (D) e2 t cos 2 t u( t)


Statement for Q.3443:
X ( s)
28. Y ( s)  2 Determine the bilateral laplace transform and
s
choose correct option.
1  cos 2 t
(A) 4 cos 2 t u( t) (B) u( t)
4
cos 2 t 34. x( t)  e  t u( t  2)
2
(C) t cos 2 t u( t) (D) u( t)
t2 e2 ( s  1 )
(A) , Re ( s)  1
s1
d 3s 1
29. Y ( s)  [ e X ( s)] (B) , Re ( s)   1
ds 1 s
e2 ( s  1 )
(A) t cos 2( t  3) u( t  3) (B) t cos 2( t  3) u( t) (C) , Re ( s)   1
s1
(C) t cos 2( t  3) u( t  3) (D) t cos 2( t  3) u( t) 1
(D) , Re ( s)  1
1 s
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
271
For E-books/Materials/Notes-PDFs|PPTs
UNIT 5 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Signal & System

35. x( t)  u( t  3) e 3s
(A) , Re ( s)  3
1  e 3s s3
(A) , Re ( s)  0
s e 3s
(B) , Re ( s)  3
e 3s s3
(B) , Re ( s)  0
s e 3( s 1 )
(C) , Re ( s)  3
1e 3s
s3
(C) , Re ( s)  0
s e 3( s 1 )
(D) , Re ( s)  3
e 3s s3
(D) , Re ( s)  0
s
41. x( t)  cos 3t u( t) * e  t u( t)
36. y( t)  ( t  1) s
(A) , Re ( s)  0
( s  1)( s2  9)
(A) e , Re( s)  0
s
(B) e , Re ( s)  0
s

s
s
(C) e , all s (D) None of above (B) , 1  Re ( s)  0
( s  1)( s2  9)
s
37. x( t)  sin t u( t) (C) , 1  Re ( s)  0
( s  1)( s 2  9)
1
(A) , Re ( s)  0
(1  s 2 ) s
(D) , Re ( s)  0
( s  1)( s 2  9)
1
(B) , Re ( s)  0
(1  s 2 )
42. x( t)  e t sin (2 t  4) u( t  2)
1
(C) , Re ( s)  0 e 2 ( s 1 )
(1  s 2 ) (A) , Re ( s)  1
( s  1) 2  4
1
(D) , Re ( s)  0 e 2 ( s 1 )
(1  s 2 ) (B) , Re ( s)  1
( s  1) 2  4
t e( s  2 )

t (C) , Re ( s)  1
38. x( t)  e u( t)  e u( t)  e u( t)
2 t
( s  1) 2  4
6 s2  2 s  2 e( s  2 )
(A) , Re ( s)   0.5 (D) , Re ( s)  1
(2 s  1)( s 2  1) ( s  1) 2  4
6 s2  2 s  2
(B) , 1  Re ( s)  1
(2 s  1)( s 2  1) d 2 t
43. x( t)  e t [ e u( t)]
dt
1 1 1
(C)   , 1  Re ( s)  1 1s
s  0.5 s  1 s  1 (A) , Re ( s)   1
s1
1 1 1
(D)   , 0.5  Re ( s)  1 1s
s  0.5 s  1 s  1 (B) , Re ( s)  1
s1
t
s 1
39. x( t)  e t cos 2 t u( t)  e  t u( t)  e 2 u( t) (C) , Re ( s)   1
s1
(1  s) 1 1
(A)   , 0.5  Re ( s)  1 s 1
( s  1)  4 s  1 s  0.5
2 (D) , Re ( s)  1
s1
(1  s) 1 1
(B)   , 1  Re ( s)  1
( s  1) 2  4 s  1 s  0.5 Statement for Q.4449:
( s  1) 1 1 Determine the corresponding time signal for given
(C)   , 0.5  Re ( s)  1
( s  1) 2  4 s  1 s  0.5
bilateral Laplace transform.
( s  1) 1 1
(D)   , 1  Re ( s)  1
( s  1) 2  4 s  1 s  0.5 e 5s
44. X ( s)  with ROC: Re ( s)  2
s2
40. x( t)  e ( 3t  6 ) u( t  3) (A) e 2 ( t  5) u( t  5)
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
272
For E-books/Materials/Notes-PDFs|PPTs
UNIT 5 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Signal & System

1 t 62. A stable system has input x( t) and output


(A) e sin t u( t) (B) 2e t cos t u( t)
2 y( t)  e 2 t cos t u( t). The impulse response of the system
1 t is
(C) 2 e  t cos t u( t)  e sin t u( t)
2
(A) ( t)  ( e 2 t cos t  e 2 t sin t) u( t)
1 t
(D) e cos t u( t  1)  2 e  t sin t u( t  1) (B) ( t)  ( e 2 t cos t  e 2 t sin t) u( t  2)
2
(C) ( t)  ( e 2 t cos t  e 2 t sin t) u( t)
d 3 y( t) d 2 y( t) dy( t) (D) ( t)  ( e 2 t cos t  e 2 t sin t) u( t  2)
58. 3
 4 2
3  x( t)
dt dt dt
All initial condition are zero, x( t)  10 e 2 t 63. The relation ship between the input x( t) and output
5 5  y( t) of a causal system is described by the differential
(A)   5 e  t  5 e 2 t  e 3t  u( t)
3 3  equation
5 5  dy( t)
 10 y( t)  10 x( t)
(B)   5 e  t  5 e 2 t  e 3t  u( t)
3 3  dt
5 5 The impulse response of the system is
(C) u( t)  5 u( t  1)  5 u( t  2)  u( t  3)
3 3 (A) 10 e 10 t u( t  10) (B) 10 e 10 t u( t)
5 5 (C) 10 e 10 t u( t  10) (D) 10 e 10 t u( t)
(D) u( t)  5 u( t  1)  5 u( t  2)  u( t  3)
3 3
64. The relationship between the input x( t) and output
59. The transform function H ( s) of a causal system is
y( t) of a causal system is defined as
2 s2  2 s  2
H ( s)  d 2 y( t) dy( t) dx( t)
s2  1 2
  2 y( t)  4 x( t)  5 .
dt dt dt
The impulse response is
The impulse response of system is
(A) 2( t)  ( e t  et ) u( t)
(A) 3e  t u( t)  2 e 2 t u( t)
t
(B) 2( t)  ( e  e ) u( t)
t
(B) ( 3e  t  2 e 2 t ) u( t)
t
(C) 2( t)  e u( t)  e u( t) t
(C) 3e  t u( t)  2 e 2 t u( t)
(D) 2( t)  ( e t  et ) u( t)
(D) ( 3e  t  2 e 2 t ) u( t)

60. The transfer function H ( s) of a stable system is


*******
2s  1
H ( s)  2
s  2s  1

The impulse response is


(A) 2 u( t  1)  3tu( t  1)
(B) ( 3te t  2 e t ) u( t)
(C) 2 u( t  1)  3tu( t  1)
(D) (2 e t  3te t ) u( t)

61. The transfer function H ( s) of a stable system is


s2  5 s  9
H ( s) 
( s  1)( s 2  2 s  10)

The impulse response is


(A) e  t u( t)  ( e t sin 3t  2 e t cos 3t) u( t)
(B) e  t u( t)  ( e t sin 3t  2 e t cos 3t) u( t)
(C) e  t u( t)  ( e t sin 3t  2 e t cos 3t) u( t)
(D) e  t u( t)  ( e t sin 3t  2 e t cos 3t) u( t)
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
274
ForThe
E-books/Materials/Notes-PDFs|PPTs
Laplace Transform Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 5.3

1
r ( t)  e 2 t u( t) 
L
 R( s) 
SOLUTIONS s2
e ( s  2 )
 
v( t)  e 2 t u( t  1) 
L
 V ( s) 
e 2 s s2
1. (B) X ( s)   x( t) e  st dt   e  st dt 
0 2
s x( t)  q( t) * v( t) 
L
 X ( s)  Q( s) V ( s)
2 ( s  1 )
e
    X ( s) 
1 s2
2. (A) X ( s)   x( t) e 3t dt   u( t  2) 3t dt   e 3t dt 
0 0 0 s
s3
11. (B) p( t)  e 3t cos 2 t u( t) 
L
 P ( s) 
 ( s  3) 2  4
1
3. (A) X ( s)   e 2 t e  st dt  t 0
s2 1 P ( s)
 p( ) d    p( ) d 
0 L

 s  s
 
( s  3)
4. (C) X ( s)   x( t) e  st dt   e 2 t u( t  2) e  st dt  X ( s) 
0 0
s[( s  3) 2  4 ]
2 (2  s )
 1 1  e 2 ( 2  s )
2
e
  e t ( 2  s ) dt   s1
2s s 2 12. (A) p( t)  e  t cos t u( t) 
L
 P ( s) 
0
( s  1) 2  1
d s( s  1)

( e j 5t  e  j 5t )  st 5 q( t)  p( t) 
L
 Q( s) 
5. (C) X ( s)   e dt  2 dt ( s  1) 2  1
0 2 j s  25
d
x( t)  tq( t) 
L
 X ( s)   Q( s)
ds
1  e 2 s
2
6. (B) X ( s)   e  st dt  ( s 2  4 s  2)
s  X ( s) 
0
( s 2  2 s  2) 2

1
7. (B) p( t)  te  t u( t) 
L
 P ( s)  s3 A B
( s  1) 2 13. (B) X ( s)   
( s  3s  2) s  1 s  2
2

d s
x( t)  p( t) 
L
 X ( s)  s3 s3
dt ( s  1) 2 A  2, B   1
s  2 s  1 s  1 s  2

1 x( t)  [2 e  t  e 2 t ]u( t)
8. (A) p( t)  tu( t) 
L
 P ( s) 
s2
1 1 1
s 14. (A) X ( s)  2  2  
q( t)  cos 2 t u( t) 
L
 Q( s)  ( s  2) ( s  3) ( s  2) ( s  3)
s  4 2
2

x( t)  p( t) * q( t) 
L
 X ( s)  P ( s)Q( s) x( t)  2 ( t)  ( e3t  e3t ) u( t)
1
 X ( s)  2s  1 A B
s( s  4 2 )
2 15. (C) X ( s)   
s 2  2 s  1 ( s  1) ( s  1) 2

1 B  (2 s  2) s  1  3, A  2
9. (C) p( t)  tu( t) 
L
 P ( s) 
s2 x( t)  x( t)  [2 e  t  3te  t ]u( t)
d 2
q( t)   tp( t) 
L
 Q( s)  P ( s)  3 5s  4 A B C
ds s 16. (B) X ( s)    
s  3s  2 s s s  1 s  2
3 2

d 6
x( t)   tq( t) 
L
 X ( s)  Q( s)  4 A  sX ( s) s  0  2, B  ( s  1) X ( s) s  1  1,
ds s
n! C  ( s  2) X ( s) s  2  3
t n u( t) 
L

sn  1 x( t)  [2  e  t  3e 2 t ]u( t)

1 s2  3
10. (D) p( t)  u( t) 
L
 P ( s)  17. (C) X ( s) 
s ( s  2)( s 2  2 s  1)
e s 
A

B

C
q( t)  u( t  1) 
L
 Q( s) 
s2 ( s  2) ( s  1) ( s  1) 2
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
275
For E-books/Materials/Notes-PDFs|PPTs
UNIT 5 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Signal & System

A  ( s  2) X ( s) s  2  1, C  ( s  1) 2 X ( s)  2 s
s  1 23. (C) P   L
 ap( at)
A  B 1  B 0
a
x( t)  [ e 2 t t
 te ]u( t) 1 1 t

L
 e sin 2 t u( t)
( s  1) 2  4 2
3s  2 3( s  1) 1 1 0 .5t
18. (A) X ( s)    x( t) 
L
 e sin t u( t)
s  2 s  10 ( s  1)  3
2 2 2
( s  1) 2  32 4
 1 
x( t)  3e  t cos 3t  e  t sin 3t  u( t) 1
 3  24. (C) P ( s)  
L
 p( t)  te  t u( t)
( s  1) 2
4 s 2  8 s  10 d
19. (C) X ( s)  Q( s)  P ( s) 
L
 q( t)  tp( t)  t 2 e  t u( t)
( s  2)( s 2  2 s  5) ds
A B( s  1) C X ( s)  e 2 sQ( s) 
L
 x( t)  q( t  2)
  
( s  2) ( s  1)  2
2 2
( s  1) 2  2 2  x( t)   ( t  2) e ( t  2 ) u( t  2)
A  ( s  2) X ( s) s  2  2
dx( t)
A  B4  B 2 25. (A) sX ( s)  X ( s) 
L
  x( t)
dt
5 A  2 B  2 C  10  C  2  y( t)  ( 2 sin 2 t  cos 2 t ) u( t)
x( t)  [2 e 2 t  2 e  t cos 2 t  e  t sin 2 t ]u( t)
s
26. (B) X  
L
 ax( at)
3s 2  10 s  10
a
20. (B) X ( s) 
( s  2)( s 2  6 s  10) 1 2
X ( 3s) 
L
 cos t  u( t)
A B( s  3) C 3
3
  
( s  2) ( s  3) 2  1 ( s  3) 2  1
A  ( s  2) X ( s) s  2  1, A  B  3  B 2 27. (D) X ( s  2) 
L
 e2 t x( t)
10 A  6 B  2 C  10  C  6
t
x( t)  [ e 2 t
 2e 3t
cos t  6 e 3t
sin t ]u( t) X ( s)
28. (B) P ( s)     x( ) d
L

s 

2 s 2  11s  16  e 2 s t
sin 2 t
21. (D) X ( s)     cos 2  u( ) d 
L
( s 2  5 s  6) 2

A B e 2 s e 2 s P ( s)
t
sin 2  1  cos 2 t
2        d 
L
( s  2) ( s  3) ( s  2) ( s  3) u( t),
s 0 2 4
( s  2)(2 s 2  11s  16)
A 2
( s2  5 s  6) s  2 29. (C) P ( s)  e 3s X ( s) 
L
 p( t)  x( t  3)
( s  3)(2 s  11s  16)
2
 cos 2( t  3) u( t  3)
B  1
( s2  5 s  6) d
s  3
Q( s)  P ( s)  L
 q( t)   p( t)
x( t)  2 ( t)  [2 e 2 t  e3t ]u( t)  [ e 2 ( t  2 )  e 3( t  2 ) ]u( t  2) ds
 t cos 2( t  3) u( t  3).
1 1
22. (C) P ( s)  
L
 p( t)  sin 3t u( t)
s2  9 3 2 se 2 s
30. (A) x( t  2) 
L
 e 2 s X ( s), Y ( s) 
s2  2
d2 t2
Q( s)  P ( s) 
L
 q( t)  ( 1) 2 t 2 p( t)  sin 3t u( t)
ds 2 3
d
d 31. (A) p( t)  x( t) 
L
 P ( s)  sX ( s)
R( s)  sQ( s) 
L
 r ( t)  q( t)  q(0  ) dt
dt
2t y( t)  x( t) * p( t) 
L
 Y ( s)  P ( s) X ( s)  s( X ( s)) 2
 sin 3t u( t)  t 2 cos 3t u( t)
3
2( s  1)
1 32. (A) e  t x ( t) 
L
 X ( s  1) 
V ( s)   L
 v( t)  e 3t u( t) ( s  1) 2  2
s3
2 t 
x( t)  v( t)  r ( t)   sin 3t u( t)  t 2 cos 3t u( t)  e 3t  u( t)
3 
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
276
ForThe
E-books/Materials/Notes-PDFs|PPTs
Laplace Transform Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 5.3

d 4 s2  8 42. (A) x( t)  e 2 e t  2 sin (2 t  4) u( t  2)


33. (B) 2 tx( t) 
L
 2 X ( s)  2
ds ( s  2) 2
p( t  2) 
L
 e 2 s P ( s),
e 2 s e 2
 X ( s)  , Re ( s)  1
 x( t) e ( s  1) 2  4
 st
34. (A) X ( s)  dt

 
e2 ( s  1 ) 1
  e  t e  st dt   e  t ( s  1 ) dt  , Re( s)   1 43. (A) p( t)  e 2 t u ( t) 
L
 P ( s)  , Re ( s)   2
2 2 s1 s2
d
q( t)  p( t) 
L
 Q( s)  sP ( s)

e
3 3s dt
35. (B) X ( s)   u( t  3) e  st dt   e  st dt  Re ( s)  0 1s
  s x( t)  e t q( t) 
L
 X ( s)  Q( s  1) 
1 s
 Re ( s)   1 thus left-sided .
 ( t  1) e
 st
36. (C) Y ( s)  dt  e s , All s

44. (C) Left-sided
 1
( e  e )  st
jt  jt
P ( s)   L
 p( t)  e 2 t u( t)
37. (B) X ( s)   e dt s2
0 2j
X ( s)  e 5s P ( s) 
L
 x( t)  p( t  5)
 
1 1 1
2 j 0 2 j 0
2 ( t  5)
 e t ( j  s ) dt  e  t ( j  s ) dt  , Re ( s)  0  x( t)   e u( ( t  5))
1  s2
45. (A) Right-sided
 t  0

38. (D) X ( s)   e e  st   e  t e  st dt  1
ee
t  st
2
dt P ( s)  
L
 p( t)  e 3t u( t)
0 0  ( s  3)


1

1

1 d2
X ( s)  P ( s) 
L
 x( t)  t 2 e 3t u( t)
s  0.5 s  1 s  1 ds 2
Re ( s)  0.5, Re ( s)  1, Re ( s)  1
 0.5  Re ( s)  1 46. (D) Left-sided
x( t)  u( t)  u ( t  1)  ( t  2)
0  jt   t
( e  e )  st
jt

39. (A) X ( s)  e e dt   e  t e st dt   e e  st dt
t 2
1
 2j 0 0 47. (C) Right-sided, P ( s)  
L
 p( t)  u( t)
s
Re ( s)  1, Re ( s)  1, Re ( s)  0.5 Q( s)  e3s P ( s) 
L
 q( t)  p( t  3)  u( t  3)
d
Therefore 0.5  Re ( s)  1 R( s)  Q( s) 
L
 r ( t)  tq( t)  tu( t  3)
ds
s 1 1 1 1
t
X ( s)     V ( s)    v( t)   r( ) d
L
R( s)
( s  1) 2  4 ( s  1) s  0.5 s 
t
1 2
40. (C) x( t)  e 3e 3( t  3) u( t  3)  v( t)   tdt   ( t  9)
3 2
1
p( t)  e u( t)
3t
 L
P ( s)  1 1
t
X ( s)    x( t)    ( t  9)
L 2
s3 v( s)
s 2 
e 3s
q( t)  p( t  3) 
L
 Q( s)  e 3s P ( s)   1 9 
s3  x( t)   ( t 3  27)  ( t  3)  u( t  3)
 6 2 
e 3( s 1 )
X ( s)  , Re ( s)  3
s3
s  4 3 2
48. (B) X ( s)   
s 2  3s  2 ( s  1) s  2
41. (B) p( t) * q( t) 
L
 P ( s)Q( s)
Left-sided, x( t)  3e  t u( t)  2 e 2 t u( t)
s 1
X ( s)  2 
s  9
s  1 5 1
49. (A) X ( s)  
Re ( s)  1, Re ( s)  0 ( s  1) ( s  1) 2
 1  Re ( s)  0 Left-sided, x( t)  5 u( t)  te  t u( t)
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
277
For E-books/Materials/Notes-PDFs|PPTs
UNIT 5 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Signal & System

50. (D) x(0  )  lim sX ( s) 


s
0 h( t)  (2 e  t  3te t ) u( t).
x  s  5s  2
2

1 2( s  1) 3
61. (A) H ( s)   
s2  2 s ( s  1) ( s  1) 2  32 ( s  1) 2  32
51. (A) x(0  )  lim sX ( s)  2 1
s  s  2s  3 System is stable
h( t)   e  t u( t)  (2 e t cos 3t  et sin 3t) u( t)
2 s
e (6s  s )
3 2
52. (D) x(0  )  lim sX ( s)  0
s  s2  2 s  2 1 ( s  2)
62. (A) X ( s)  , Y ( s) 
s1 ( s  2) 2  1
2 s3  3s Y ( s) ( s  1)( s  2)
53. (A) x( )  lim sX ( s)  0 H ( s)  
s 0 s2  5 s  1 X ( s) ( s  2) 2  1
( s  2) 1
s2 1  
54. (C) x( )  lim sX ( s)  2 2 ( s  2) 2  1 ( s  2) 2  1
s 0 s  3s  1
h( t)  ( t)  ( e2 t cos t  e 2 t sin t) u( t)
e 3s (2 s 2  1) 1
55. (B) x( )  lim sX ( s)   63. (B) sY ( s)  10 Y ( s)  10 X ( s)
s 0 s2  5 s  4 4
Y ( s) 10
H ( s)  

56. (C) sY ( s)  y(0 )  10 Y ( s)  10( s) X ( s) s  10

 1  h( t)  10 e10 t u( t)
y(0 )  1, X ( s) 
s
10 1 1 64. (B) Y ( s)( s 2  s  2)  X ( s)(5 s  4)
Y ( s)   
s( s  1) ( s  1) s Y ( s) 5s  4 3 2
H ( s)    
X ( s) s 2  s  2 s  1 s  2
 y( t)  u( t)
h( t)  3e  t u( t)  2 e 2 t u( t).
57. (C) s Y ( s)  2 s  2 sY ( s)  2  5 Y ( s)  1
2

( s 2  2 s  5) Y ( s)  3  2 s
2s  3 2( s  1) 1
Y ( s)  2  
s  2 s  5 ( s  1) 2  2 2 ( s  1) 2  2 2 ***********
1 t
 y( t)  2 e  t cos t u( t)  e sin t u( t)
2

10
58. (B) s 3Y ( s)  4 s 2 Y ( s)  3sY ( s) 
( s  2)
10 A B C D
Y ( s)     
s( s  1)( s  2)( s  3) s ( s  1) ( s  2) s  3
5
A  sY ( s) s  0  , B  ( s  1) Y ( s) s  1  5,
3
5
C  ( s  2) Y ( s) s  2  5, D  ( s  3) Y ( s) s  0 
3
5 5 
 y( t)    5 e  t  5 e2 t  e 3t  u( t)
 3 3 

59. (D) For a causal system h( t)  0 for t  0


1 1
H ( s)  2  
s  1 s 1
 h( t)  2( t)  ( e  t  e t ) u( t)

2 3
60. (D) H ( s)   , System is stable
s  1 ( s  1) 2
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
278
For E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com

CHAPTER

5.4
THE Z-TRANSFORM

Statement forQ.1-12:

Determine the z-transform and choose correct 6. x[ n]  3n u[ n  1]


z z
option. (A) , |z| 3 (B) , |z| 3
3z 3z
1. x[ n]  [ n  k] , k  0 3 3
(C) , |z| 3 (D) , |z| 3
(A) z ,k
z 0 (B) z k
, z 0 3z 3z
k
(C) z ,k
z 0 (D) z , z 0 |n|
2
7. x[ n]  
2. x[ n]  [ n  k] , k  0
3
(A) z  k , z  0 (B) z k , z  0 5 z 3 2
(A) ,  z 
(C) z  k , all z (D) z k , all z (2 z  3)( 3z  2) 2 3

5 z 2 3
3. x[ n]  u[ n] (B) , |z|
(2 z  3)( 3z  2) 3 2
1 1
(A) , |z| 1 (B) , |z| 1
1  z 1 1  z 1 5z 2 2
(C) , |z |
z z (2 z  3) ( 3z  2) 3 3
(C) , |z| 1 (D) , |z| 1
1  z 1 1  z 1 5z 3 2
(D) ,  z 
(2 z  3)( 3z  2) 2 3
n
1
4. x[ n]   ( u[ n]  u[ n  5 ])

4 n n
1 1
z  0.25
5 5
z  0.25
5 5 8. x[ n]   u[ n]   u[ n  1]
(A) , z  0.25 (B) , z 0
2
4
z 4 ( z  0.25) z 4 ( z  0.25)
1 1 1 1
z 5  0.25 5 z 5  0.25 5 (A)  , |z|
(C) , z  0.25 (D) , all z 1 1 1 1 4 2
z 3( z  0.25) z 4 ( z  0.25) 1 z 1 z
2 4
1 1 1 1
4 (B)  , |z|
1 1 1 1 1 4 2
5. x[ n]   u[ n] 1 z 1 z

4 2 4
4z 1 4z 1 1 1 1
(A) , |z| (B) , |z| (C)  , |z|
4z  1 4 4z  1 4 1 1
1 z
1 1
1 z 2
2 4
1 1 1 1
(C) , |z| (D) , |z|
1  4z 4 1  4z 4 (D) None of the above
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
279
For E-books/Materials/Notes-PDFs|PPTs
UNIT 5 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Signal & System

1 1 37. Consider three different signal


33. X ( z)  2
, |z|
1  4z 4
 1 
n

 x1 [ n]  2 n    u[ n]
(A)   2 2 ( k  1 ) [ n  2( k  1)] 
2 
k0

 1
(B)   2 2 ( k  1 ) [ n  2( k  1)] x2 [ n]  2 n u[ n  1]  u[ n  1]
k0
2n
 1
(C)   2 2 ( k  1 ) [ n  2( k  1)] x3[ n]   2 n u[ n  1]  u[ n]
k0
2n

(D)   2 2 ( k  1 ) [ n  2( k  1)] Fig. P.5.4.37 shows the three different region.
k0
Choose the correct option for the ROC of signal

34. X ( z)  ln (1  z 1 ) , |z| 0 Im
R1
k 1 k 1
( 1) ( 1) z - plane
(A) [ n  1] (B) [ n  1] R2
k k
R3 2
( 1) k ( 1) k Re
(C) [ n  1] (D) [ n  1] 1
k k 2

35. If z-transform is given by

X ( z)  cos ( z 3), |z| 0, Fig. P5.4.37

The value of x[12 ] is R1 R2 R3


1 1 (A) x1 [ n] x2 [ n ] x3[ n]
(A)  (B)
24 24 (B) x2 [ n ] x3[ n] x1 [ n]
1 1
(C)  (D) (C) x1 [ n] x3[ n] x2 [ n ]
6 6
(D) x3[ n] x2 [ n ] x1 [ n]

36. X ( z) of a system is specified by a pole zero pattern


in fig. P.5.4.36. 38. Given
Im 7 1
1 z
z - plane X ( z)  6
1 1 1 1
1  z  1  z 
1
Re
2
3
2
3

For three different ROC consider there different


solution of signal x[ n] :
Fig. P.5.4.36

1  1 1 
n

Consider three different solution of x[ n] (a) |z| , x[ n]   n 1    u[ n]


2 2
3 
 1 
n

x1 [ n]  2 n    u[ n]   1  1 n 

3  1
(b) |z| , x[ n]   n 1    u[ n  1]
3 2
3 
1
x2 [ n]   2 n u[ n  1]  u[ n] n
3n 1 1 1 1
(c) |z | , x[ n]   n 1 u[ n  1]   u[ n]
1 3 2 2
3
x3[ n]   2 n u[ n  1]  n u[ n  1]
3
Correct solution is Correct solutions are
(A) x1 [ n] (B) x2 [ n] (A) (a) and (b) (B) (a) and (c)
(C) x3[ n] (D) All three (C) (b) and (c) (D) (a), (b), (c)

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
282
ForThe
E-books/Materials/Notes-PDFs|PPTs
z-Transform Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 5.4

39. X ( z) has poles at z  1 2 and z  1. If x [1]  1 44. The transfer function of a causal system is given as
x [ 1]  1, and the ROC includes the point z  3 4. The 5z2
H ( z) 
time signal x[ n] is z2  z  6
1
(A) u[ n]  ( 1) n u[ n  1] The impulse response is
2 n 1
(A) ( 3n  ( 1) n 2 n  1 ) u[ n]
1
(B) n u[ n]  ( 1) n u[ n  1]
2 (B) ( 3n  1  2 ( 2) n ) u[ n]
1 (C) ( 3n 1  ( 1) n 2 n  1 ) u[ n]
(C) u[ n] + u[ -n + 1]
2 n 1 (D) ( 3n 1  ( 2) n  1 ) u[ n]
1
(D) u[ n] + u[ -n + 1]
2n 45. A causal system has input
1 1
40. x[ n] is right-sided, X ( z) has a signal pole, and x[ n]  [ n]  [ n  1]  [ n  2 ] and output
4 8
x[0 ] = 2, x[2 ] = 1 2. x[ n] is
3
u[ -n] u[ n] y[ n]  [ n]  [ n  1] .
(A) n -1 (B) n -1 4
2 2
The impulse response of this system is
u[ -n] u[ -n]
(C) (D)
1  1 1 
n n
2n +1 2n +1 
(A) 
5  2   u[ n]
3 
2
4 
41. The z-transform function of a stable system is given
1  1 
n n
1
as (B) 5   2   u[ n]
3 
2
4 
3 -1
2- z
2 1  1 n 1 
n
H ( z) = (C)  5   2   u[ n]
1 -1
(1 - 2 z -1 ) (1 + z ) 3 
2
4 
2
1  1 
n n
1
The impuse response h[ n] is (D) 5   2   u[ n]
n
3 
2
4 
1
(A) 2 n u[ -n + 1] -  u[ n]

2
46. A causal system has input x[ n]  ( 3) n u[ n] and
n
1 output
(B) 2 n u[ n  1]   u[ n]

2
 1 
n

n y[ n]  4(2) n    u[ n].
1 
2 
(C) 2 n u[ n  1]   u[ n]

2
n The impulse response of this system is
1
(D) 2 n u[ n]   u[ n]  1 n 1 
n
 1 
n


2 (A) 7   10   u[ n] (B) 7(2 n )  10   u[ n]

2
2  
2 
42. Let x[ n]  [ n  2 ]  [ n  2 ]. The unilateral  1 2   1 
n

(C) 10   7(2) n  u[ n] (D) 10 (2 n )  7   u[ n]


z-transform is

2  
2 
(A) z 2 (B) z 2
(C) 2 z 2 (D) 2 z 2 47. A system has impulse response
1
43. The unilateral z-transform of signal x[ n]  u[ n  4 ] h[ n]  u[ n]
2n
is
The output y[ n] to the input x[ n] is given by
1
(A) 1  z  z 2  3z  z 4 (B) y[ n]  2 [ n  4 ]. The input x[ n] is
1z
(A) 2 [ n  4 ]  [ n  5 ] (B) 2 [ n  4 ]  [ n  5 ]
1
(C) 1  z 1  z 2  z 3  z 4 (D)
1  z 1 (C) 2 [ n  4 ]  [ n  5 ] (D) 2 [ n  4 ]  [ n  5 ]
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
283
For E-books/Materials/Notes-PDFs|PPTs
UNIT 5 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Signal & System

48. A system is described by the difference equation 53. The z-transform of a signal x[ n] is given by
1 3
y[ n]  y[ n  1]  2 x[ n  1] X ( z) 
2 10 1
1 z  z 2
3
The impulse response of the system is
1 1 If X ( z) converges on the unit circle, x[ n] is
(A) n  2 u[ n  1] (B) n  2 u[ n  1]
2 2 1 3n  3
(A)  u[ n]  u[ n  1]
1 1 3n 1 8 8
(C) n 2
u[ n  2 ] (D) u[ n  2 ]
2 2n 2 1 3n  3
(B) u[ n]  u[ n  1]
3n 1 8 8
49. A system is described by the difference equation
1 3n  3
y[ n]  x[ n]  x[ n  2 ]  x[ n  4 ]  x[ n  6 ] (C) u[ n]  u[ n]
3n 1 8 8
The impulse response of system is
1 3n  3
(A) [ n]  2 [ n  2 ]  4 [ n  4 ]  6 [ n  6 ] (D)  n 1
u[ n]  u[ n]
3 8 8
(B) [ n]  2 [ n  2 ]  4 [ n  4 ]  6 [ n  6 ]
54. The transfer function of a system is given as
(C) [ n]  [ n  2 ]  [ n  4 ]  [ n  6 ]
(D) [ n]  [ n  2 ]  [ n  4 ]  [ n  6 ] 4 z 1 1
H ( z)  2
, |z|
1 1 4
50. The impulse response of a system is given by 1  z 

4
3
h[ n]  u[ n  1]. The h[ n] is
4n
(A) Stable (B) Causal
The difference equation representation for this
(C) Stable and Causal (D) None of the above
system is
(A) 4 y[ n]  y[ n  1]  3 x[ n  1] 55. The transfer function of a system is given as
(B) 4 y[ n]  y[ n  1]  3 x[ n  1] 1
2 z  
(C) 4 y[ n]  y[ n  1]   3 x[ n  1] H ( z) 
2
.
1 1
(D) 4 y[ n]  y[ n  1]  3 x[ n  1] z   z  

2
3

51. The impulse response of a system is given by Consider the two statements

h[ n]  [ n]  [ n  5 ] Statement(i) : System is causal and stable.

The difference equation representation for this Statement(ii) : Inverse system is causal and stable.

system is The correct option is


(A) y[ n]  x[ n]  x[ n  5 ] (B) y[ n]  x[ n]  x[ n  5 ] (A) (i) is true
(C) y[ n]  x[ n]  5 x[ n  5 ] (D) y[ n]  x[ n]  5 x[ n  5 ]
(B) (ii) is true

52. The transfer function of a system is given by (C) Both (i) and (ii) are true

z( 3z  2) (D) Both are false


H ( z) 
1
z2  z  56. The impulse response of a system is given by
4
n n
The system is 1 1
h[ n]  10  u[ n]  9  u[ n]
(A) Causal and Stable
2
4

(B) Causal, Stable and minimum phase For this system two statement are
(C) Minimum phase Statement (i): System is causal and stable
(D) None of the above Statement (ii): Inverse system is causal and stable.
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
284
ForThe
E-books/Materials/Notes-PDFs|PPTs
z-Transform Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 5.4

The correct option is 62. The impulse response of the system shown in fig.
(A) (i) is true (B) (ii) is true P5.4.62 is
X(z) Y(z)
(C) Both are true (D) Both are false + z-1 z-1

57. The system z-1

y[ n]  cy[ n  1]  0.12 y[ n  2 ] + x[ n - 1] + x[ n - 2 ] Fig. P5.4.62

is stable if n
 2 
1
(A) c  112
. (B) c  112
. (A) 2
2
(1  ( 1) n ) u[ n]  [ n]
2
(C) |c| 112
. (D) |c| 112
.
2n 1
(B) (1  ( 1) n ) u[ n]  [ n]
2 2
58. Consider the following three systems n
 2 
1
(C) 2
2
(1  ( 1) n ) u[ n]  [ n]
y1 [ n]  0.2 y[ n  1]  x[ n]  0.3 x[ n  1]  0.02 x[ n  2 ] 2

y2 [ n]  x[ n]  0.1 x[ n  1] 2n 1
(D) [1  ( 1) n ] u [ n]   [ n]
2 2
y3[ n]  0.5 y[ n  1]  0.4 x[ n]  0.3 x[ n  1]
63. The system diagram for the transfer function
The equivalent system are
z
(A) y1 [ n] and y2 [ n] (B) y2 [ n] and y3[ n] H ( z) 
z2  z  1
(C) y3[ n] and y1 [ n] (D) all
is shown in fig. P5.4.63. This system diagram is a
X(z) Y(z)
59. The z-transform of a causal system is given as +

. z 1
2  15
X ( z) 
. z  0.5 z 2
1  15 1
+
+ z-1

The x[0 ] is
z-1
(A) 15
. (B) 2
Fig. P5.4.63
(C) 1.5 (D) 0
(A) Correct solution

60. The z-transform of a anti causal system is (B) Not correct solution

12  21z (C) Correct and unique solution


X ( z) 
3  7 z  12 z 2 (D) Correct but not unique solution

The value of x[0 ] is


7
(A)  (B) 0 *****************
4
(C) 4 (D) Does not exist

61. Given the z-transforms


z( 8 z  7)
X ( z) 
4z2  7z  3

The limit of x[  ] is
(A) 1 (B) 2
(C)  (D) 0

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
285
ForThe
E-books/Materials/Notes-PDFs|PPTs
z-Transform Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 5.4

z 2  3z 1  3z -1 n

24. (A) X ( z)   1 2
% , n even and n  0
3 3
z 2  z -1 1 + z -1 - z -2  !
4 
2 2 %
" 0 , n odd
2 1 1
= - , ROC : |z| 2
1 + 2 z -1 1 - 1 z -1 2 2n , n even and n  0
!
2 " 0, n odd
1
# x[ n]  2(2) n u[ n  1]  n u[ n]  
2
33. (C) X ( z)  4 z 2  (2 z) 2 k    2 2 ( k  1 ) z 2 ( k  1 )
k0 k0

25. (A) x[ n] is right sided 


# x[ n]    2 2 ( k  1 ) [ n  2 ( k  1)]
1 49 47
z  z 1 k0

X ( z)  4  32  32
1  16 z 1 1  4 z 1 1  4 z 1 
( 1) k 1
 49 47 n  34. (A) ln (1  &)   k
( &) k
# x[ n]   ( 4) n  4  u[ n] k 1
 32 32  
( 1) k 1
X ( z)   ( z 1 ) k
k 1 k
26. (C) x[ n] is right sided 
( 1) k 1
1 1 2 # x [ n]   [ n  1]
X ( z)  2  1
 z k 1 k

1 z 1  z 1
# x[ n]  2 [ n  2 ]  (( 1) n  1) u[ n  2 ] 
( 1) k 2 k
35. (B) cos &   &
k  0 (2 k) !
27. (A) [ n]  2 [ n  6 ]  4 [ n  8 ] 
( 1) k 3k 2 k
X ( z)   (z )
k  0 (2 k) !
10
1
28. (B) x[ n] is right sided, x[ n]   k [ n  k] 
( 1) k
k5 # x [ n]   (2 k) !  [ n  6 k]
k0

29. (D) x[ n] is right sided signal n  12 # 12  6 k  0, k  2,


X ( z)  1  3z 1
 3z 2
z 3 ( 1) 2 1
x[12 ]  
4! 24
# x[ n]  [ n]  3[ n  1]  3[ n  2 ]  [ n  3]

36. (D) All gives the same z transform with different


30. (A)
ROC. So all are the solution.
x[ n]  [ n  6 ]  [ n  2 ]  3[ n]  2  [ n  3]  [ n  4 ]

z2 z3 37. (C) x1 [ n] is right-sided signal


31. (B) X ( z)  1  z    .........
2 ! 3! 1
z1  2 , z1  gives z1  2
1 1 1 2
1   2
 .........
z 2!z 3! z3 x2 [ n] is left-sided signal
[ n  2 ] [ n  3] 1 1
x[ n]  [ n]  [ n  1]   ...... z 2  2, z 2  gives z 2 
2! 3! 2 2
[ n  2 ] [ n  3] x3[ n] is double sided signal
[ n]  [ n  1]   .........
2! 3! 1 1
z 3  and z 3  2 gives  z3  2
1 2 2
x [ n]   [ n] 
n!
2 1
2
38. (B) X ( z)   ,
2
z 2

1
k 1 1 1
32. (A) X ( z)  1 
z
    z 2  1 z 1  z 1
2 z
4
4 k0
4
n
k 1 2 1

1 |z| (Right-sided) # x[ n]  u[ n]   u[ n]
# x [ n]    [ n  2 k] 2 2n
3
k0
4

1  2 1 n 
|z| (Left-sided) # x[ n]   n    u[ n  1]
3  2
3 
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
287
For E-books/Materials/Notes-PDFs|PPTs
UNIT 5 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Signal & System

1 1 2 1
n
2 5
|z| (Two-sided) x[ n]   n u[ n  1]   u[ n] Y ( z)
3 2 2
3 H ( z)   3  3 ,
X ( z ) 1  1 z 1 1  1 z 1
So (b) is wrong. 4 2
1  1 1 
n n
3 # h[ n]  5   2   u[ n]
39. (A) Since the ROC includes the z  , ROC is 3 
2
4
4 
1
|z| 1,
2 1
46. (D) X ( z) 
A B 1  3z 1
X ( z)  
1 1 1  z 1 4 1 3
1 z Y ( z)   
1
2 1  2z 1
1  z 1 1
(1  2 z 1 ) 1  z 1 
A 2
2
# x[ n]  n u[ n] ' B ( 1) n u[ n  1]
2 Y ( z) 10 7
A H ( z)   
1 # A 2 , X ( z ) 1  2 z 1 1  1 z 1
2 2
x[ 1]  1  ( 1) B( 1) # B  1  1 
n

1 # h[ n]  10(2) n  7   u( n)
# x[ n]  n 1 u[ n]  ( 1) n u[ n  1] 
2 
2

40. (B) x[ n]  Cpn u[ n] , x[0 ]  2  C 1


47. (D) H ( z)  , Y ( z )  2 z 4
1 1
1 1 1 z
x[2 ]   2 p2 # p , 2
2 2
Y ( z)
1
n
X ( z)   2 z 4  z 5
x[ n]  2  u( n) H ( z)

2
# x[ n]  2[   4 ]  [ n  5 ]
1 1
41. (B) H ( z)  1
  z 1  1
1  2z 1
1  z 1 48. (A) Y ( z) 1    2 z X ( z)
2  2 
h[ n] is stable, so ROC includes |z| 1 Y ( z) 2 z 1
H ( z)  
1 X ( z) z 1
ROC : |z| 2 , 1
2 2
n 1
1
n
1
h[ n]  (2) u[ n  1] 
n
 u [ n] # h[ n]  2  u[ n  1]

2
2

 Y ( z)
42. (A) X  ( z)   x[ n]z n
 [ n  2 ]z  n  z 2 49. (C) H ( z)   (1  z 2  z 4  z 6 )
n 0
X ( z)
# h[ n]  [ n]  [ n  2 ]  [ n  4 ]  [ n  6 ]
 
1
43. (D) X ( z)  
 x[ n]z
n 0
n
 z
n 0
n

1  z 1 n 1
3 1
50. (A) h[ n]   u[ n  1]
4
4
3 2
44. (B) H ( z)  1

1  3z 1  2 z 1 3 1
z
Y ( z) 4
h[ n] is causal so ROC is |z| 3, H ( z)  
X ( z ) 1  1 z 1
# h[ n]  [ 3n  1  2 ( 2) n ]u[ n] 4
1 3
z 1 z 2 3z 1 Y ( z )  z 1 Y ( z)  z 1 X ( z)
45. (A) X ( z)  1   , Y ( z)  1  4 4
4 8 4
1 3
# y[ n]  y[ n  1]  x[ n  1]
4 4

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
288
ForThe
E-books/Materials/Notes-PDFs|PPTs
z-Transform Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 5.4

Y ( z) So y1 and y2 are equivalent.


51. (A) H ( z)   1  z 5
X ( z)
# y[ n]  x[ n]  x[ n  5 ] 59. (B) Causal signal x[0 ]  lim X ( z)  2
z 

2 1( 2 60. (C) Anti causal signal, x[0 ]  lim X ( z)  4


52. (D) Zero at : z  0, , poles at z  z 
3 2
(i) Not all poles are inside |z| 1, the system is not 3
61. (A) The function has poles at z  1, . Thus final
causal and stable. 4
(ii) Not are poles and zero are inside |z| 1, the system value theorem applies.
is not minimum phase. 7
z(2 z  )
lim x( n)  lim( z  1) X ( z)  ( z  1) 4 1
3 27 n  z 1 3
 ( z  1) z  
53. (A) X ( z)  8  8
4
1 1 1  3z 1
1 z
3 62. (C) [2 Y ( z)  X ( z)] z 2  Y ( z)
Since X ( z) converges on |z| 1. So ROC must include z 2
H ( z) 
this circle. 1  2 z 2
1 1 1
ROC : |z| 3,
3 1 4 4
# h[ n]    
1 3n  3 2 1  2 z 1 1  2 z 1
x[ n]   n 1 u[ n]  u[ n  1]
3 8 8 1 1
 [ n]  {( 2 ) n  (  2 ) n } u[ n]
2 4
n
1
54. (C) h[ n]  16 n  u[ n]. So system is both stable and

4 63. (D) Y ( z)  X ( z) z 1  { Y ( z) z 1  Y ( z) z 2 }
causal. ROC includes z  1. Y ( z) z 1 z
 1 2
 2
X ( z) 1  z  z z  z 1
1 1
55. (C) Pole of system at : z   , So this is a solution but not unique. Many other correct
2 3
diagrams can be drawn.
1
Pole of inverse system at : z  
2
For this system and inverse system all poles are inside ***********
|z| 1. So both system are both causal and stable.

10 9
56. (A) H ( z)  
1 1 1
1 z 1  z 1
2 4
1  2 z 1

1 1 1 1
1  z  1  z 

2
4
Pole of this system are inside |z| 1. So the system is
stable and causal.
For the inverse system not all pole are inside |z| 1. So
inverse system is not stable and causal.

57. (C) |a2| 0.12  1, a1 |c| 1  0.12, |c| 112


.

58. (A) Y1 ( z)  1  0.1z 1 , Y2 ( z)  1  0.1z 1


0.4  0.3z 1
Y3( z) 
1  0.5 z 1
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
289
For E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com

CHAPTER

5.6
THE DISCRETE-TIME FOURIER TRANSFORM

n
Statement for Q.19: 1
5. x[ n] 
u[ n  1]
Determine the discrete-time Fourier Transform for 2
the given signal and choose correct option. e j 2 e j
(A) (B)
2  e  j 2  e  j
 1, |n| 2 e j 2 e j
1. x[ n]   (C) (D)
 0, otherwise 2  e j 2  e j
sin 5 sin 4
(A) (B)
sin  sin  6. x[ n]  2 [ 4  2 n]

sin 2.5 (A) 2 e  j 2  (B) 2 e j 2 


(C) (D) None of the above
sin  (C) 1 (D) None of the above

n 7. x[ n]  u[ n]
 3
2. x[ n] 
u[ n  4 ] 1 1
4 (A) ()  (B)
1  e  j 1  e  j
(A)
 3
4
e  j  4

(B)
 3
4
e j  4

1 1
1  43 e  j 1  43 e j (C) ()  (D)
1  e  j 1  e  j

(C)
 3
4
e  j  4

(D) None of the above


1 3
4
e j 8. x[ n]  {2,  1, 0, 1, 2}

3. x[ n]  u[ n  2 ]  u[ n  6 ]
(A) 2 j(2 sin 2  sin ) (B) 2(2 cos 2  cos )
e 2 j(1  e 3 j)
(A) e 3 j  e 3 j  e 4 j  e 5 j (B)
1  e j (C) 2 j(2 sin 2  sin ) (D) 2(2 cos 2  cos )
2 j 3 j
e (1  e )
(C) e 2 j  e 3 j  e 4 j  e 5 j (D) 
1  e  j 9. x[ n]  sin
n
2
4. x[ n]  a|n| , |a| 1 (A) ( [   2 ]  [   2 ])
1a 2
1a 2
j
(A) (B) (B) ( [   2 ]  [   2 ])
1  2 a sin   a 2 1  2 a cos   a 2 2
1  a2 (C) 2 ( [   2 ]  [   2 ])
(C) (D) None of the above
1  2 ja sin   a 2 (D) j( [   2 ]  [   2 ])

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
300
ForThe
E-books/Materials/Notes-PDFs|PPTs
Discrete-Time Fourier Transform Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 5.6

Statement for Q.1021: 16. X ( e j)  j 4 sin 4  1

Determine the signal having the Fourier (A) 4 [ n  4 ]  4 [ n  4 ]  2 [ n]
transform given in question. (B) 2 [ n  4 ]  2 [ n  4 ]  [ n]
(C) [ n  4 ]  [ n  4 ]  [ n]
1
10. X ( e j)  , |a| 1 (D) None of the above
(1  ae  j) 2
(A) ( n  1) a n u[ n] (B) ( n  1) a n u[ n] 2
17. X ( e j)   j2 
n
(C) na u[ n] (D) None of the above e  e  j  6
 n 1

(A)
5
1  
2 u[ n]
11. X ( e j)  8 cos 2  2n
3

(A) [ n  2 ]  2 [ n]  [ n  2 ])
  2
n 1

(B) 2[ n  2 ]  2 [ n]  [ n  2 ]) (B) 2  n
1 
u[ n]

3

(C) 4[ n  2 ]  [ n]  [ n  2 ])
2n  n 1

(D) 1
[ n  2 ]  [ n]  [ n  2 ]) (C)
( 1) n  
2 u[ n]
2
5
3

 2 j, 0    (D) None of the above
12. X ( e j)  
  2 j,      0
2 1
e  j
4  n 4  n 18. X ( e j)  4
(A)  sin 2
(B) sin 2
 18 e  j 2   14 e  j  1
n 2 n 2
(A) 2  n  1 [1  ( 2)  n ]u[ n]
8  n 8  n
(C) sin 2
(D)  sin 2

n 2 n 2 (B) 2  n [1  ( 2)  n ]u[ n]
(C) 2  n  1 [( 1) n  2  n ]u[ n]
  3 (D) 2  n [( 1) n  2  n ]u[ n]
 1, ||
j
13. X ( e )   4 4
 0,  3 2 e  j
0 || , ||  19. X ( e j) 
 4 4 1  14 e  j 2 
2  3n  n
(A)
sin
 sin
(A) 2 n 1 [1  ( 1) n ]u[ n]
n 4 4
(B) 21  n [1  ( 1) n ]u[ n]
1   3n  n
(B)
sin
 sin
(C) 21  n [1  ( 1) n ]u[ n]
n 4 4
(D) 2 n 1 [1  ( 1) n ]u[ n]
2  3n  n
(C)
cos
 cos

n 4 4 1  13 e  j
20. X ( e j) 
1   3n  n 1  14 e  j  18 e 2 j
(D)
cos
 cos

n 4 4
2 1
n
7  1
n

(A)


 u[ n]
j
9
2 9 4

14. X ( e j)  e 2
for     
(A) [ n  1 2 ] (B) [ n  1 2 ]  2  1 n 7  1 n
(B)

 
u[ n]
( 1) n  1
9 2 9 4
(C) (D) None of the above
n  12 
 2  1 n 7  1 n
(C)

 
u[ n]

9 2 9 4
15. X ( e j)  cos 2  j sin 2

(A) 2 [ n  2 ] (B) [ n  2 ] 2 1


n
7  1
n

(D)


 u[ n]
(C) 0 (D) None of the above
9
2 9 4

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
301
For E-books/Materials/Notes-PDFs|PPTs
UNIT 5 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Signal & System

n n
 1 1
x[ n] 
 u[ n] 
u[ n]  2  n [( 1) n  2  n ]u[ n] 27. (D) X ( e j 0 )  ! x[ n] , This condition is satisfied only
2 4 n 

if the samples of the signal add up to zero. This is true


2 e  j
j 2 2 for signal (b) and (h).
19. (C) X ( e )   
1  j2  1  j 1  j
1 e 1 e 1 e
4 2 2
n n
28. (A) X ( e j 0 )  ! x[ n]  6
1  1 n 
x[ n]  2
u[ n]  2
 u[ n]
2 2
1 29. (A) y[ n]  x[ n  2 ] is an even signal. Therefore
 n 1 [1  ( 1) n ]u[ n]  21  n [1  ( 1) n ]u[ n] j
2 Y ( e ) is real and even.
Y ( e j)  e j 2  X ( e j) " X ( e j)  e  j 2 Y ( e j),
1  j
1 e Since Y ( e j) is real. This imply arg{ Y ( e j)}  0
j
20. (A) X ( e )  3
1 1 Thus arg{X ( e j)}  2
1  e  j  e 2 j
4 8

2 7
 X (e
j
30. (C) ) d  2 x[0 ]  4 
 9  9 
1  j 1  j
1 e 1 e
2 4
n n
31. (A) X ( e j)  ! ( 1) n
x[ n]  2
21 7 1 n 
x[ n] 
u[ n] 
 u[ n]
9 2 9 4
32. (C) Ev{x[ n]}  
DTFT
 Re{X ( e j)}

21. (C) X ( e j) 


( b  a) e j
Ev{x[ n] 
 x[ n]  x[ n]
e j2  ( a  b) e j  ab 2
 j
( b  a) e 1 1  1 1 1 1+
      , 0, , 1, 0, 0, 1, 2, 1, 0, 0, 1, , 0,  ,
1  ( a  b) e  j  abe j 2  1  be  j 1  ae  j  2 2 2 2 -

x[ n]  bn u[ n]  a n u[ n  1] .


 . X ( e ).  2  ! . x[ n].  28 
j 2 2
22. (D) The signal must be read and odd. Only signal ( h) 33. (D)
 n 
is real and odd.
d X ( e j)
23. (A) The signal must be real and even. Only signal (c) 34. (C) nx[ n]  
DTFT
 j
d
and (e) are real and even. 
/dX ( e j)/
 / d //  2 n!
/ 2
|n|2 x[ n]  316 
24. (A) Y ( e j)  e j X ( e j), y[ n]  x[ n   ] 

j
If Y ( e ) is real, then y[ n] is real and even (if x[ n] is
35. (A) Y ( e j)  e  j 4  X ( e j)
real.). Therefore x [ n   ] is even and x [ n] has to be |n  4|
symmetric about .This is true for signal (a), (c), (e), (f)  3
y[ n]  x[ n  4 ]  ( n  4)

4
and (g).

 36. (C) Since x[ n] is real and odd, X ( e j) is purely


 X (e
j
25. (D) ) d  2 x[0 ] ,

imaginary. Thus y[ n]  0.
x[0 ]  0 is for signal (c), (f), (g) and (h).
37. (D) X 2 ( e j)  X ( e j 2 )
26. (D) X ( e j) is always periodic with period 2.  x[ n] , n even
X ( e j 2 )  
DTFT
 x 2 [ n]  
Therefore all signals satisfy the condition.  0, otherwise
 2 3
|n|

  jn
, n even
y[ n]   4
0 ,
 otherwise
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
306
ForThe
E-books/Materials/Notes-PDFs|PPTs
Discrete-Time Fourier Transform Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 5.6

38. (B) Y ( e j)  X ( e j ) * X ( e j (   2 ) ) This is possible only if b  a.


jn 2
y[ n]  2 x[ n] x1 [ n], x1 [ n]  e x[ n] ,
dX ( e j)
 3
2|n| 44. (A) For x[ n]  [ n], X ( e j)  1, 0
" y[ n]  2 n2 e jn 2

d
4 1

1


 Y (e e
j
h[ n]  ) e jn d   j
e jn d
2  2 
d
39. (C) Y ( e j)  X ( e j) 
d 1 sin ( n  1)
2  
 e j ( n 1 ) d 
|n| ( n  1)
 3
" y[ n]   jnx[ n]   jn2

4
45. (B) H ( e j)  H1 ( e j)  H 2 ( e j)

40. (B) Y ( e j)  X ( e j )  X ( e  j) 12  5 e  j 1 2


 
12  7 e  j  e  j 2  1  j
1 e
1
1  e  j
" y[ n]  x[ n]  x[ n]  0
3 4
n
1 1
41. (C) For a real signal x[ n] H 2 ( e j)  , h2 [ n] 
u[ n]
1  j 3
od{x[ n]}  
DTFT
 jIm{X ( e j)} 1 e
3
jIm{X ( e j)} j sin   j sin 2,
Y ( e j)

1 j

e  e  j   e 2 j  e 2 j  46. (D) H ( e j)  ,
2 X ( e j)
Therefore od{x[ n]}  F 1 { jIm{X ( e j)}} 2
n
1
1
u[ n]  
DTFT

  [ n  1]  [ n  1]  [ n  2 ]  [ n  2 ] 3 2  j
1 e
2 3
x[ n]  x[ n]  2  j
Od{ x[ n]}  n
e
2 2 d 1
n
u[ n]  DTFT
j
 3
Since x[ n]  0 for n  0, 3 d

1  2 e  j 1  2 e  j
x[ n]  2od{ x[ n] }  # n  1]  # n  2 ] For n  0 3 3
2  j
Using Parsevals relation e
2 e  j
H( e )  3
j

 . X ( e ).
1  j
! . x[ n]. 1  e  j 3  2 e
2
j 2 2
d 
2  n  3
1

! . x[ n].   x [0 ]  2
2
3 2
2  j
n  e
Y ( e j )
j 3
x[0 ]  0 1, But x[0 ]  0, Hence x[0 ]  1 47. (B) H ( e )  
X ( e j) 1  2 e  j
x[ n]  [ n]  [ n  1]  [ n  2 ] 3
 2 2
n n "
1  e  j Y ( e j)  e j X ( e j)
1 1 1 3 3
42. (C)
u[ n]  
DTFT


4 1  j 4
1 e " y[ n] 
2 2
y[ n  1]  x[ n  1]
4 3 3
 1  j
" 3 y[ n]  2 y[ n  1]  2 x[ n  1] .
d

n e
1 1 4
n
u[ n]   j
DTFT


2 d
1  1 e  j  2


1  1 e  j
4 4
n

! n
2
1 4
! x[ n]  X ( e
*********
 j0
)
n 0 n  9

43. (A) For all pass system H ( e j)  1 for all  . .


 j
b e
H ( e j) 
1  ae j
.
, b  e  j  1  a e  j . . .
1  b2  2 b cos   1  a 2  2 a cos 
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
307
For E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com

CHAPTER

5.7
THE CONTINUOUS-TIME FOURIER SERIES

x(t)
Statement for Q.1-5:
A
Determine the Fourier series coefficient for given
periodic signal x( t).
t
-2p
0 -4p 2p 4p
3 3
1. x( t) as shown in fig. P5.7.1
Fig. P5.7.3
4 pk 4 pk
A - j 3 A - j
x(t) (A) e - 1 (B) j e 3
- 1
2 pk 2 pk
10
4 pk 4 pk
A - j - A - j
t (C) - j e 3
- 1 (D) e 3
- 1
-10 -5 0 5 10 2 pk 2 pk

Fig. P5.7.1

p 4. x( t) as shown in fig. P5.7.4


(A) 1 (B) cos k x(t)
2
A
p
(C) sin k (D) 2
2 1
t
-1

-A
2. x( t) as shown in fig. P5.7.2
Fig. P5.7.4
x(t)
A A
A (A) (1 - ( - 1 ) k ) (B) (1 + ( - 1 ) k )
kp kp
A A
(C) (1 - ( - 1 ) k ) (D) (1 + ( - 1 ) k )
t jkp jkp
-T 0 T T T
4 2

Fig. P5.7.2 5. x( t) = sin 2 t


A p A p (A) -
1 1 1
d[ k - 1] + d[ k] - d[ k + 1]
(A) sin k (B) cos k
jpk 2 jpk 2 4 2 4

A p A p 1 1 1
(C) sin k (D) cos k (B) - d [ k - 2 ] + d[ k] - d[ k + 2 ]
pk pk 4 2 4
2 2
1 1
(C) - d[ k - 1] + d[ k] - d[ k + 1]
3. x( t) as shown in fig. P5.7.3 2 2
1 1
(D) - d[ k - 2 ] + d[ k] - d[ k + 2 ]
2 2
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
308
ForThe
E-books/Materials/Notes-PDFs|PPTs
Continuous-Time Fourier Series Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 5.7

Statement for Q.6-11: sin 9pt sin 9pt


(A) (B)
sin pt p sin pt
In the question, the FS coefficient of time-domain
sin 18 pt
signal have been given. Determine the corresponding (C) (D) None of the above
2 sin pt
time domain signal and choose correct option.
11. X [ k] As depicted in fig. P5.7.11, wo = p
7. X [ k] = jd[ k - 1] - jd[ k + 1] + d[ k + 3] + d[ k - 3], wo = 2 p
(A) 2(cos 3pt - sin pt) (B) -2(cos 3pt - sin pt) X [k]

(C) 2(cos 6 pt - sin 2 pt) (D) -2(cos 6 pt - sin 2 pt) 3

|k| 2
-1
8. X [ k] = , wo = 1 1

4
3
5 k
(A) (B) -6 -5 -4 -3 -2 -1 0 1 2 3 4 5 6
5 + 3 sin t 4 + 3 sin t
Fig. P5.7.11
5 4
(C) (D) (A) 3 cos 3pt + 2 cos 2 pt + cos pt
4 + 3 cos t 5 + 3 cos t
(B) 3 sin 3pt + 2 sin 2 pt + sin pt
9. X [ k] as shown in fig. P5.7.9 , wo = p
|{X [k]}| (C) 6 sin 3pt + 4 sin 2 pt + 2 sin pt
2
(D) 6 cos 3pt + 4 cos 2 pt + 2 cos pt
1
k
-6 -5 -4 -3 -2 -1 0 1 2 3 4 5 6
Statement for Q.12-16:
{X [k]}
p
4 Consider a continuous time periodic signal x( t)
k
with fundamental period T and Fourier series
-p
4 coefficients X [ k]. Determine the Fourier series
Fig. P5.7.9 coefficient of the signal y( t) given in question and
p p choose correct option.
(A) 6 cos 2 pt + - 3 cos 3pt -
4 4
p p 12. y( t) = x( t - to ) + x ( t - to )
(B) 4 cos 4 pt - - 2 cos 3pt +
4 4
p p 2p 2p
(C) 2 cos 2 pt + - 2 cos 3pt - (A) 2 cos kto X [ k] (B) 2 sin kto X [ k]
4 4 T T
p p
(D) 4 cos 4 pt + + 2 cos 3pt - (C) e - to
X [ k] + e to X [ -k] (D) e - to
X [ -k] + e to X [ k]
4 4

10. X [ k] As shown in fig. P5.7.10 , wo = 2 p 13. y( t) = Ev{x( t)}


|{X [k]}|
X [ k] + X [ - k] X [ k] - X [ - k]
(A) (B)
1 2 2
k
-6 -5 -4 -3 -2 -1 0 1 2 3 4 5 6 X [ k] + X *[ - k] X [ k] + X *[ - k]
(C) (D)
{X [k]}
2 2

8p
6p 14. y( t) =Re{x( t)}
4p
2p
X [ k] + X [ - k] X [ k] - X [ - k]
k (A) (B)
-2p 2 2
-4p
-6p X [ k] + X *[ - k] X [ k] + X *[ - k]
-8p (C) (D)
2 2
Fig. P5.7.10

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
309
For E-books/Materials/Notes-PDFs|PPTs
UNIT 5 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Signal & System

d 2 x( t) Statement for Q.20-21:


15. y( t) =
dt 2
2 2
Let x1 ( t) and x2 ( t) be continuous time periodic
2 pk 2 pk
(A) X [ k] (B) - X [ k] signal with fundamental frequency w1 and w2 , Fourier
T T
series coefficients X1 [ k] and X 2 [ k] respectively. Given
2 2
2 pk 2 pk that x2 ( t) = x1 ( t - 1) + x1 (1 - t)
(C) j X [ k] (D) - j X [ k]
T T
20. The relation between w1 and w2 is
16. y( t) = x( 4 t - 1) w1
(A) w2 = (B) w2 = w12
8p 4p 2
(A) X [ k] (B) X [ k]
T T
(C) w2 = w1 (D) w2 = w1
8 p 8 p
- jk jk
T T
(C) e X [ k] (D) e X [ k]
21. The Fourier coefficient X 2 [ k] will be
17. Consider a continuous-time signal (A) ( X1 [ k] - jX1 [ -k]) e - jw 1 k

x( t) = 4 cos 100 pt sin 1000 pt (B) ( X1 [ -k] - jX1 [ k]) e - jw 1 k


1 (C) ( X1 [ k] + jX1 [ -k]) e - jw 1 k
with fundamental period T = . The nonzero FS
50 (D) None of the above
coefficient for this function are
(A) X[ -4 ], X[ 4 ], X[ -7 ], X[7 ]
Statement for Q.22-23:
(B) X[ -1], X[1], X[ -10 ], X[10 ]
Consider three continuous-time periodic signals
(C) X[ -3], X[ 3], X[ -4 ], X[ 4 ]
whose Fourier series representation are as follows.
(D) X[ -9 ], X[9 ], X[ -11], X[11]
k 2p
100
1 - jk t
x1 ( t) = e 50
18. A real valued continuous-time signal x( t) has a k=0 3
2p
fundamental period T = 8. The nonzero Fourier series 100 - jk t
x2 ( t) = cos kp e 50

coefficients for x( t) are k = -100


2p
100
kp - jk 50 t
X [1] = X [ -1] = 4, X [ 3] = X *[ -3] = 4 j x3( t) =
k = -100
j sin
2
e

The signal x( t) would be


p 3p 22. The even signals are
(A) 4 cos t + 4 j sin t
4 4 (A) x2 ( t) only (B) x2 ( t) and x3( t)
p 3p (C) x1 ( t) and x3( t) (D) x1 ( t) only
(B) 4 cos t - 4 j cos t
4 4
p 3p p 23. The real valued signals are
(C) 8 cos t + 8 cos t +
4 4 2 (A) x1 ( t) and x2 ( t) (B) x2 ( t) and x3( t)
(D) None of the above (C) x3( t) and x1 ( t) (D) x1 ( t) and x3( t)

19. The continuous-time periodic signal is given as


24. Suppose the periodic signal x( t) has fundamental
2p 5p period T and Fourier coefficients X [ k]. Let Y [ k] be the
x( t) = 4 + cos t + 6 sin t
3 3 Fourier coefficient of y( t) where y( t) = dx( t) dt . The
The nonzero Fourier coefficients are Fourier coefficient X [ k] will be
(A) X [0 ], X [ -1], X [1], X [ -5 ], X [5 ] TY [ k] TY [ k]
(A) ,k0 (B)
j2 pk j2pk
(B) X [0 ], X [ -2 ], X [2 ], X [ -5 ], X [5 ]
(C) X [0 ], X [ -4 ], X [ 4 ], X [ -10 ], X [10 ] TY [ k] TY [ k]
(C) ,k0 (D)
jk jk
(D) None of the above
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
310
ForThe
E-books/Materials/Notes-PDFs|PPTs
Continuous-Time Fourier Series Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 5.7

25. Suppose we have given the following information The signal will be
about a signal x( t) : p p
(A) 4 cos t - 2 sin t
1. x( t) is real and odd. 4 4
2. x( t) is periodic with T = 2 p p
(B) 2 cos t + 4 sin t
3. Fourier coefficients X [ k] = 0 for |k|> 1 4 4

1
2 p p
2 (C) 2 cos t + 2 sin t
4.
20 x( t) dt = 1
4 4
(D) None of the above
The signal, that satisfy these condition, is
(A) 2 sin pt and unique Statement for Q.29-31:
(B) 2 sin pt but not unique
Consider the following three continuous-time
(C) 2 sin pt and unique signals with a fundamental period of T = 1
(D) 2 sin pt but not unique
x( t) = cos 2pt , y( t) = sin 2pt , z( t) = x( t) y( t)

26. Consider a continuous-time LTI system whose 29. The Fourier series coefficient X [ k] of x( t) are
frequency response is (A) 1
( d[ k + 1] + d[ k - 1])
2

sin 4 w (B) 1
( d[ k + 1] - d[ k - 1])
h( t) e
- jwt
H ( jw) = dt = 2

- w (C) 1
( d[ k - 1] - d[ k + 1])
2

The input to this system is a periodic signal (D) None of the above

2, 0 t 4
x( t) = 30. The Fourier series coefficient of y( t), Y [ k] will be
-2, 4 t 8 j
(A) 2
( d[ k + 1] + d[ k + 1])
with period T = 8. The output y( t) will be (B)
j
( d[ k + 1] - d[ k - 1])
2
pt pt
(A) 1 + sin 2
(B) 1 + cos
2
(C)
j
2
( d[ k - 1] - d[ k + 1])
4 4
(D) 1
( d[ k + 1] + d[ k + 1])
pt pt
2j
(C) 1 + sin + cos (D) 0
4 4 31. The Fourier series coefficient of z( t) , Z [ k] will be
(A) 1
4j
( d[ k - 2 ] - d[ k + 2 ])
27. Consider a continuous-time ideal low pass filter (B) 1
( d[ k - 2 ] - d[ k + 2 ])
2j
having the frequency response (C) 1
d[ k + 2 ] - d[ k - 2 ])
2j

1, |w| 80 (D) None of the above


H ( jw) =
0, |w|> 80
32. Consider a periodic signal x( t) whose Fourier series
When the input to this filter is a signal x( t) with
coefficients are
fundamental frequency wo = 12 and Fourier series
coefficients X [ k], it is found that x( t)
S
y( t) = x( t). 2, k =0

The largest value of|k,
| for which X [ k] is nonzero, is X [ k] = 1 |k|
j 2 , otherwise
(A) 6 (B) 80
(C) 7 (D) 12 Consider the statements
dx( t)
1. x( t) is real. 2. x( t) is even 3. is even
28. A continuous-time periodic signal has a dt
fundamental period T = 8. The nonzero Fourier series The true statements are
coefficients are as, (A) 1 and 2 (B) only 2
X [1] = X [ -1] = j , X [5 ] = X [ -5 ] = 2,
*
(C) only 1 (D) 1 and 3
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
311
For E-books/Materials/Notes-PDFs|PPTs
UNIT 5 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Signal & System

Statement for Q.33-36: A 2A 1 1


(A) + (sin t - sin 3t + sin 5 t....)
2 p 3 5
A waveform for one peroid is depicted in figure in
question. Determine the trigonometric Fourier series A 2A 1 1
(B) + (cos t - cos 2 t + cos 3t....)
and choose correct option. 2 p 2 3

A 2A 1 1
33. (C) + (cos t - cos 3t + cos 5 t....)
x(t)
2 p 3 5
1
A 2A 1 1
(D) + (sin t + cos t + sin 3t + cos 3t ....)
-p
2 p 3 3
p t

36.
-1
x(t)
Fig. P5.7.33 2
2 1 1 1
(A) (cos t + cos 2 t + cos 3t + cos 4 t +....)
p 2 3 4
-1 1 t
2 1 1 1
(B) (sin t - sin 2 t + sin 3t - sin 4 t +....) -1
p 2 3 4
Fig. P5.7.36
2 1 1 1
(C) (sin t + cos t - sin 2 t - cos 2 t + sin 3t +....)
p 2 2 3 1 12 1 1
(A) + (cos pt + cos 3pt + cos 5 pt +....)
2 1 1 1 2 p2 9 25
(D) (sin t + cos t + sin 3t + cos 3t + sin 5 t + ....)
p 3 3 5 12 1 1
(B) 3 + (cos pt + cos 3pt + cos 5 pt +....)
p2 9 25
34. 1 12 1 1
(C) + (sin pt - sin 3pt + sin 5 pt -....)
x(t) 2 p2 9 25
A 12 1 1
(D) 3 + (sin pt - sin 3pt + sin 5 pt -....)
p 2
9 25
-p
p t

-A *****

Fig. P5.7.34

A 4A 1 1
(A) + sin t + sin 2 t + sin 3t +....
2 p 2 3
A 4A 1 1
(B) + cos t + cos 3t + cos 5 t +....
2 p 3 5
4A 1 1
(C) sin t + sin 3t + sin 5 t + ....
p 3 5
4A 1 1
(D) cos t + cos 2 t + cos 3t +....
p 2 3

35.
x(t)
A

-p -p p p t
2 2

Fig. P5.7.35

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
312
ForThe
E-books/Materials/Notes-PDFs|PPTs
Continuous-Time Fourier Series Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 5.7


9. (D) x( t) = X [ k]e jpkt

SOLUTIONS p
k = -

p jp p
- j j - j
= 2e 4
e j ( -4 ) pt + e 4 e j ( -3) pt + e 4
e j ( 3) pt + 2 e 4 e j (4 )pt

1
T 2
A = 2( e - j ( 4 pt + p 4 ) + e j ( 4 pt + p 4 ) ) + ( e - j ( 3pt - p 4 ) + e j ( 3pt - p 4 ) )
Ad( t) e o dt =
- jkw t
1. (D) X [ k] = ,
T -T 2 T = 4 cos ( 4 pt + p 4) + 2 cos( 3pt - p 4)

A = 10 , T = 5, X [ k] = 2
10. (A) X [ k] = e - j 2 pk , -4 k 4
4 4
sin 9 pt
1
T 2
1
T 4
x( t) = e - j 2 pk
e jpkt = e - j 2 pk ( t -1 )
=
x( t) e Ae
- jkw ot - jkw ot
2. (C) X [ k] = dt = dt k = -4 k = -4 sin pt
T -T 2 T -T 4

T
11. (D) X [ k] =|k|, - 3 k 3
A e - jkw o t 4 A pk
= - jkw - T = pk sin 2
T o
4
x( t) = X [ k]e
k = -
jpkt

= 3e j ( -3) pt + 2 e j ( -2 ) pt + e j ( -1 ) pt + e j (1 ) pt + 2 e j ( 2 ) pt + 3e j ( 3) pt
4p
A, 0<t< = 6 cos 3pt + 4 cos 2 pt + 2 cos pt
2p 3
3. (B) T = 2p , wo = = 1, x( t) =
2p 0, 4p
< t < 2p 12. (A) x( t - to ) is also periodic with T. The Fourier
3
4 pk series coefficients X1 [ k] of x( t - to ) are
jA -
2p 4p 3 j
1 1
x( t) e dt =
- jkt
X [ k] = Ae- jkt dt = e 3
- 1 1 e- j kw o to

x( t - t ) e x( t) e
- jkw ot - jkw ot
2p 2p 2 pk X1 [ k] = dt = dt
0 0 T
o
T
T T

= e - jkw oto X [ k]
2p - A, - 1 < t < 0
4. (C) T = 2, wo = = p, x( t) = Similarly, the FS coefficients of x( t + to ) are
2 A, 0< t<1
X 2 [ k] = e jkw oto X [ k]
1
1 0 1
1
X [ k] = x( t) e - jkt dt = - Ae - jkpt dt + 0 Ae dt
- jkpt
The FS coefficients of x( t - to ) + x( t + to ) are
2 -1 2 -1
Y [ k] = X1 [ k] + X 2 [ k] = e - jkw oto X [ k] + e jkw oto X [ k]
A 1 - e jkp e - jkp -1 A
= + = (1 - ( -1) k ) = 2 cos ( wo kto ) X [ k]
2 jkp - jkp jkp
x( t) + x( - t)
2 13. (A) Ev{ x( t)} = ,
e jt - e - jt -1 2 jt 2
5. (A) sin 2 t = = ( e - 2 + e -2 jt )
2j 4 The FS coefficients of x( t) are
2p 1 1
The fundamental period of sin 2 ( t) is p and wo = =2 , X1 [ k] = x( -t) e - jkw ot dt = x( t) e jkw ot dt = X [ -k]
p TT T T
-1 1 1 Therefore, the FS coefficients of Ev{ x( t)} are
X [ k] = d[ k - 1] + d[ k] - d[ k + 1]
4 2 4 X [ k] + X [ -k]
Y [ k] =

2
7. (C) x( t) = X [ k]e j 2 pkt
= je j 2 p t - je - j 2 pt
+ e j6 pt + e - j6 pt

k = -
x ( t) + x *( t)
14. (C) Re{ x( t)} = ,
= - 2 sin 2 pt + 2 cos 6 pt 2
-k k
The FS coefficient of x *( t) is
-1
-1 jkt
-1 jkt
8. (D) x( t) = X [ k]e
k = -
jkt
=
k = - 3
e +
k=0 3
e 1
X1 [ k] = x *( t) e - jkw ot dt = X1*[ -k]
TT
-1 - jt
e 1
x( t) e
jkw ot
= 3 +
1
=
4 X1*[ k] = dt = X [ -k]
T
1 + e - jt 1 + e j t 5 + 3cos t
1 1 T

3 3 X1 [ k] = X *[ -k]
X [ k] + X *[ - k]
Y [ k] =
2
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
313
For E-books/Materials/Notes-PDFs|PPTs
UNIT 5 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Signal & System

 10
  4
 18. y[ n]  x[ n] x[ n N 2 ] , (assume that N is even)
13. X [ k]  cos  k  2 j sin  k
 19   19  (A) (1 ( 1) k  1 ) X [2 k] (B) (1 ( 1) k ) X [ k]
19 (C) (1 ( 1) k  1 ) X [ k] (D) (1 ( 1) k\ ) X [2 k]
(A) ( [ n  5 ]  [ n 5 ])  19 [ n  2 ] [ n 2 ]),|n| 9
2
1 19. y[ n]  x *[ n]
(B) ( [ n  5 ]  [ n 5 ])   [ n  2 ] [ n 2 ]),|n| 9
2
(A) X *[ k ] (B) X *[ k ]
9
(C) ( [ n  5 ]  [ n 5 ])  9 [ n  2 ] [ n 2 ]),|n| 9 (C) X *[ k ] (D) X *[ k ]
2
1
(D) ( [ n  5 ]  [ n 5 ])   [ n  2 ] [ n 2 ]),|n| 9 20. y[ n]  ( 1) n x[ n], (assume that N is even)
2
 N   N 

k  (A) X k (B) X k 
14. X [ k]  cos   2   2 
 21 
21  N   N 
(A)  [ n  4 ]  [ n 4 ]),|n| 10 (C) X k  1 (D) X k  1
2  2   2 
1
(B)  [ n  4 ]  [ n 4 ]),|n| 10
2 Statement for Q.21-23:
21
(C)  [ n  4 ] [ n 4 ]),|n| 10 Consider a discrete-time periodic signal
2
 1, 0  n  7
1 x[ n]  
(D)  [ n  4 ] [ n 4 ]),|n| 10  0, 8  n  9
2
with period N  10. Also y[ n]  x[ n] x[ n 1 ]
Statement for Q.15-20:

Consider a periodic signal x[ n] with period N and 21. The fundamental period of y[ n] is
FS coefficients X [ k]. Determine the FS coefficients Y [ k] (A) 9 (B) 10
of the signal y[ n] given in question. (C) 11 (D) None of the above

15. y[ n]  x[ n n ]
22. The FS coefficients of y[ n] are
 2
  2

j  n k j  n k
 N  N  8
  8

(A) e X [ k] (B) e X [ k] 1  j  k 
1  j  k 
(A) 1 e  5 (B) 1 e  5
(C) kX [ k] (D) kX [ k] 10  10 
   
 4
  4

16. y[ n]  x[ n] x[ n 2 ] 1  j k 
1  j k 
(C)  1 e  5  (D)  1 e  5 
 4
  4
 10  10 
(A) sin  k X [ k] (B) cos  k X [ k]    
 N   N 
 4
  4

 j  k   j  k 
(C)  1 e  N  X [ k] (D)  1 e  N  X [ k] 23. The FS coefficients of x[ n] are
  
k 
    j j  10  
k 
(A) e cosec  Y [ k], k  0
2  10 
17. y[ n]  x[ n]  x[ n  N 2 ] , (assume that N is even)

k 
N  j j  10  
k 
(A) 2 X [2 k 1], for 0  k   1 (B) e cosec  Y [ k], k  0
 2  2  10 

k 
N 1 j  10  
k 
(B) 2 X [2 k 1], for 0  k  (C) e sec  Y [ k]
2 2  10 
N  
k 
(C) 2 X [2 k], for 0  k   1 1 j  10  
k 
 2  (D) e sec  Y [ k]
2  10 
N
(D) 2 X [2 k], for 0  k 
2
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
318
ForThe
E-books/Materials/Notes-PDFs|PPTs
Discrete-Time Fourier Series Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 5.8

Statement for Q.24-27: 29. A real and odd periodic signal x[ n] has fundamental

Consider a discrete-time signal with Fourier period N  7 and FS coefficients X [ k]. Given that

representation. X [15 ]  j, X [16 ]  2 j, X [17 ]  3 j. The values of


X [0 ], X [ 1], X [ 2 ], and X [ 3] will be


DTFS ;
x[ n] " ""! 10
X [ k] (A) 0, j, 2 j, 3 j (B) 1, 1, 2, 3

In question the FS coefficient Y [ k] is given. (C) 1, 1, 2, 3 (D) 0, j , 2 j, 3 j


Determine the corresponding signal y[ n] and choose
30. Consider a signal x[ n] with following facts
correct option.
1. x[ n] is a real and even signal
24. Y [ k ]  X [ k 5 ]  X [ k  5 ] 2. The period of x[ n] is N  10

 
 3. X[11]  5
(A) 2 sin  n x[ n] (B) 2 cos  n x[ n]
5  5  1 9

 X [ k]
2
4.  50

 
 10 n 0
(C) 2 sin  n x[ n] (D) 2 cos  n x[ n]
2  2  The signal x[ n] is

 


k  (A) 5 cos  n (B) 5 sin  n
25. Y [ k]  cos  X [ k]  10   10 
 5 
1 1 
 

(A) ( x[ n  5 ]  x[ n  5 ]) (B) ( x[ n  2 ]  x[ n 2 ]) (C) 10 cos  n (D) 10 sin  n
2 2 5  5 
1
(C) ( x[ n  10 ]  x[ n  10 ]) (D) None of the above 31. Each of two sequence x[ n] and y[ n] has a period
2
N  4. The FS coefficient are
26. Y [ k]  X [ k] * X [ k] 1 1
2
X [0 ]  X [ 3]  X [1]  X [2 ]  1 and
( x[ n]) 2 2
(A) (B) j2
( x[ n]) 2
2

Y [0 ], Y [1], Y [2 ], Y [ 3]  1
(C) ( x[ n]) 2 (D) 2
( x[ n]) 2
The FS coefficient Z [ k] for the signal
27. Y [ k]  Re{ X [ k]} z[ n]  x[ n] y[ n] will be
x [ n]  x[ n] x [ n] x[ n] (A) 6 (B) 6|k|
(A) (B)
2 2

j k
(C) 6|k| (D) e 2
x [ n] x[ n] x [ n]  x[ n]
(C) (D)
2
2

32. Consider a discrete-time periodic signal

28. Consider a sequence x[ n] with following facts :  11



sin  n
1. x[ n] is periodic with N  6 x[ n]   20 


5
sin  n
2.  x[ n]  2
n 0
 20 
7 with a fundamental period N  20. The Fourier
3.  ( 1)
n 2
n
x[ n]  1
series coefficients of this function are
1
4. x[ n] has the minimum power per period among the (A) ( u[ k  5 ] u[ k 6 ]), |k| 10
set of signals satisfying the preceding three condition. 20
1
The sequence would be.. (B) ( u[ k  5 ] u[ k 5 ]), |k| 10
20
 1 1 1 1 1 #  1 1 1 #
(A)  ... , , , , , ...$ (B)  ...0, 1, , , , ...$ (C) ( u[ k  5 ] u[ k  6 ]), |k| 10
 2 6 2 6 2 %  2 3 4 %
(D) ( u[ k  5 ] u[ k 6 ]), |k| 10
 1 1 1 1 1 #
(C)  ... , , , , , ...$ (D) &...0, 1, 2, 3, 4, ...'
 3 6 3 6 3 %
************
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
319
ForThe
E-books/Materials/Notes-PDFs|PPTs
Discrete-Time Fourier Series Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 5.8


17. (C) Note that y[ n]  x[ n]  x [ n  N 2 ] has a period
11. (D) N  7, (   ,
7
 2
  2
  2

of N 2 and N has been assumed to be even,
3 j  kn j ( 1 )  n j (1 )  n  4

x[ n]   X [ k]e
n  3
 7 
 2e  7 
1  2e  7 
Y [ k] 
2 N 2 1

 ( x[ n]  x[ n  N 2 ]) e
j 
 N
kn

N n 0
 2

 4 cos  n 1  2 X [2 k] for 0  k  ( N 2 1)
 7 



18. (B) y[ n]  x[ n] x [ n N 2 ]

j  k
12. (C) N  12, (   , X [ k]  e  6    2
 N
j  k 
6 Y [ k]   1 e  N  2 X [ k]  (1 e j
k ) X [ k]

 
 
 
6 j  k j  kn 6 j  k ( n 1 )  
x[ n]  e
k  6
6 
e 6 
 e
k  6
6 

 0, k even


 9

  2 X [ k], k odd
sin  3
( n 1) 
j ( 4 ) ( n 1 ) j ( n 1 )
e 6  1 e 6

     4 


j ( n 1 )  
 19. (C) y[ n]  x *[ n]
 1 e 6 sin  ( n 1)
  12  1 N 1
 2

j  kn
  Y [ k] 
N
 x [ n]e
n 0
*  N
 X *[ k]

13. (A) N  19, (  


19 20. (A) With N even
 2
 N
 10
  10
 j 
X [ k]  cos  k  2 j sin  k y[ n]  ( 1) n x[ n]  e j
n x[ n]  e  N 2
x[ n]
 19   19 
 2
 N  2

N 1 j  j  kn
2

1  j ( 5) 19 k
2

k
2

 j ( 2 ) 19 2

k 1
 e  e
j ( 5)
19
 e
k
 e
j(2 )
19 Y [ k]  e  N 2
x[ n]e  N

2  
 


N n 0
 2
  N
N 1 j  n  k
1
By inspection 
N
 x[ n]e
n 0
 N  2 
 X [k N 2]
19
x[ n]  ( [ n  5 ]  [ n 5 ])  19 ( [ n  2 ] [ n 2 ]),
2
21. (B) y[ n] is shown is fig. S5.8.21. It has fundamental
Where |n| 9
y[n]


14. (A) N  21, (   1


21 9 n
2
2

 8
 1  

j ( 4 ) k j(4 ) k 1 2 3 4 5 7 8 10 11
X [ k]  cos  k   e e
21 21 -1
 21  2   Fig. S5.8.21
1
Since X [ k]   x[ n]e jk(n , By inspection
N nN period of 10.
 21
. , n  ,4  2

x[ n]   2 1 9 j  kn

. 0, otherwise n - { 10, 9, ......9. 10} 22. (B) Y [ k] 


10
 y[ n]e
n 0
 10 

 2
  8

1  j  k8
 10 
 1


1 e
j  k 

 2
  1 e  5
1 N 1 j  kn
10  10 
15. (B) Y [ k] 
N
 x[ n n ]e
n 0

 N
   

 2
  2
  2

N 1
1 j  N  kn  j  kn j  kn 

N
e  x[ n]e
n 0
 N
e  N
X [ k] 23. (A) y [ n]  x [ n] x [ n 1]
 2

j  k
Y [ k]
 10 
Y [ k]  X [ k] e X [ k] + X [ k]  

 2
  4
 j  k
j  2 k  j  k   5
16. (C) Y [ k]  X [ k] e  N
X [ k]   1 e  N X [ k] 1 e

 

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
321
For E-books/Materials/Notes-PDFs|PPTs
UNIT 5 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Signal & System


 

j  k
 10 
j  k
 10 
29. (D) Since the FS coefficient repeat every N. Thus
e Y [ k] e Y [ k]
+ X [ k]  
 

 X [1]  X [15 ], X [2 ]  X [16 ], X [ 3]  X [17 ]
j  k j  k 
k 
e  10 
e  10  2 j sin  The signal real and odd, the FS coefficient X [ k] will be
 10 

 purely imaginary and odd. Therefore X[0 ]  0
j j  k
 10  

 e cosec  k Y [ k] X [ 1]  X [1], X [ 2 ]  X [2 ], X [ 3]  X [ 3]
2  10 
Therefore (D) is correct option.

24. (D) (   , Y [ k]  X [ k 5 ]  X [ k  5 ] 30. (C) Since N  10, X [11]  X [1]  5


10
 j ( 5)
n

j ( 5) n  
 Since x[ n] is real and even X [ k] is also real and even.
+ y[ n]   e 10  e 10 x[ n]  2 cos  n x[ n]
  2  Therefore X [1]  X [ 1]  5.
8

 X [ k]  X [ k]
2 2
Using Parsevals relation  50 

 j k j k  N k  1

 e 5
e 5 8
25. (B) Y [ k]  cos  k X [ k]   X [ k]  X [ k]
2 2 2 2
X [ 1]  X [1]  X [0 ]   50
5   2 k2
 
8

 X [ k]


2 2
1  j(2 ) k j ( 2 ) k X [0 ]  0
  e 10  e 10
X [ k] k2
2 
Therefore X [ k]  0 for k  0, 2, 3, ..... 8.
1  2
  2

+ y[ n]  ( x[ n 2 ]  x[ n  2 ]) j  kn 8 j  kn
2 x[ n]   X [ k]e  N
  X [ k]e  10 

N k  1

 
  2

26. (C) Y [ k]  X [ k] * X [ k] + y[ n]  x[ n] x[ n]  ( x[ n]) 2
 
 10 cos 
n 
j  n j  n
 5 e  10 
e  10 
 5 
 
x[ n]  x[ n]
27. (A) Y [ k] Re{ X [ k]} + y[ n] Ev{ x[ n]} 
2
31. (A) z[ n]  x[ n] y[ n] ""
DTFS
!  X [ l ]Y [ k l ]
k / N0


28. (A) N  6, (   , 3

6 + Z [ k]   X [ l ]Y [ k l ]
l 0
5
From fact 2,  x[ n]  2
n 0
+ Z[ k ]  X [ 0 ] Y [ k ]  X [ 1 ] Y [ k 1 ]  X [ 2 ] Y [ k 2 ]  X [ 3 ] Y [ k 3 ]

 2

 Y [ k]  2 Y [ k 1]  2 Y [ k 2 ]  Y [ k 3]
5 j  ( 0 ) k
1 1 1
+
6
e
n 0
 6 
x[ n] 
3
+ X [0 ]  ,
3
Since Y [ k] is 1 for all values of k.
Thus Z [ k]  6, for all k.
7
From fact 3,  ( 1) n x[ n]  1
n 2 32. (A) N  20 We know that
 2

5 j  ( 3) k
1 1 1  11

+
6
e  6 
x[ n]  , X [ 3] 
6 6  1, |n| 5
sin  k
n 0 DTFS ;
" ""! 10  20 

By Parsevals relation, the average power in x[ n] is  0, 5 /|n| 10 

sin  k
5  20 
P   X [ k] ,
2

k0 Using duality


The value of P is minimized by choosing  11

sin  n

X [1]  X [2 ]  X [ 4 ]  X [5 ]  0  20  DTFS ; 1  1, |k| 5


" "" 10
! 
Therefore 
 20  0, 5 /|k| 10
sin  n
 2



3n
 20 
 6 1 1 1 1
x[ n]  X [0 ]  X [ 3]e 
  ( 1) n   ( 1) n
3 6 3 6
 1 1 1 1 1 #
x[ n]   ... , , , , , ...$ *********
 2 6 2 6 2 %

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
322
For E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com

CHAPTER

6.1
TRANSFER FUNCTION

1. The equivalent transfer function of three parallel R(s) C(s)


+ G(s)
blocks
1 1 s3 H(s)
G1 ( s)  , G2 ( s)  and G3( s)  is
s1 s4 s5
Fig. P.6.1.3
( s 3  10 s 2  34 s  37)
(A) s( s  2)( s  3)
( s  1)( s  4)( s  5) (A)
s  7 s 2  12 s  3
3

( s  3)
(B) s( s  2)( s  3)
( s  1)( s  4)( s  5) (B)
s  5 s2  4 s  3
3

( s 3  10 s 2  34 s  37)
(C) ( s  1)( s  4)
( s  1)( s  4)( s  5) (C)
s  7 s 2  12 s  3
3

( s  3)
(D) ( s  1)( s  4)
( s  1)( s  4)( s  5) (D)
s  5 s2  4 s  3
3

2. The block having transfer function


4. A feedback control system is shown in fig. P.6.1.4.
1 1 s1
G1 ( s)  , G2 ( s)  , G3( s)  The transfer function for this system is
s2 s5 s3
R 1 C
are cascaded. The equivalent transfer function is G1
+ G2 G3
( s 3  10 s 2  37 s 2  31)
(A)
( s  2)( s  3)( s  5) H2

s1 Fig. P.6.1.4


(B)
( s  2) ( s  3) ( s  5)
G1 G2
( s 3  10 s 2  37 s 2  31) (A)
(C) 1  H1 G1 G2 G3
( s  2)( s  3)( s  5)
G2 G3
( s  1) (B)
(D) G1 (1  H1 G2 G3)
( s  2)( s  3)( s  5)
G2 G3
(C)
3. For a negative feedback system shown in fig. P.6.1.3 1  H1 G1 G2 G3

s1 s3 G2 G3
G( s)  and H ( s)  (D)
s( s  2) s4 G1 (1  H1 G2 G3)

The equivalent transfer function is


www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
325
For E-books/Materials/Notes-PDFs|PPTs
UNIT 6 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Control Systems

5. Consider the system shown in fig. P.6.1.5. G1 G2


(D)
1  G1 H1  G2 H 2  G1 G2 H1 H 2
R(s) C(s)
G1 + G2 +
+ +
8. The closed loop gain of the system shown in fig.
P6.1.8 is
Fig. P.6.1.5
R C
+ 6
+
The input output relationship of this system is
1
3
R(s) C(s) R(s) C(s)
G1G2 1 + G1 + G1G2
Fig.P6.1.8

(A) (B) (A) 2 (B) 6


R(s) C(s) R(s) C(s)
G1 + G2 1 + G2 + G1G2 (C) 6 (D) 2

(C) (D) 9. The block diagrams shown in fig. P.6.1.9 are


equivalent if G is equal to
6. A feedback control system shown in fig. P.6.1.6 is R(s) C(s)
s+2
subjected to noise N ( s). s+1

N(s)
R(s) 1 C(s)
G +
s+1 +
R(s) + C(s)
+ +
G1 G2

Fig. P.6.1.9
H2

Fig. P.6.1.6 (A) s  1 (B) 2


(C) s  2 (D) 1
C ( s)
The noise transfer function N is
N ( s)
10. Consider the systems shown in fig. P.6.1.10. If the
G2 G2
(A) (B) forward path gain is reduced by 10% in each system,
1  G1 G2 H 1  G1 H
then the variation in C1 and C2 will be respectively
G2
(C) (D) None of the above R1 C1
1  G2 H 16 3

R2 C2
7. A system is shown in fig. P6.1.7. The transfer + 10
function for this system is

H1
Fig. P.6.1.10

R(s) + C(s)
G1 + G2 (A) 10% and 1% (B) 2% and 10%

(C) 10% and 0% (D) 5% and 1%


H2

Fig. P.6.1.7 11. The transfer function C


of the system shown in the
R

G1 G2 fig. P.6.1.11 is
(A)
1  G1 G1 H 2  G2 H1 R 1 C
+ H2 G1
H1
G1 G2
(B)
1  G1 G2  H1 H 2 G2

G1 G2 Fig. P.6.1.11
(C)
1  G1 H1  G2 H 2  G1 G2 H1 H 2

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
326
ForTransfer
E-books/Materials/Notes-PDFs|PPTs
Function Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 6.1

G1 H 2 G1 G2 H 2 15. A closed-loop system is shown in fig. P.6.1.15. The


(A) (B)
H1 (1  G1 G2 H 2 ) H1 (1  G1 G2 H 2 ) noise transfer function Cn ( s) N ( s) is approximately
G2 G1 G1 G2
(C) (D) R(s) 1 G1 C(s)
1  H1 H 2 G1 G2 H1 (1  G1 G2 H 2 )
-H2 H1

12. In the signal flow graph shown in fig. P6.1.12 the 1

sum of loop gain of non-touching loops is


N(s)
t44
t32 t43 Fig. P.6.1.15
t12 t23 t34 t45 t56
1
x1 x2 x3 x4 x5 x6 (A) For G1 ( s) H1 ( s) H 2 ( s)  1
t24 G1 ( s) H1 ( s)
t25
1
Fig. P.6.1.12 (B) For G1 ( s) H1 ( s) H 2 ( s)  1
H1 ( s)
(A) t32 t23  t44 (B) t23t32  t34 t43 1
(C) For G1 ( s) H1 ( s) H 2 ( s)  1
(C) t24 t43t32  t44 (D) t23t32  t34 t43  t44 H1 ( s) H 2 ( s)

1
(D) For G1 ( s) H1 ( s) H 2 ( s)  1
13. For the SFG shown in fig. P.6.1.14 the graph G1 ( s) H1 ( s) H 2 ( s)
determinant  is
-c C
16. The overall transfer function R
of the system shown
b in fig. P.6.1.16 will be
a d -H1 -H2
1 1
R 1 G
i h C
e j
f Fig. P.6.1.16

G
-g (A) G (B)
1  H2
Fig. P.6.1.13
G G
(A) 1  bc  fg  bcfg  cigj (C) (D)
(1  H1 )(1  H 2 ) 1  H1  H 2
(B) 1  bc  fg  cigj  bcfg

(C) 1  bc  fg  cig j  bcfg 17. Consider the signal flow graphs shown in fig.

(D) 1  bc  fg  bcfg  cigj P6.1.17. The transfer 2 is of the graph


1 1

14. The sum of the gains of the feedback paths in the


1
signal flow graph shown in fig. P.6.1.13 is
1 1
1 a b c 1 1

1 1
f e d 2 2
1 1 1
Fig. P.6.1.14
1 1
2 2
(A) af  be  cd  abef  bcde
Fig. P.6.1.17
(B) af  be  cd
(A) a (B) b
(C) af  be  cd  abef  abcdef
(C) b and c (D) a, b and c
(D) af  be  cd  cbef  bcde  abcdef

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
327
For E-books/Materials/Notes-PDFs|PPTs
UNIT 6 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Control Systems

18. Consider the List I and List II -H3


List I List II R(s) 1
-H2
1 1 2 3 4 1 C(s)
(Signal Flow Graph) (Transfer Function) (A) G1 G2

-H1H2
xi a b xo -H3 -H2
P. 1. a  b R(s) 1 C(s)
1 1 2 4 1
xi a xo (B) 3
G1 G2
Q. 2. ab
b -H2
-H2
xi 1 1 a xo R(s) 1
a 1 1 2 3 4 1 C(s)
S. 3. (C) G1 G2
b
(1  ab)
-H3
-H1H2
xi 1 a 1 xo a
R. 4. H3
1b R(s) 1 1 1 2 3 G2 4 1 C(s)
b (D) G1
The correct match is H2

P Q R S -H1

(A) 2 1 3 4
(B) 2 1 4 3
(C) 1 2 4 3 21. The block diagram of a system is shown in fig.
(D) 1 2 3 4 P.6.1.21. The closed loop transfer function of this system
is
19. For the signal flow graph shown in fig. P6.1.19 an
H1
equivalent graph is
ta R(s) C(s)
+ G1 + G2 G3
tc td
e1 tb e2 e3 e4
H2
Fig. P.6.1.19
Fig. P.6.1.21
ta + t b tctd tatb tc+ td
G1 G2 G3
e1 e3 e4 e1 e3 e4 (A)
1  G1 G2 G3 H1
(A) (B) G1 G2 G3
(B)
1  G1 G2 G3 H1 H 2
ta + tb tctd tatb tc+ td
e1 e2 e4 e1 e2 e4 G1 G2 G3
(C)
1  G1 G2 H1  G2 G3 H 2
(C) (D)
G1 G2 G3
(D)
20. Consider the block diagram shown in figure 1  G1 G2 H1  G1 G3 H 2  G2 G3 H1

P.6.1.20
22. For the system shown in fig. P6.1.22 transfer
1 2 3 4
R(s) C(s)
+ + G2 + G2 function C( s) R( s) is
G3

H1 H2
R(s) + C(s)
+ + +
G1 G2

H3
H1
Fig. P.6.1.20

For this system the signal flow graph is H2

Fig. P.6.1.22
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
328
ForTransfer
E-books/Materials/Notes-PDFs|PPTs
Function Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 6.1

G3 26. The transfer function of the system shown in fig.


(A)
1  H1 G2  H 2 G3  G1 G2 H 2 P.6.1.26 is
G3  G1 G2 R(s) + C(s)
(B) + G1 + G2
1  H1 G2  H 2 G3  G1 G2 H 2

G3 H1 H2
(C)
1  H1 G2  H 2 G3  G1 G2 H 2
Fig. P.6.1.26
G3
(D) G1 G2 G1 G2
1  H1 G2  H 2 G3  G1 G2 H 2 (A) (B)
1  G1 G2 H1  G1 G2 H 2 1  G2 H 2  G1 G2 H1

23. In the signal flow graph shown in fig. P6.1.23 the G1 G2 G1 G2


(C) (D)
1  G2 H 2  G1 G2 H1 H 2 1  G1 G2 H1 H 2
transfer function is
R 5 3 2 C
27. For the block diagram shown in fig. P.6.1.27
transfer function C( s) R( s) is
-3
Fig. P.6.1.23 C(s)
G8

(A) 3.75 (B) 3 R(s)


+
G1 G2 G3
(C) 3 (D) 3.75

G4 G5 G6
24. In the signal flow graph shown in fig. P6.1.24 the
+ +
gain C R is + G7 +
-1 -1 -1
R 1 1 C Fig. P.6.1.27
2 3 4
G1 G2
(A)
5 1  G1 G2  G1 G7G3  G1 G2 G8 G6  G1 G2 G3G7G5
Fig. P.6.1.24
G1 G2
44 29 (B)
(A) (B) 1  G1 G4  G1 G2 G8  G1 G2 G5G7  G1 G2 G3G6 G7
23 19
44 29 G1  G2
(C) (D) (C)
19 11 1  G1 G4  G1 G2 G8  G1 G2 G5G7  G1 G2 G3G6 G7
G1  G2
25. The gain C( s) R( s) of the signal flow graph shown in (D)
1  G1 G2  G3G6 G7  G1 G3G4 G5  G1 G2 G3G6 G7G8
fig. P.6.1.25 is
G4 28. For the block diagram shown in fig. P.6.1.28 the
G3
R(s) 1 1 C(s)
numerator of transfer function is
G1 G2
G1
-H1
R(s) C(s)
Fig. P.6.1.25 + G2 + G5 + G6
+ +

G1 G2  G2 G3
(A) G3
1  G1 G2 H1  G2 G3 H1  G4
G1 G2  G2 G3 +
+
(B) G4
1  G1 G3 H1  G2 G3 H1  G4
G1 G3  G2 G3
(C)
1  G1 G3 H1  G2 G3 H1  G4 Fig. P.6.1.28

G1 G3  G2 G3
(D) (A) G6 [ G4  G3  G5( G3  G2 )]
1  G1 G3 H1  G2 G3 H1  G4
(B) G6 [ G2  G3  G5( G3  G4 )]
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
329
For E-books/Materials/Notes-PDFs|PPTs
UNIT 6 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Control Systems

(C) G6 [ G1  G2  G3( G4  G5)] 33. The closed loop transfer function of the system
(D) None of the above G1

29. For the block diagram shown in fig. P.6.1.29 the R + C


+ G2 + G3
transfer function C( s) R( s) is
50( s  2) 50( s  2)
(A) 3 (B) 3 H1
s  s  150 s  100
2
s  s 2  150 s
50 s 50 Fig. P.6.1.32
(C) (D)
s  s  150 s  100
3 2
s  s  150
2

shown in fig. P6.1.33 is


30. For the SFG shown in fig. P.6.1.30 the transfer G2
C
function R
is
R + + C
R G1 G2 G3 1 C + G1 + G3 + G4

-H1 -H2 -H3 H3 H2 H1

Fig. P.6.1.30
Fig. P.6.1.33
G1  G2  G3 G1 G2 G3  G2 G3G4  G1 G4
(A) (A)
1  G1 H1  G2 H 2  G3 H 3 1  G1 G3G4 H1 H 2 H 3  G2 H 4 H1 H 2  G4 H1
G1  G2  G3 G2 G4  G1 G2 G3
(B) (B)
1  G1 H1  G2 H 2  G3 H 3  G1 G3 H1 H 3 1  G1 G3 H1 H 2 H 3  G4 H1  G3G4 H1 H 2
G1 G2 G3 G1 G3G4  G2 G4
(C) (C)
1  G1 H1  G2 H 2  G3 H 3 1  G3G4 H1 H 2  G4 H1  G1 G3 H 3 H 2
G1 G2 G3 G1 G3G4  G2 G3G4  G2 G4
(D) (D)
1  G1 H1  G2 H 2  G3 H 3  G1 G3 H1 H 3 1  G1 G3G4 H1 H 2 H 3  G3G4 H1 H 2  G4 H1

31. Consider the SFG shown in fig. P6.1.31. The  for


Statement for Q.34-37:
this graph is
G4 A block diagram of feedback control system is

-H3
shown in fig. P6.1.34-37
R 1 G1 G2 G3 1 C

-H1 R1(s) + C1(s)


+ G
-H2

Fig. P.6.1.31

(A) 1  G1 H1  G2 G3 H 3  G1 G3 H 2 R2(s) + C2(s)


+ G
+
(B) 1  G1 H1  G2 G3 H 3  G1 G3 H 3  G2 G4 H 2 H 3
(C) 1  G1 H1  G2 G3 H 3  G1 G3 H 3  G2 G4 H 2 H 3
Fig. P.6.1.34-37
(D) 1  G1 H1  G2 G3 H 3  G1 G3 H 3  G2 G4 H 2 H 3
C1
34. The transfer function is
32. The transfer function of the system shown in fig. R1 R2  0
P.6.1.32 is
G G(1  G)
G2 G3  G1 G3 G2 G3  G1 G3 (A) (B)
(A) (B) 1  2G2 1  2G2
1  G3 H1  G2 G3 1  G3 H1  G2 G3
G(1  2 G) G
G2 G3  G1 G3 G2 G3  G1 G3 (C) (D)
(C) (D) 1  G2 1  G2
1  G3 H1  G2 G3 1  G3 H1  G2 G3

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
330
ForTransfer
E-books/Materials/Notes-PDFs|PPTs
Function Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 6.1

C1 40. The transfer function for this system is


35. The transfer function is
R2 R1  0 5
G G
(A) (B) R1(s) C2(s)
1  2G2 1  G2 + 1
s
+
+ 2s

G2 G2
(C) (D)
1  2G2 1  G2 2

C2 Fig. P.6.1.40-41
36. The transfer function is
R1 R2  0 2 s(2 s  1) 2 s(2 s  1)
(A) (B)
G (1  G) G2 2 s 2  3s  5 2 s 2  13s  5
(A) (B)
1  2G2 1  2G2 2 s(2 s  1) 2 s(2 s  1)
(C) (D)
G 2
G 4 s 2  13s  5 4 s 2  3s  5
(C) (D)
1  G2 1  G2
41. The pole of this system are
C (A) 0.75 j1.39 (B) 0.41,  6.09
37. The transfer function 2 is
R2 R1  0 (C) 0.5,  1.67 (D) 0.25 j0.88
G(1  G) G
(A) (B)
1  2G2 1  2G2
G G ********
(C) (D)
1 G 1  G2

Statement for Q.3839:

A signal flow graph is shown in fig. P.6.1.3839.

G4

Y1 1 Y2 G1 Y3 G2 Y4 G3 Y5 1 Y5

-H1 -H2

-H3

Fig. P.6.1.38-39

Y2
38. The transfer function is
Y1
1 1  G2 H 2
(A) (B)
 
G1 G2 G3
(C) (D) None of the above


Y5
39. The transfer function is
Y2
G1 G2 G3  G4 G3
(A) (B) G1 G2 G3  G4 G3

G1 G2 G3  G4 G3 G1 G2 G3  G4 G3
(C) (D)
G1 G2 G3 1  G2 H 2

Statement for Q.4041:


A block diagram is shown in fig. P6.1.4041.

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
331
For E-books/Materials/Notes-PDFs|PPTs
UNIT 6 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Control Systems

10 10 9 9
C2   , C2   , C2 is reduced by 1%.
SOLUTIONS 10  1 11 9  1 10

1. (A) Ge ( s)  G1 ( s)  G2 ( s)  G3( s) 11. (A) Apply the feedback formula and then multiply
1
1 1 s3 by ,
   H1
( s  1) ( s  4) ( s  5)
s 2  9s  20  s 2  6s  5  s 3  5s 2  4s  3s 2  15s  12
1
 ( H 2 G1 ) 
(s  1)(s  4)(s  5) C
 H1   H 2 G1
s 3  10 s 2  34 s  37 R 1  H 2 G1 G2 H1 (1  G1 G2 H 2 )

( s  1)( s  4)( s  5)
12. (A) There cannot be common subscript because
( s  1) subscript refers to node number. If subscript is common,
2. (B) Ge ( s)  G1 ( s) G2 ( s) G3( s) 
( s  2)( s  5)( s  3) that means that node is in both loop.

C( s) G( s) 13. (D) L1  bc, L2   fg, L3  jgic, L1 L3  bcfg


3. (C) 
R( s) 1  H ( s) G( s)
  1  ( bc  fg  cigj)  bcfg  1  bc  fg  cig j  bcfg
s1
s( s  2) ( s  1)( s  4)
  3 14. (A) In this graph there are three feedback loop. abef
( s  3) ( s  1) s  7 s 2  12 s  3
1
( s  4) s( s  2) is not a feedback path because between path x2 is a
summing node.
4. (B) Multiply G2 and G3 and apply feedback formula
1 15. (B) By putting R ( s)  0
and then again multiply with .
G1  H 2 G1
P1   H 2 G1 , L1   G1 H 2 H1 , 1  1, Tn ( s) 
G2 G3 1  G1 H 2 H1
T( s) 
G1 (1  G2 G3 H1 )  H 2 G1 1
if G1 H 2 H1   1, Tn ( s)  
G1 H 2 H1 H1
5. (D) T( s)  G2 (1  G1 )  1  1  G1  G1 G2
16. (C) P1  G , L1   H1 , L2   H 2 , L1 L2  H1 H 2 , 1  1
6. (A) Open-loop gain  G2 G G
T( s)  
G2 1  H1  H 2  H1 H 2 (1  H1 )(1  H 2 )
Feed back gain  HG1 TN ( s) 
1  G1 G2 H
1 1 1 1
17. (B) Ga  1, Gb  1  1  2, Gc     1
7. (D) Apply the feedback formula to both loop and then 4 4 4 4
multiply There are no loop in any graph. So option (B) is correct.

G1
G2
T( s)    18. (B)
1  G1 H1  1  G2 H 2 
P. P1  ab,   1, L  0 , T  ab
G1 G2
 Q. P1  a, P2  b ,   1, L  k  0, T  a  b
1  G1 H1  G2 H 2  G1 G2 H1 H 2
a
R. P1  a, L1  b,   1  b, 1  1, T 
C 6 ab
8. (C) For positive feedback  6 a
R 1  6 31 S. P1  a, L1  ab,   1  ab, 1  1, T 
1  ab
9. (D) For system (b) closed loop transfer function
19. (A) Between e1 and e2 , there are two parallel path.
G G  s1 G  s1 s2
1 ,  , Hence G  1
s1 s1 s1 s1 Combining them gives ta  tb . Between e2 and e4 there
is a path given by total gain tc td . So remove node e3 and
10. (A) In open loop system change will be 10% in C1 place gain tc td of the branch e2 e4 . Hence option (A) is
also but in closed loop system change will be less correct.
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
332
ForTransfer
E-books/Materials/Notes-PDFs|PPTs
Function Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 6.1

20. (A) Option (A) is correct. Best method is to check the 28. (A) SFG:
signal flow graph. In block diagram there is feedback G3

from 4 to 1 of gain H1 H 2 . The signal flow graph of G4


G3
option (A) has feedback from 4 to 1 of gain H1 H 2 . R 1 G2 G5 G6 1 C

21. (C) Consider the block diagram as SFG. There are -G1
-1
two feedback loop G1 G2 H1 and G2 G3 H 2 and one
Fig. S6.1.28
forward path G1 G2 G3 . So (D) is correct option.
P1  G2 G5G6 , P2  G3G5G6 , P3  G3G6 , P4  G4 G6
22. (B) Consider the block diagram as a SFG. Two
If any path is deleted, there would not be any loop.
forward path G1 G2 and G3 and three loops
Hence 1  2  3  4  1
G1 G2 H 2 ,  G2 H1 ,  G3 H 2 .
C G4 G6  G3G6  G3G5G6  G2 G5G6
There are no nontouching loop. So (B) is correct. 
R 

23. (C) P1  5  3  2  30,   1  ( 3   3)  10


29. (A)
C 30
1  1 ,  3 -2
s -2
R 10 1
R 1 s2 1 C
50 s
24. (A) P1  2  3  4  24 , P2  1  5  1  5 (s + 1)

L1  2 , L2  3, L3  4, L4  5, -1

L1 L3  8,   1  ( 2  3  4  5)  8  23, Fig. S6.1.29

1  1, 2  1  ( 3)  4,
1 50 50
C 24  5  4 44 P1   s
  s2 ( s  1) s( s  1)
R 24 23
1 50 100
P2    ( 2)  2
s2 s  1 s ( s  1)
25. (B) P1  G1 G2 , P2  G3G2
50 2 100
L1  G3G2 H1 , L2  G1 G2 H1 , L3  G4 , 1  2  1 L1   
s1 s s( s  1)
There are no nontouching loop.
1 50 50
L2    s  ( 1) 
P1 1  P2 2 G1 G2  G2 G3 s2 s  1 s( s  1)
T( s)  
1  ( L1  L2  L3) 1  G1 G2 H1  G2 G3 H1  G4 1 50 100
L3    ( 2)  ( 1)  2
s2 s  1 s ( s  1)
26. (C) P1  G1 G2 , L1  G1 G2 H1 H 2 , L2  G2 H 2 100 50 100
 1   
C( s) G1 G2 s( s  1) s( s  1) s 2 ( s  1)

R( s) 1  G1 G2 H1 H 2  G2 H 2 1  2  1
C P1  P2 50( s  2)
  3
27. (B) There is one forward path G1 G2 . R  s  s2  150 s  100
Four loops G1 G4 ,  G1 G2 G8 ,  G1 G2 G5G7
and G1 G2 G3G6 G7 . 30. (D) P1  G1 G2 G3
L1   G1 H1 , L2   G2 H 2 , L3   G3 H 3
R(s) 1 G1 G2 1 C(s) L1 L3  G1 G3 H1 H 3
1   1  (  G1 H1  G2 H 2  G3 H 3 )  G1 G3 H1 H 3
-H1 H2   1  G1 H1  G2 H 2  G3 H 3  G1 G3 H1 H 3
Fig. S6.1.27 1  1
C G1 G2 G3
There is no nontouching loop. So (B) is correct. 
R 1  G1 H!  G2 H 2  G3 H 3  G1 G3 H1 H 3

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
333
For E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com

CHAPTER

6.2
STABILITY

1. Consider the system shown in fig. P6.2.1. The range The range of K to ensure stability is
of K for the stable system is 6 3
(A) K > (B) K < - 1 or K >
R(s) E(s) C(s) 8 4
+ K(s2 - 2s + 2)
3
(C) K < - 1 (D) -1 < K <
4
1
s2 + 2s + 1
5. Consider a ufb system with forward-path transfer
Fig. P6.2.1
function
1 1 K ( s + 3)
(A) -1 < K < - (B) - < K <1 G( s) =
2 2 s 4 ( s + 2)
(C) -1 < K < 1 (D) Unstable
The system is stable for the range of K

2. The forward transfer function of a ufb system is (A) K > 0 (B) K < 0
(C) K > 1 (D) Always unstable
K ( s 2 + 1)
G( s) =
( s + 1)( s + 2)
6. The open-loop transfer function of a ufb control
The system is stable for system is
(A) K < - 1 (B) K > -1 K ( s + 2)
G( s) =
(C) K < - 2 (D) K > -2 ( s + 1)( s - 7)

3. The open-loop transfer function with ufb are given For K > 6, the stability characteristic of the
below for different systems. The unstable system is open-loop and closed-loop configurations of the system
2 2 are respectively
(A) (B)
s+2 s 2 ( s + 2) (A) stable and unstable

2 2( s + 1) (B) stable and stable


(C) (D)
s( s + 2) s( s + 2) (C) unstable and stable
(D) unstable and unstable
4. Consider a ufb system with forward-path transfer
function 7. The forward-path transfer function of a ufb system is
K ( s + 3)( s + 5) K ( s 2 - 4)
G( s) = G( s) =
( s - 2)( s - 4) s2 + 3
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
335
For E-books/Materials/Notes-PDFs|PPTs
UNIT 6 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Control & System

For the system to be stable the range of K is 13. The open-loop transfer function of a ufb system is
3
(A) K > -1 (B) K <
4 R(s) 1 C(s)
+ K
s(s + 1)(s + 5)
3
(C) -1 < K < (D) marginal stable
4

8. A ufb system have the forward-path transfer function Fig. P6.2.12

K ( s + 6) K ( s + 10)( s + 20)
G( s) = G( s) =
s( s + 1)( s + 3) s 2 ( s + 2)

The system is stable for The closed loop system will be stable if the value of
(A) K < 6 (B) -6 < K < 0 K is
(C) 0 < K < 6 (D) K > 6 (A) 2 (B) 3
(C) 4 (D) 5
9. The feedback control system shown in the fig. P6.2.8.

R(s) K(1 + Ts) C(s) Statement for Q.1415:


+
s2(1 + s)
A feedback system is shown in fig. P6.14-15.
2
s
Fig. P6.2.9
R(s) + C(s)
+ K +
is stable for all positive value of K , if s2
s2
(A) T = 0 (B) T < 0
(C) T > 1 (D) 0 < T < 1 1
s+1

10. Consider a ufb system with forward-path transfer Fig. P6.2.1415

function
14. The closed loop transfer function for this system is
K s 5 + s 4 + 2 s 3 + ( K + 2) s 2 + ( K + 2) s + K
G( s) = (A)
( s + 15)( s + 27)( s + 38) s3 + s2 + 2 s + K
The system will oscillate for the value of K equal to 2 s 4 + ( K + 2) s 3 + Ks 2
(B)
(A) 23690 (B) 2369 s3 + s2 + 2 s + K
(C) 144690 (D) 14469 s3 + s2 + 2 s + K
(C)
s 5 + s 4 + 2 s 3 + ( K + 2) s 2 + ( K + 2) s + K
11. The forward-path transfer function of a ufb system is
s3 + s2 + 2 s + K
(D)
K ( s - 2)( s + 4)( s + 5) 2 s + ( K + 2) s 3 + Ks 2
4
G( s) =
( s 2 + 3)
15. The poles location for this system is shown in fig.
For system to be stable, the range of K is
1 3 P6.2.15. The value of K is
(A) K > (B) K <
54 40 jw

1 3
(C) <K < (D) Unstable
54 40 s

12. The closed loop system shown in fig. P6.2.12 become


marginally stable if the constant K is chosen to be Fig. P6.2.15
(A) 30 (B) -30
(A) 4 (B) -4
(C) 10 (D) -10
(C) 2 (D) -2

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
336
For E-books/Materials/Notes-PDFs|PPTs
UNIT 6 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Control & System

(A) stable (B) unstable 32. The closed loop transfer function of a system is
(C) marginally stable ( s + 8)( s + 6)
T( s) =
(D) More information is required. s 5 - s 4 + 4 s 3 - 4 s 2 + 3s - 2
The number of poles in RHP and in LHP are
27. The forward path transfer of ufb system is (A) 4, 1 (B) 1, 4
1 (C) 3, 2 (D) 2, 3
G( s)  2 2
4 s ( s + 1)
33. The closed loop transfer function of a system is
The system is
(A) stable (B) unstable s 3 + 3s 2 + 7 s + 24
T( s) =
s - 2 s 4 + 3s 3 - 6 s 2 + 2 s - 4
5

(C) marginally stable


(D) More information is required The number of poles in LHP, in RHP, and on jw
axis are
28. The forward-path transfer function of a ufb system is (A) 2, 1, 2 (B) 0, 1, 4
G( s) (C) 1, 0, 4 (D) 1, 2, 2
G( s) =
2 s4 + 5 s3 + s2 + 2 s
34. For the system shown in fig. P6.2.34. the number
The system is
of poles on RHP, LHP, and imaginary axis are
(A) stable (B) unstable
R(s) 507 C(s)
(C) marginally stable +
s4 + 3s3 + 10s2 + 30s + 169
(D) more information is required.
1
s
29. The open loop transfer function of a system is as
Fig. P6.2.34
K ( s + 0.1)
G( s) H ( s) = (A) 2, 3, 0 (B) 3, 2, 0
s( s - 0.2)( s 2 + s + 0.6)
(C) 2, 1, 2 (D) 1, 2, 2
The range of K for stable system will be
(A) K > 0.355 (B) 0.149 < K < 0.355 35. A Routh table is shown in fig. P6.2.36. The location
(C) 0.236 < K < 0.44 (D) K > 0.44 of pole on RHP, LHP and imaginary axis are

s7 1 2
30. The open-loop transfer function of a ufb control
system is given by s5 1 2

K s5 3 4
G( s) =
s( sT1 + 1)( sT2 + 1)
s4 1 -1
For the system to be stable the range of K is Fig. P6.2.35
1 1 1 1 (A) 1, 2, 4 (B) 1, 6, 0
(A) 0 < K < + (B) K > +
T1 T2 T1 T2 (C) 1, 0, 6 (D) None of the above
(C) 0 < K < T1 T2 (D) K > T1 T2
36. For the open loop system of fig. P6.2.35 location of
31. The closed loop transfer function of a system is poles on RHP, LHP, and an jw-axis are
s + 4 s + 8 s + 16
3 2
R(s) -8 C(s)
T( s) =
s + 3s 4 + 5 s 2 + s + 3
5
s6 + s5 - 6s4 + s2 + s - 6

The number of poles in right half-plane and in left Fig. P6.2.35

half-plane are (A) 3, 3, 0 (B) 1, 3, 2

(A) 3, 2 (B) 2, 3 (C) 1, 1, 4 (D) 3, 1, 2

(C) 1, 4 (D) 4, 1 ************


www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
338
For E-books/Materials/Notes-PDFs|PPTs
UNIT 6 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Control & System

11. (C) T( s) 
G( s) K 2 K 2
+ . Then apply feedback formula with 2 + and
1 + G( s) s 2
s s s
K ( s - 2)( s + 4)( s + 5) 1
= , and then multiply with s 2 .
Ks + (7 K + 1) s 2 + 2 Ks + ( 3 - 40 K )
3
( s + 1)
Routh table is as shown in fig. S.6.211 K 2
s2 2 +
s s 2 s 4 + ( K + 2) s 3 + Ks 2
T( s) = =
s3 K 2K 1 K 2 s3 + s2 + 2 s + K
1+ 2 +
s + 1 s s
s2 7K + 1 3 - 40 K
2
54 K - K
s1 7K + 1 15. (C) Denominator = s 3 + s 2 + 2 s + K
s0 3 - 40 K Routh table is as shown in fig. S.6.2.15
Fig. S.6.2.11
s3 1 5
K > 0,
1 s2 1 K
7K + 1 > 0 K >-
7
s1 2-K
54 K 2 - K 1 1 3
>0 K > <K <
7K + 1 54 54 40 s0 K
3
3 - 40 K > 0 K < Fig. S.6.2.15
40
Row of zeros when K = 2,
1 s 2 + 2 = 0, s = -1, j 2 , - j 2
12. (A) T( s) =
s + 6s + 5s + K
3 2

Routh table is as shown in fig. S.6.212 16. (D) Applying the feedback formula on the inner loop
and multiplying by K yield
s3 1 5 K
Ge ( s) = ,
s( s 2 + 5 s + 7)
s2 6 K
K
s1 30 - K T( s) =
s + 5s + 7s + K
3 2

s0 K
17. (B) Routh table is as shown in fig. S.6.2.17
Fig. S.6.2.12
s3 1 7
K ( s + 10)( s + 20)
13. (D) T( s) = 3
s + ( K + 2) s 2 + 30 Ks + 200 K s2 5 K
Routh table is as shown in fig. S.6.2.13 s1 35- K
5

s3 1 30K s0 K

Fig. S.6.2.17
s2 K +2 200K
35 - K
s1 30 K 2 - 140 K K >0 , >0 K < 35
5
s0 200K
18. (C) At K = 35 system will oscillate.
Fig. S.6.2.13
Auxiliary equation 5 s 2 + 35 = 0, s= j 7
200 K > 0 K > 0, 30 K 2 - 140 K > 0
14
K > , 5 satisfy this condition. 19. (B) For inner loop
3
K K K
Gi ( s) = = , Ti ( s) =
K 2 ( s - a)( s + 3a)( s + 4 a) P ( s) P ( s) + K
14. (B) First combine the parallel loop 2
and giving
s s K
For outer loop, Go( s) = Ti ( s) = ,
P ( s) + K
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
340
ForStability
E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 6.2

K 2K - 1 1
To( s)  , >0 K >
P ( s) + 2 K 2 2 K >
109

18 K - 109 109 18
Therefore if inner loop is stable for X < K < Y , then >0 K >
2K - 1 18
outer loop will be stable for X < 2 K < Y
X Y
<K < .
2 2 23. (B) Characteristic equation
s4 + 9 s3 + 20 s2 + Ks + K = 0
K ( s + 2)
20. (D) T( s) = Routh table is as shown in fig. S.6.2.23
s 4 + 3s 3 - 3s 2 + ( K + 3) s + (2 K - 4)
Routh table is as shown in fig. S.6.2.20 s4 1 20 K

s3 9 K
s4 1 -3 2K - 4
180 - K
s2 K
s3 3 K +3 9

K ( K - 99)
s1
s2 - ( K +312 ) 2K - 4 K -180

K ( K + 33) s0 K
s1 K + 12

Fig. S.6.2.23
s0 2K - 4
For stability 0 < K < 99
Fig. S.6.2.20
-( K + 12) K ( s + 2)
> 0 K < - 12, 2 K - 4 > 0 24. (C) T( s) =
3 s + 3s - 3s + ( K + 3) s + (2 K - 4)
4 2 2

K > 2 and K > -33, These condition can not be met


Routh table is as shown in fig. S.6.2.24
simultaneously. System is unstable
.
for any value of K

s4 1 -3 2K - 4
21. (D) Routh table is as shown in fig. S.6.2.21
s3 3 K +3
4
s 1 1 1 s2 - K + 12
2K - 4
3
3
s K 1 s1 K ( K + 33)
K + 12
K -1
s2 K
1 s0 2K - 4
1 K -1 - K 2
s K -1 Fig. S.6.2.24

s 0
1 For K < - 33, 1 sign change
For -33 < K < - 12, 1 sign change
Fig. S.6.2.21
K -1 - K2 For -12 < K < 0, 1 sign change
K > 0, K - 1 > 0 K >1 , > 0,
K -1 For 0 < K < 2, 3 sign change
But for K > 1 third term is always -ive. Thus the three For K > 2, 2 sign change
condition cannot be fulfilled simultaneously. Therefore K > 2 yield two RHP pole.

22. (D) Routh table is as shown in fig. S.6.2.22 25. (B) Routh table is as shown in fig. S.6.2.25

s4 1 4+K 25 s4 1 8 15

s3 2 9 s3 4 20

s2 2 K -1
2
25 s2 3 15

s1 18 K -109
2 K -1
s1 6 ROZ

s0 25 s0 15

Fig. S.6.2.22 Fig. S.6.2.25


www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
341
For E-books/Materials/Notes-PDFs|PPTs
UNIT 6 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Control & System

d P ( s) 2 RHP poles so unstable.


P ( s) = 3s 2 + 15, = 6 s, No sign change from s 2 to s 0
ds
on jw-axis 2 roots, RHP 0, LHP 2. 29. (B) The characteristic equation is 1 + G( s) H ( s) = 0
s( s - 0.2)( s 2 + s + 0.6) + K ( s + 0.1) = 0
26. (B) Closed-loop transfer function is
s 4 + 0.8 s 3 + 0.4 s 2 + ( K - 0.12) s + 0.1K = 0
G( s) 240
T( s) = = 4
1 + G( s) s + 10 s + 35 s 2 + 50 s + 264
3 Routh table is as shown in fig. S.6.2.29

Routh table is as shown in fig. S.6.2.26


s4 2 0.4 1
4
s 1 35 264 s3 0.8 K - 0.12
3
s 10 50 s2 0.55 - 125
. K 0.1K
2
s 30 264 s1 -1 .25K 2 + 0 .63K - 0 .066
0 .55-1 .25K

s1 -386 ROZ s0 0.1K


0
s 264 Fig. S.6.2.29
Fig. S.6.2.26 K > 0, 0.55 - 125
. K > 0 K < 0.44
Two sign change. RHP-2 poles. System is not stable.
-125
. K 2 + 0.63K - 0.066 > 0
27. (C) Closed loop transfer function ( K - 0.149)( K - 0.355) < 0, 0.149 < K < 0.355
G( s) 1
T( s) = =
1 + G( s) 4 s 4 + 4 s 2 + 1
30. (A) Characteristic equation
Routh table is as shown in fig. S.6.2.27
s( sT1 + 1)( sT2 + 1) + K = 0
s4 4 4 1
T1 T2 s 3 + ( T1 + T2 ) s2 + s + K = 0
s3 16 8 ROZ
Routh table is as shown in fig. S.6.2.30
s2 2 1

s1 46 ROZ s3 T1 T2 1

s0 1 s2 T1 + T2 K
( T1 + T2 ) - T1 T2K
Fig. S.6.2.27 s1 T1 + T2

dp( s) s0
P ( s) = 4 s 4 + 4 s + 1, = 16 s 3 + 3s K
ds
There is no sign change. So all pole are on jwaxis. So Fig. S.6.2.30

system is marginally stable. 1 1


K > 0, ( T1 + T2 ) - T1 T2 K > 0 0 < K < +
T
1 T2

28. (B) Closed loop transfer function


G( s) 1 31. (B) Routh table is as shown in fig. S.6.2.31
T( s) = = 4
1 + G( s) 2 s + 5 s + s 2 + 2 s + 1
3

Routh table is as shown in fig. S.6.2.28 s5 1 5 1

s4 3 4 3
s4 2 1 1
s3 3.67 0
s3 5 2
s2 4 3
s2 1
5
1
s1 -2.75
s1 -23
s0 3
s0 1

Fig. S.6.2.28 In RHP -2 poles. In LHP -3 poles.


www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
342
ForStability
E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 6.2

32. (C) Routh table is as shown in fig. S.6.2.32 dP ( s)


P ( s) = 3s 4 + 30 s 2 + 507, = 12 s 3 + 60
e + - ds
s5 1 4 3
From s 4 row down to s 0 there is one sign change. So
+ +
s 4
1 4 2 LHP1 + 1 = 2 pole. RHP1 pole, jw-axis -2 pole.
- -
s 3
 1
+ - 35. (A) Notice that in s 5 row there would be zero. In this
+ - s2 14

2 row coefficient of dP ( s )
, where P ( s) = s6 + 2 s 4 - s 2 - 2
ds

s1 22 +1-4 have been entered. From s6 to row down to the s 0 row,
+ + 1-4

there is one sign change. So there is one pole on RHP.


- - s0 -2
Corresponding to this pole there is a pole on LHP.
Fig. S.6.2.32
Corresponding to this pole there is a pole on LHP. Rest
3 RHP, 2 LHP poles.
4 out of 6 poles are on imaginary axis. Rest 1 pole is on
LHP.
33. (B) Routh table is as shown in fig. S.6.2.33

s5 1 3 2 36. (A) Routh table is as shown in fig. S.6.2.36


s 4
-2 -6 -4
e + - s6 1 -6 -6
s 3
-2 -3 ROZ + +
s5 1 0
s 2
-3 -4 + +
s4 -6 0
s 1
- 1 - -
3
s3 -24 0 ROZ
- -
s 0
-4
s2 e
Fig. S.6.2.33 + -
s1 - 144
e
dP ( s) - +
P ( s) = - 2 s - 6 s ,
4 2
= - 8 s 3 - 12 s , -2 , -3
ds s0 -6
4
No sign change exist from the s row down to the s row. 0 - -
Fig. S.6.2.36
Thus, the even polynomial does not have RHP poles. Therefore dP ( s)
P ( s) = - 6 s 4 - 6, = -24 s 3 ,
because of symmetry all four poles must be on jw-axis. ds
jw-axis 4 pole There is two sign change from the s 4 row down to the s 0
RHP 1 pole (1 sign change) row. So two roots are on RHS. Because of symmetry rest
LHP 0 pole two roots must be in LHP. From s6 to s 4 there is 1 sign
change so 1 on RHP and 1 on LHP.
34. (D) Closed loop transfer function Total LHP 3 root, RHP 3 root.
G( s)
T( s) =
1 + G( s) + H ( s)
507 s
=
s 5 + 3s 4 + 10 s 3 + 30 s 2 + 169 s + 507
Routh table is as shown in fig. S.6.2.34 ***********
5
s 1 10 69

s4 3 30 57

s3 12 60 ROZ

s2 15 507

s1 -345.6

s0 507

Fig. S.6.2.33
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
343
ForTime
E-books/Materials/Notes-PDFs|PPTs
Response Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 6.3

8. A system is shown in fig. P6.3.8. The rise time and s2


d. T( s) 
settling time for this system is s2  9

( s  5)
R(s) 10 C(s) e. T( s) 
1
s
( s  10) 2
(s + 10)

Fig. P6.3.8 Consider the following response


1. Overdamped 2. Under damped
(A) 0.22s, 0.4s (B) 0.4s, 0.22s
3. Undamped 4. Critically damped.
(C) 0.12s, 0.4s (D) 0.4s, 0.12s
The correct match is
9. For a second order system settling time is Ts  7 s and 1 2 3 4
peak time is Tp  3 s. The location of poles are (A) a c d e
(A) 0.97  j0.69 (B) 0.69  j0.97 (B) b a d e
(C) 1.047  j0.571 (D) 0.571  j1.047 (C) c a e d
(D) c b e d
10. For a second order system overshoot  10% and
peak time Tp  5 s. The location of poles are
15. The forward-path transfer of a ufb control system is
(A) 0.46  j0.63 (B) 0.63  j0.46
1000
(C) 0.74  j0.92 (D) 0.92  j0.74 G( s) 
(1  0.1s)(1  10 s)

11. For a second-order system overshoot  12 % and The step, ramp, and parabolic error constants are
settling time  0.6 s. The location of poles are
(A) 0, 1000, 0 (B) 1000, 0, 0
(A) 9.88  j6.67 (B) 6.67  j9.88
(C) 0, 0, 0 (D) 0, 0, 1000
(C) 4.38  j6.46 (D) 6.46  j4.38

Statement for Q.1213: 16. The open-loop transfer function of a ufb control
system is
A system has a damping ratio of 1.25, a natural
K (1  2 s)(1  4 s)
frequency of 200 rad/s and DC gain of 1. G( s) 
s 2 ( s 2  2 s  8)
12. The response of the system to a unit step input is The position, velocity and acceleration error
5 50 t 2 150 t 4 100 t 1 400 t constants are respectively
(A) 1  e  e (B) 1  e  e
3 3 3 3 K
(A) 0, 0, 4K (B)  , , 0
1 100 t 4 400 t 2 50 t 5 150 t 8
(C) 1  e  e (D) 1  e  e
3 3 3 3 K
(C) 0, 4 K ,  (D) , ,
8
13. The system is
(A) overdamped (B) under damped 17. The open-loop transfer function of a unit feedback
(C) critically damped (D) None of the above system is
50
14. Consider the following system G( s) 
(1  0.1s)(1  2 s)
5
a. T( s)  The position, velocity and acceleration error
( s  3)( s  6)
constants are respectively
10( s  7)
b. T( s)  (A) 0, 0, 250 (B) 50, 0, 0
( s  10)( s  20)
(C) 0, 250,  (D) , 50, 0
20
c. T( s)  2
s  6 s  144
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
345
For E-books/Materials/Notes-PDFs|PPTs
UNIT 5 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Control Systems

Statement for Q.1819:


D(s)

The forward-path transfer function of a unity


R(s) 1 + 100 C(s)
feedback system is + +
(s + 5) s(s + 2)
K
G( s) 
s ( s  a)
n

Fig. P6.3.22
The system has 10% overshoot and velocity error
49 49
constant K v  100. (A)  (B)
11 11

18. The value of K is 63 63


(C)  (D)
11 11
(A) 237  10 3
(B) 144
(C) 14.4  10 3
(D) 237
23. The forward path transfer function of a ufb system
19. The value of a is is
.  10
(A) 237 3
(B) 237 K
G( s) 
(C) 14.4  10 3
(D) 144 s( s  4)( s  8)( s  10)

If a unit ramp is applied, the minimum possible


20. For the system shown in fig. P6.3.20 the steady
steady-state error is
state error component due to unit step disturbance is
(A) 0.16 (B) 6.25
0.000012 and steady state error component due to unit
(C) 0.14 (D) 7.25
ramp input is 0.003. The values of K 1 and K 2 are
respectively
24. The forward-path transfer function of a ufb system
D(s)
is

C(s) 1000( s 2  4 s  20)( s 2  20 s  15)


R(s)
+
K1(s + 2)
+
+ K2 G( s) 
(s + 3) s(s + 4) s 3( s  2)( s  10)

The system has r ( t)  t 3 applied to its input. The


steady state error is
Fig. P6.3.20
(A) 4  10 4 (B) 0
(A) 16.4, 1684 (B) 1250, 2.4
(C)  (D) 2  10 5
(C) 125  10 , 0.016
3
(D) 463, 3981

25. The transfer function of a ufb system is


21. The transfer function for a single loop nonunity
feedback control system is 10 5( s  3)( s  10)( s  20)
G( s) 
1 1 s( s  25)( s  a)( s  30)
G( s)  , H ( s) 
s2  s  2 ( s  1)
The value of a to yield velocity error constant
The steady state error due to unit step input is K v  10 4 is
6 6 (A) 4 (B) 0
(A) (B)
7 5
(C) 8 (D) 16
2
(C) (D) 0
3
26. A system has position error constant K p  3. The
steady state error for input of 8tu( t) is
22. For the system of fig. P6.3.22 the total steady state
(A) 2.67 (B) 2
error due to a unit step input and a unit step
disturbance is (C)  (D) 0
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
346
ForTime
E-books/Materials/Notes-PDFs|PPTs
Response Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 6.3

27. The forward path transfer function of a unity If the system is subjected to an input
feedback system is r ( t)  1  t  1
2
t 2 , t  0 the steady state error of the
1000 system will be
G( s) 
( s  20)( s 2  4 s  10) (A) 0 (B) 0.1

For input of 60u( t) steady state error is (C) 10 (D) 


(A) 0 (B) 300
32. The system shown in fig. P6.3.32 has steady-state
(C)  (D) 10
error 0.1 to unit step input. The value of K is

28. For ufb system shown in fig. P6.3.28 the transfer


R(s) K C(s)
+
function is (s + 1)(0.1s + 1)

R(s) E(s) C(s)


+ G(s)

Fig. P6.3.32

Fig. P6.3.28 (A) 0.1 (B) 0.9

20( s  3)( s  4)( s  8) (C) 1.0 (D) 9.0


G( s) 
s 2 ( s  2)( s  15)
Statement for Q.3334:
If input is 30 t 2 , then steady state error is
Block diagram of a position control system is
(A) 0.9375 (B) 0
shown in fig.P6.3.3334.
(C)  (D) 64
R(s) 1 C(s)
+ Ka +
29. The forward-path transfer function of a ufb control s(0.5s + 1)
system is
450( s  8)( s  12)( s  15) sKt
G( s) 
s( s  38)( s 2  2 s  28)
Fig. P6.3.3334
The steady state errors for the test input 37tu( t) is
(A) 0 (B) 0.061 33. If K t  0 and K a  5, then the steady state error to
unit ramp input is
(C)  (D) 609
(A) 5 (B) 0.2
30. In the system shown in fig. P6.3.30, r ( t)  1  2 t, (C)  (D) 0
t  0. The steady state error e( t) is equal to
34. If the damping ratio of the system is increased to
r(t) e(t) 10(s + 1) c(t) 0.7 without affecting the steady state error, then the
+
s2(s + 2) value of K a and K t are
(A) 86, 12.8 (B) 49, 9.3
(C) 24.5, 3.9 (D) 43, 6.4
Fig. P6.3.30

1 35. A system has the following transfer function


(A) (B) 5
5
100( s  15)( s  50)
(C) 0 (D)  G( s) 
s 4 ( s  12)( s 2  3s  10)

31. A ufb control system has a forward path transfer The type and order of the system are respectively
function (A) 7 and 5 (B) 4 and 5
10(1  4 s) (C) 4 and 7 (D) 7 and 4
G( s) 
s 2 (1  s)

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
347
ForTime
E-books/Materials/Notes-PDFs|PPTs
Response Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 6.3

10 1 1
8. (A) C( s)   
SOLUTIONS s( s  10) s s  10
c( t)  1  e 10 t
1. (D) Characteristic equation is s  9 s  18. 2
2.2 2.2
a  10, Rise time Tr    0.22s

 18, 2
n  9
2
n
a 10
Therefore  106
. ,
n  4.24 rad/s 4
Settling time Ts   0.4s
a
1 0.6
2. (A) T( s)  4 
6 ( s  0.8) 2  (0.6) 2 9. (D)
n   0.571,
n 1  2   1047
.
Ts Tp

n 1  2  0.6 ,
n  0.8
Poles   0.571  j1.047
Hence
n  1,  0.8


1  2
3. (A) Characteristic equation is 10. (A) 0.1  e  0.59
s  { s  ( 3  j 4)}{ s  ( 3  j 4)}  ( s  3)  4 . 2 2


n  1  2  0.779,
 s  6 s  25,
 25
2 2

n  5 rad/s Tp
n

6 Poles  
n  j
n 1  2   0.46  j0.63
2
n  6 ,   0.6
25


1  2 4
16 4 11. (B) 0.12  e  0.56,
n   1192
.
4. (A) T( s)   Ts
( 4 s 2  8 s  16) ( s 2  2 s  4)
Therefore Poles  
n  j
n 1  2   6.67  j9.88

2n  4
n  2 , 2
n  2,  0.5
Note :


5 32
.
5. (D) M p  e 1  2
  0.05, Ts  , For 0   0.69
100
n
 4.5
3  0.69, Ts  , For  0.69
1 2
n

1 K
T( s)   2
n2 40000
1  G( s) s  2 s  K 12. (B) T( s)   2
s  2
n s 
n
2
s  500 s  40000
1
2
n  2,
n   1.45 40000
0.69 
( s  100)( s  400)
Peak time,
   40000 1 4 1
Tp     3 sec R( s)    

d
n  2 s( s  100)( s  400) s 3( s  100) 3( s  400)
1.45 (1  0.69 2 )
4 100 t 1 400 t
But the peak time Tp given is 1 sec. Hence these two r ( t)  1  e  e
3 3
specification cannot be met.
13. (A) System has two different poles on negative real
K1
6. (C) T( s)  2 , axis. So response is over damped.
s  ( K 2  s)  K 1

2n  K 1 , 2
n  1  K 2 14. (A) 1. Overdamped response (a, b)

d  0.10,  0.6,
d 
n 1  0.6 2  10 Poles : Two real and different on negative real axis.
2. Underdamped response (c)

n  12.5 K 1  156.25,
Poles : Two complex in left half plane
2
n 3  K 2  1
3.Undamped response (d)
2  12.5  0.6  K 2  1 K 2  14
Poles : Two imaginary.
 4.Critically damped (e)

1  2
7. (A) M p  e , At  0, M p  1  100% Poles : Two real and same on negative real axis.
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
349
For E-books/Materials/Notes-PDFs|PPTs
UNIT 5 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Control Systems

15. (B) K p  lim G ( s)  1000 sR( s)


s 0 ess  lim sE( s)  lim ,
s 0 s 0 1  G ( s)
K v  lim sG( s)  0
s 0 1 K 1 K 2 ( s  2)
K a  lim s 2 G( s)  0 R( s)  , G( s) 
s 0 s2 s( s  3)( s  4)
1 6
16. (D) H ( s)  1, K p  lim G( s)  H ( s)   ess  lim 
s 0 s 0 K 1 K 2 ( s  2) K 1K 2
s
K v  lim sG( s)  H ( s)   ( s  3)( s  4)
s
K 6
K a  lim s 2 G ( s)  H ( s)   0 .003 K 2  0.016
s 0 8 125  10 3 K 2

17. (B) H ( s)  1, K p  lim G( s)  H ( s)  50 21. (C) E( s)  R( s)  C( s) H ( s)


s 0
R( s) G( s) H ( s) R( s)
K v  lim sG( s)  H ( s)  0  R( s)  
s 0 1  G( s) H ( s) 1  G( s) H ( s)
K a  lim s 2 G( s)  H ( s)  0.
s 0
s
18. (C) System type  1, so n  1 s 2
ess  lim sE( s)  lim 
s 0 s 0 1 1 3
K 1 2
K v  lim sG( s)   100 ( s  s  2) ( s  1)
s 0 a
For 10% overshoot,
sR( s)  sD( s) G2 ( s)

 22. (A) ess  lim
0.1   e 1  2
 0.6
s 0 1  G1 ( s) G2 ( s)

G( s) K 1 100
T( s)   2 where G1 ( s)  and G2 ( s) 
1  G ( s) s  as  K s5 s2
1
2
n  a ,
n2  K 2  0.6 K  a R( s)  D( s) 
s
K
 0.6 K  100 K  14400 100
2 1
2 49
ess  
1 100 11
K 1 
19. (D)  100, K  14400, 5 2
a
14400
 100 a  144 23. (A) Using Routh-Hurwitz Criterion, system is stable
a
for 0  K  2000
20. (C) If R ( s)  0 2000
maximum K v  lim sG( s)   6. 25
s 0 4  8  10
K2
s( s  4) 1 1
TD( s)  minimum possible error   0.16
K 1 K 2 ( s  2) K v 6.25
1
s( s  4)( s  3)
6 R( s)
K 2 ( s  3) 24. (A) R( s)  , E( s) 
 s4 1  G ( s)
s( s  3)( s  4)  K 1 K 2 ( s  2)
ess  lim sE( s)
Error in output due to disturbance s 0
6s
E( s)  TD( s) D ( s), s4
 lim
1 s 0 1000 ( s  4 s  20) ( s 2  20 s  15)
2
If D( s)  , 1
s s 3( s  2) ( s  10)
1 3 6
essD  lim sE( s)  lim s   TD( s)  lim TD( s)   lim
s 0 s 0 s s 0 2 K1 s 0 1000 ( s 2  4 s  20) ( s 2  20 s  15)
s 
3

3 ( s  2) ( s  10)
 0.000012 K 1  125  10 3
2 K1 6
  4  10 4
Error due to ramp input 0 1000 20 15
2 10
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
350
ForTime
E-books/Materials/Notes-PDFs|PPTs
Response Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 6.3

25. (A) K v  lim sG( s) 5 1


s 0 G( s)  , H ( s)  1, R( s)  2
s(0.5 s  1) s
10 4  3  10  20
10 4  a4 1
25  a  30 s
s2 1
ess  lim   0.2
s 0 5 5
1 1
26. (C) System is zero type K v  0, ess    s(0.5 s  1 )
Kv

34. (C) The equivalent open-loop transfer function


27. (D) K p  lim G( s)  5
s 0 Ka
60 s(0.5 s  1) Ka
For input 60u( t), ess   10 Ge  
1  Kp sK t s(0.5 s  1  K t )
1
s(0.5 s  1)
G( s) Ka
28. (A) K a  lim s 2 G( s)  64 T( s)  
s 0 1  G( s) 0.5 s 2  s(1  K t )  K a
30  2
ess   0.9375 
2K a
64 s 2  2 s(1  K t )  2 K a

29. (B) K v  lim sG( s)  609.02


2n  2 K a
n  2K a
s 0

37 2
n  2(1  K t )
ess   0.0607 Kt
Kv 1   0.7 ... (i)
2K a
30. (C) The system is type 2. Thus to step and ramp sR( s) 1
ess  lim , R ( s)  2
input error will be zero.
s 0 1  Ge ( s) s
G( s) R( s) R( s) 1 1  Kt
E( s)  R( s)  C( s)  R( s)   ess  lim 
1  G ( s) 1  G( s) s 0  Ka  Ka
s  1  
1 2 s2  s(0.5 s  1  K t ) 
R( s)   
s s2 s2 1  Kt
ess   0. 2 ...(ii)
s2 Ka
E( s) 
10( s  1)
s2  Solving (i) and (ii)
( s  2)
K a  24.5 , K t  39
.
ess ( t)  lim sE( s)  0
s 0
35. (C) The s has power of 4 and denominator has order
31. (C) System is type 2. Therefore error due to 1  t of 7. So Type 4 and Order 7.
t2 1
would be zero and due to would be .
2 Ka 8
36. (D) For 8u( t), ess   2.
1 1  Kp
K a  lim s 2 G( s)  10, ess ( t)   0.1
s 0 10 For 8tu( t), ess  , since the system is type 0.
Note that you may calculate error from the formula
37. (A) For equivalent unit feedback system the forward
sR( s)
ess ( t)  lim sE( s)  transfer function is
s 0 1  G( s)
10 ( s  10 )
G( s) s (s  2 )
Ge   10 ( s  10 )( s  3)
1  G( s) H ( s)  G( s) 1 
32. (D) K p  lim G( s)  K s (s  2 )
s 0
10( s  10)
1 1 
ess ( t)    0.1 K  9. 11s  132 s  300
2

1  Kp 1  K
The system is of Type 0. Hence step input will produce a
constant error constant.
sR( s)
33. (B) ess  lim e( t)  lim sE( s)  lim
t  s 0 s 0 1  G( s) H ( s)
When K t  0 and K a  5
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
351
For E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com

CHAPTER

6.5
FREQUENCY-DOMAIN ANALYSIS

Statement for Q.12: 4. The gain-phase plots of open-loop transfer function of


An under damped second order system having a four different system are shown in fig. P6.5.4. The
transfer function of the form correct sequence of the increasing order of stability of
these four system will be
K2n
T( s)  dB
s  2 xwn s  w2n
2
A B
40 dB C
has a frequency response plot shown in fig. 30 dB D

P6.5.12. 20 dB

10 dB
|T( jw )|
-270o -225
o
-135
o
-90
o
-45
o

2.5
-20 dB

-30 dB

1.0

wn w
Fig. P6.5.4
Fig. P6.5.1-2
(A) D, C, B, A (B) A, B, C, D
1. The system gain K is (C) B, C, A, D (D) A, D, B, C
(A) 1 (B) 2
1 5. The open-loop frequency response of a unity
(C) 2 (D) feedback system is shown in following table
2

w |G ( jw)| G ( jw)
2. The damping factor x is approximately
(A) 0.6 (B) 0.2 2 8.5 -119

(C) 1.8 (D) 2.4 3 6.4 -128

3. For the transfer function 4 4.8 -142

1 5 2.56 -156
G( s) H ( s) =
s( s + 1)( s + 0.5)
6 1.4 -164
the phase cross-over frequency is
8 1.00 -172
(A) 0.5 rad/sec (B) 0.707 rad/sec
10 0.63 -180
(C) 1.732 rad/sec (D) 2 rad/sec
Fig. P6.5.5
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
362
ForFrequency-Domain
E-books/Materials/Notes-PDFs|PPTs
Analysis Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 6.5

The gain margin and phase margin of the system 10. The gain margin of the ufb system
are 2
G( s) = is
(A) 2 dB, 8 (B) 2 dB, -172 ( s + 1)( s + 2)
(A) 1.76 dB (B) 3.5 dB
(C) 4 dB, 8 (D) 4 dB, -172
(C) -3.5 dB (D) -1.76 dB
Statement for Q.67:
11. The open-loop transfer function of a system is
Consider the gain-phase plot shown in fig.
K
P6.5.67. G( s) H ( s) =
dB s(1 + 2 s)(1 + 3s)
2 dB w=2
The phase crossover frequency is
(A) 6 rad/sec (B) 2.46 rad/sec
(C) 0.41 rad/sec (D) 3.23 rad/sec
0 G( jw)
w = 10
12. The open-loop transfer function of a ufb system is
-2 dB w = 100 1+ s
G( s) =
-270 o
-180
o
-140
o
-90
o s(1 + 0.5 s)
Fig. P6.5.6-7 The corner frequencies are
6. The gain margin and phase margin are (A) 0 and 2 (B) 0 and 1
(A) -2 dB, 40 (B) 2 dB, 40 (C) 0 and -1 (D) 1 and 2
(C) 2 dB, 140 (D) -2 dB, 140
13. In the Bode-plot of a unity feedback control system,
7. The gain crossover and phase crossover frequency are the value of magnitude of G( jw) at the phase crossover
1
respectively frequency is 2
. The gain margin is
(A) 10 rad/sec, 100 rad/sec 1
(A) 2 (B)
2
(B) 100 rad/sec, 10 rad/sec
1
(C) 10 rad/sec, 2 rad/sec (C) (D) 3
3
(D) 100 rad/sec, 2 rad/sec
14. In the Bode-plot of a ufb control system, the value of
8. The phase margin of a system with the open loop phase of G( jw) at the gain crossover frequency is -120 .
transfer function The phase margin of the system is
(1 - s) (A) -120 (B) 60
G( s) H ( s) = is
(1 + s)( 3 + s)
(C) -60 (D) 120
(A) 68.3 (B) 90
(C) 0 (D) 15. The transfer function of a system is given by
K 1
G( s) = ; K <
9. Consider a ufb system having an open-loop transfer s( sT + 1) T
function
The Bode plot of this function is
K
G( s) = dB dB
s(0.2 s + 1)(0.05 s + 1)
-20 dB/dec -40 dB/dec
For K = 1, the gain margin is 28 dB. When gain
-40 dB/dec
margin is 20 dB, K will be equal to 0 dB w 0 dB w
0.1 1 0.1 1
(A) 2 (B) 4 T T T T

(C) 5 (D) 2.5


(A) (B)

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
363
For E-books/Materials/Notes-PDFs|PPTs
UNIT 6 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Control & System

dB dB
100 10
(A) (B)
-20 dB/dec s  10 s  10
-20 dB/dec
1
0 dB 0 dB
(C) (D) None of the above
0.1 1 w 0.1 1 w s  10
T T T T
-40 dB/dec

19. Consider the asymptotic Bode plot of a minimum


(C) (D) phase linear system given in fig. P6.5.19. The transfer
function is
dB
16. The asymptotic approximation of the log-magnitude
versus frequency plot of a certain system is shown in 32

fig. P6.5.16. Its transfer function is -20 dB/dec


dB
54 dB 6
-40 dB/dec -20 dB/dec

-60 dB/dec 0.1 w1 w2 10 w


-40 dB/dec

-40 dB/dec
Fig. P6.5.19
-60 dB/dec 8 s( s  2) 4( s  5)
(A) (B)
( s  5)( s  10) ( s  2)( s  10)

0.1 2 5 25 w 4( s  2) 8 s( s  5)
(C) (D)
Fig. P6.5.16
s( s  5)( s  10) ( s  2)( s  10)
50( s  5) 20( s  5)
(A) 2 (B)
s ( s  2)( s  25) s 2 ( s  2)( s  25) 20. The Bode plot shown in fig. P6.5.20 represent

10 s 2 ( s  5) 20( s  5) dB
(C) (D)
( s  2)( s  25) s( s  2)( s  25)
100 dB

17. For the Bode plot shown in fig. P6.5.17 the transfer -60 dB/dec

function is 40 dB/dec
dB

4 10 w w = 10 w
0 dB
-4

Fig. P6.5.20
ce

0d
/d
dB

B/

100 s 2 1000 s 2
de
0
-2

(A) (B)
c

(1  0.1s) 3 (1  0.1s) 3
Fig. P6.5.17
100 s 100( s  4) 100 s 2 1000 s 2
(A) (B) (C) (D)
( s  4)( s  10) 2 s( s  10) 2 (1  0.1s) 5 (1  0.1s) 5
100 100
(C) (D)
( s  4)( s  10) s ( s  4)( s  10)
2
Statement for Q.2122:
The Bode plot of the transfer function K (1  sT) is
18. Bode plot of a stable system is shown in the fig.
given in the fig. P6.5.2122.
P6.3.18. The open-loop transfer function of the ufb
dB Phase
system is
dB
-20 dB/dec
20 dB 0.1 10
-20 dB/dec T T
1 w w
T -45
/d
w ec

Fig. P6.5.18 Fig. P6.5.21-22

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
364
For E-books/Materials/Notes-PDFs|PPTs
UNIT 6 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Control & System

Statement for Q.2930: Im Im


2 2
Consider the Bode plot of a ufb system shown in
fig. P6.5.2930. Re Re
w= w= 0 w= w= 0

dB

32 dB -20 dB/dec (A) (B)


18 dB
-40 dB/dec
Im Im
0 dB w1 w
0.1 2 2

Fig. P6.5.29-30
Re w=
Re
w= 0 w= w= 0

29. The steady state error corresponding to a ramp


input is
(C) (D)
(A) 0.25 (B) 0.2
(C) 0 (D)

35. Consider a ufb system whose open-loop transfer


30. The damping ratio is function is
(A) 0.063 (B) 0.179 K
G( s) =
(C) 0.483 (D) 0.639 s( s 2 + 2 s + 2)

31. The Nyquist plot of a open-loop transfer function The Nyquist plot for this system is
Im Im
G( jw) H ( jw) of a system encloses the ( -1, j0) point. The
gain margin of the system is
(A) less than zero (B) greater than zero
(C) zero (D) infinity w=
Re Re
w= w= 0

32. Consider a ufb system


K w= 0
G( s) =
s(1 + sT1 )(1 + sT2 )(1 + sT3)
(A) (B)
The angle of asymptote, which the Nyquist plot
approaches as w 0, is Im Im

(A) -90 (B) 90


(C) 180 (D) -45
w=
Re w= Re
33. If the gain margin of a certain feedback system is w= 0

given as 20 dB, the Nyquist plot will cross the negative


real axis at the point w= 0

(A) s = -0.05 (B) s = -0.2


(C) (D)
(C) s = -0.1 (D) s = -0.01

34. The transfer function of an open-loop system is 36. The open loop transfer function of a system is
s+2 K (1 + s) 2
G( s) H ( s) = G( s) H ( s) =
( s + 1)( s - 1) s3

The Nyquist plot will be of the form The Nyquist plot for this system is

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
366
ForFrequency-Domain
E-books/Materials/Notes-PDFs|PPTs
Analysis Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 6.5

Im Im
Im
w= 0 w= 0

w= Re
Re 10.64
w=
w=
Re
w= 0

(A) (B)
Im
Im

Fig. P6.5.38

The no. of poles of closed loop system in RHP are


w=
w= Re (A) 0 (B) 1
Re
(C) 2 D) 4

w= 0 w= 0 Statement for Q.3940:


The open-loop transfer function of a feedback
(C) (D)
control system is
37. For the certain unity feedback system -1
G( s) H ( s) 
K 2 s(1 - 20 s)
G( s) 
s( s  1)(2 s  1)( 3s  1)
39. The Nyquist plot for this system is
The Nyquist plot is
Im Im

Im Im w= 0 w= 0

w= w=
Re Re
w=
Re Re
w= w= 0

w= 0

(A) (B)
(A) (B) Im Im
Im Im

w= Re w= Re
w=
Re w=
Re
w= 0

w= 0 w= 0

w= 0

(C) (D)
(C) (D)

38. The Nyquist plot of a system is shown in fig. 40. Regarding the system consider the statements
P6.5.38. The open-loop transfer function is 1. Open-loop system is stable
4s  1 2. Closed-loop system is unstable
G( s) H ( s) 
s ( s  1)(2 s  1)
2
3. One closed-loop poles is lying on the RHP
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
367
For E-books/Materials/Notes-PDFs|PPTs
UNIT 6 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Control & System

The correct statements are Im Im


-3
(A) 1 and 2 (B) 1 and 3 -2
3 w= 2 w=
Re Re
(C) only 2 (D) All

41. The Nyquist plot shown in the fig. P6.5.41 is for


w= 0 w= 0
(A) type0 system (B) type1 system
(C) type2 system (D) type3 system (C) (D)

Statement for Q.4243:


45. The phase crossover and gain crossover frequencies
The open-loop transfer function of a feedback
are
system is
(A) 1.414 rad/sec, 0.57 rad/sec
K (1  s)
G( s) H ( s) 
(1 - s) (B) 1.414 rad/sec, 1.38 rad/sec

42. The Nyquist plot of this system is (C) 0.707 rad/sec, 0.57 rad/sec
Im Im (D) 0.707 rad/sec, 1.38 rad/sec

46. The gain margin and phase margin are

w= 0 w=
Re
w= 0 w=
Re (A) -3.52 dB, -168.5 (B) -3.52 dB, 11.6
(C) 3.52 dB, -168.5 (D) 3.52 dB, 11.6

(A) (B)
****************
Im Im

Re Re
w= 0 w= w= 0 w=

(C) (D)

43. The system is stable for K


(A) K > 1 (B) K < 1
(C) any value of K (D) unstable

Statement for Q.4446:


A unity feedback system has open-loop transfer
function
1
G( s) =
s(2 s + 1)( s + 1)

44. The Nyquist plot for the system is


Im Im
w= 0 w=

Re Re
2 3
w= w= 0
3 2

(A) (B)

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
368
ForFrequency-Domain
E-books/Materials/Notes-PDFs|PPTs
Analysis Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 6.5

At w = 10 rad/sec gain is 0 dB. Gain cross over frequency


SOLUTIONS w =10 rad/sec.

Kw2n 8. (D) |GH( jw)| 1, for any value of w. Thus phase


1. (A) T( s) 
s  2 xwn s  w2n
2
margin is .
Kwn2
T( jw) =
-w2 + 2 jxwn w + w2n 9. (D) For 28 dB gain Nyquist plot intersect the real
K w 2 4 axis at a,
|T( jw)|
2
= n

( w - w ) + 4 x2 w2n w2
2 2 2 1
n 20 log = 28 a = 0.04
a
From the fig. P6.5.12, |T( j0)| = 1
For 20 dB gain Nyquist plot should intersect at b,
K 2 wn4
|T( j0)|
2
= = K2 =1 K =1 1
wn4 20 log = 20 b = 0.1.
b
This is achieved if the system gain is increased by factor
2. (B) The peak value of T( jw) occurs when the
0.1
= 2.5. Thus K = 2.5.
denominator of function |T( jw)| is minimum i.e. when
2
0.04
w2n - w2 = 0 w = wn
1
K w K2 2 4
K 10. (B) Here K = 2, T1 = 1, T2 =
|T( jwn )| = 2 = 2 |T( jwn )| = = 2.5
2 n
2
4x w 4x 4
n 2x -1 -1
KT1 T2 (2)(0.5)
K Gain Margin = = 1 + 0.5 = 15
. = 35
. dB
x= = 0.2 T
1 + T 2
5

1 11. (C) For phase crossover frequency


3. (B) G( jw) H ( jw) =
jw( jw + 1)(0.5 + jw) GH ( jw) = -180
K
f = -90 - tan -1 2 w - tan -1 w GH ( jw) =
jw(1 + 2 jw)(1 + 3 jw)
At phase cross over point f = -180
-90 - tan -1 2 wp - tan -1 3wp = -180
- tan -1 2 w - tan -1 w - 90 = -180
tan -1 2 wp + tan -1 3wp = 90
tan -1 2 w + tan -1 w = 90
2 wp + 3wp
2w + w = tan 90
= tan 90 = 1 - (2 wp)( 3wp)
1 - (2 w)( w)
1 1 - 6 w2p = 0 wp = 0.41 rad/s
1 - (2 w) w = 0 w= = 0.707 rad/sec
2
s+1 s+1
12. (D) G( s) = =
s(1 + 0.5 s) s
4. (B) For a stable system gain at 180 phase must be s + 1
2
negative in dB. More magnitude more stability.
The Bode plot of this function has break at w = 1 and

5. (C) At 180 gain is 0.63. Hence gain margin is w = 2. These are the corner frequencies.
1
= 20 log = 4 dB 1 1
0.63 13. (A) G.M. = = =2
GH ( jwp) 1 2
At unity gain phase is -172 ,
Phase margin = 180 -172 = 8 14. (B) P.M. = 180 + GH ( jw1 ) = 180 -120 = 60

6. (A) At G( jw) = 180 gain is -2 dB. Hence gain


15. (D) Due to pole at origin initial plot has a slope of
margin is 2 dB. At 0 dB gain phase is -140 . Hence 1
-20 dB/decade. At s = jw = . Slope increases to -40
phase margin is 180 -140 = 40 . T
1
dB/decade. At w = ,
7. (A) At w = 100 rad/sec phase is 180. Phase cross- T
over frequency wp = 100 rad/sec. |G( jw)| KT < 1 ,Gain in dB < 0.
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
369
ForFrequency-Domain
E-books/Materials/Notes-PDFs|PPTs
Analysis Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 6.5

27. (C) Initially slope is -20 dB/decade. Hence there is a 2w


GH ( jw) = - tan -1 - 90
pole at origin and system type is 1. For type1 system 2 - w2
K
position error coefficient is . GH ( jw) =
w (2 - w2 ) 2 + 4 w2
20 log K = 6 K = 2,
At w = 0, GH ( jw) = - 90 ,
28. (B) The system is type 0, At w = GH ( jw) = 0 - 270 ,
1 1 K
20 log K p = 40, K p = 100, estep ( ) = = . At w = 1, GH ( jw) = - 153.43,
1 + K p 101 5
K
At w = 2, GH ( jw) = - 206.6 ,
29. (A) The Bode plot is as shown in fig. S6.5.29 2 18
Due to s there will be a infinite semicircle. Hence (C) is
32 dB -20 dB/dec -40 dB/dec
correct option.
18 dB

0 dB
0.1 0.5 1.4 4 w K (1 + jw) 2
36. (B) GH ( jw) =
( jw) 3
Fig.S6.5.29 K (1 + w2 )
|GH( jw)| =
1 1 w3
K v = 4, eramp ( ) = = = 0.25
Kv 4 GH ( jw) = -270 + 2 tan -1 w
For w = 0, GH ( jw) = - 270
w 0.5 For w = 1 , GH ( jw) = -180
30. (B) From fig. S6.5.29 x = 2 = = 0.179
2 w3 2(1.4) For w = , GH ( jw) = 0 - 90
As w increases from 0 to , phase goes -270 to -90 .
31. (A) If Nyquist plot encloses the point ( -1, j0), the
Due to s 3 term there will be 3 infinite semicircle.
system is unstable and gain margin is negative.
K
37. (A) |GH ( jw)| = ,
K 1 + w2 1 + 4 w2 1 + 9 w2
32.(A) GH ( jw) =
jw(1 + jwT1 )(1 + jwT2 ) (1 + jwT2 )
GH ( jw) = -90 - tan -1 w - tan -1 2 w - tan -1 3w ,
K K
lim GH ( jw) = lim = lim - 90 For w = 0, GH ( jw) = - 90 ,
w 0 w 0 jw w 0 w
For w = , GH ( jw) = 0 - 360 ,
Hence, the asymptote of the Nyquist plot tends to an
Hence (A) is correct option.
angle of -90 as w 0.
38. (C) The open-loop poles in RHP are P = 0. Nyquist
1
33. (C) 20 log = 20 path enclosed 2 times the point ( -1 + j0). Taking
GH ( jw)
clockwise encirclements as negative N = -2.
1
= 10 GH ( jw) = 0.1 N = P - Z, -2 = 0 - Z , Z = 2 which implies that two
GH ( jw)
poles of closed-loop system are on RHP.
Since system is stable, it will cross at s = -0.1.
-1
s+2 39. (B) G( s) H ( s) = ,
34. (B) GH ( s) = 2 2 s(1 - 20 s)
( s - 1)
1
jw + 2 GH ( jw) =
GH ( jw) = 2 w 1 + 400 w2
( -1 - w2 )
-20 w
At w = 0 , GH ( jw) = 2 - 180 GH ( jw) = 180 -90 - tan -1 ,
1
At w = , GH ( jw) = 0 - 270
At w = 0 GH ( jw) = 90
Hence (B) is correct option.
At w = GH ( jw) = 0 180
K At w = 0.1 GH ( jw) = 2.24 153.43
35. (C) GH ( jw) =
jw( -w + 2 jw + 2)
2
At w = 0.01 GH ( jw) = 49 9115
.
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
371
For E-books/Materials/Notes-PDFs|PPTs
UNIT 6 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Control & System

40. (C) One open-loop pole is lying on the RHP. Thus The frequency at which magnitude unity is
open-loop system is unstable and P  1. There is one
Im
clockwise encirclement. Hence N = -1.
Z = P - N = 1 - ( -1) = 2,
-2
Hence there are 2 closed-loop poles on the RHP and 3
Re
-1 wp
system is unstable.

w1 Phase Margin
41. (B) There is one infinite semicircle. Which represent
single pole at origin. So system type is1.

K 1 + w2
42. (D) |GH ( jw)| = =K
1 + w2 Fig.S6.5.44

-w w (1 + w ) (1 + 4 w ) = 1
2
1
2
1
2
1
GH ( jw) = tan -1 w - tan -1 -
1 w2 = 0.326, w1 = 0.57 rad/sec
At w = 0 GH ( jw) = K0 ,
1 2
At w = 1 GH ( jw) = K90 , 46. (D) G.M. = 20 log , |GH( jwp)| =
|GH( jwp)| 3
At w = 2 GH ( jw) = K127 ,
3
At w = GH ( jw) = K180 , Gain Margin = 20 log = 352
. dB.
2
GH ( jw) = -90 - tan -1 w - tan -1 2 w ,
43. (A) RHP poles of open-loop system P = 1, Z = P - N .
At unit gain w1 = 0.57 rad/sec,
For closed loop system to be stable,
Phase at this frequency is
Z = 0, 0 = 1 - N N =1 GH ( jw1 ) = -90 - tan -1 0.57 - tan -1 2(0.57) = -168.42
There must be one anticlockwise rotation of point Phase margin = -168.42 +180 = 11.6
( -1 + j0). It is possible when K > 1. Note that system is stable. So gain margin and phase

1 margin are positive value. Hence only possible option is


44. (C) G( s) = , H ( s) = 1 (D).
s(2 s + 1)( s + 1)
1
GH ( s) =
s(2 s + 1)( s + 1)
***************
1
GH ( jw) =
jw(2 jw + 1)( jw + 1)
1
lim GH ( jw) = lim = - 90
w 0 w 0 jw
1
lim GH ( jw) = lim w = 0 - 270
w w 2( jw) 3
The intersection with the real axis can be calculated as
Im{ GH ( jw)} = 0, The condition gives w (2 w2 - 1) = 0
1 1 -2
i.e. w = 0, , GH j =
2 2 3
With the above information the plot in option (C) is
correct.

45. (C) The Nyquist plot crosses the negative real axis
1
at w = rad/sec. Hence phase crossover frequency is
2
1
wp = = 0.707 rad/sec.
2
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
372
For E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com

CHAPTER

6.6
DESIGN OF CONTROL SYSTEMS

1. The term reset control refers to (C) is predictive in nature


(A) Integral control (B) Derivative control (D) increases the order of the system
(C) Proportional control (D) None of the above
6. Consider the List I and List II
2. If stability error for step input and speed of response List I List II
be the criteria for design, the suitable controller will be P. Derivative control 1. Improved overshoot response
(A) P controller (B) PI controller Q. Integral control 2. Less steady state errors
R. Rate feed back control 3. Less stable
(C) PD controller (D) PID controller
S. Proportional control 4. More damping
1 + 0.5 s The correct match is
3. The transfer function represent a
1+ s
P Q R S
(A) Lag network
(A) 1 2 3 4
(B) Lead network
(B) 4 3 1 2
(C) Laglead network
(C) 2 3 1 4
(D) Proportional controller
(D) 1 2 4 3

4. A lag compensation network 7. Consider the ListI (Transfer function) and ListII
(a) increases the gain of the original network without (Controller)
affecting stability. List I List II
(b) reduces the steady state error. P. 1. Pcontroller
(c) reduces the speed of response Q. 2. PIcontroller
(d) permits the increase of gain of phase margin is K1s + K2
R. K 3s
3. PDcontroller
acceptable. K1
S. K 2s
4. PIDcontroller
In the above statements, which are correct
The correct match is
(A) a and b (B) b and c
P Q R S
(C) b, c, and d (D) all
(A) 3 4 2 1
5. Derivative control (B) 4 3 1 2
(A) has the same effect as output rate control (C) 3 2 1 4
(B) reduces damping (D) 4 1 2 3
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
373
For E-books/Materials/Notes-PDFs|PPTs
UNIT 6 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Control Systems

8. The transfer function of a compensating network is of (B) Twoposition controller


form (1 + Ts) (1 + Ts). If this is a phaseLag network, (C) Floating controller
the value of  should be
(D) Proportionalposition controller
(A) greater than 1
(B) between 0 and 1 14. In case of phaselag compensation used is system,
(C) exactly equal to 1 gain crossover frequency, band width and undamped

(D) exactly equal to 0 frequency are respectively


(A) decreased, decreased, decreased
9. The pollzero configuration of a phaselead (B) increased, increased, increased
compensator is given by
(C) increased, increased, decreased
j j
(A) (B) (D) increased, decreased, decreased
 

15. A process with openloop model

(C) j (D) j Ke  s TD
G( s)
s  1
 

is controlled by a PID controller. For this purpose


(A) the derivative mode improves transient
10. While designing controller, the advantage of pole performance
zero cancellation is
(B) the derivative mode improves steady state
(A) The system order is increased performance
(B) The system order is reduced (C) the integral mode improves transient performance
(C) The cost of controller becomes low (D) the integral mode improves steady state
performance.
(D) Systems error reduced to optimum levels
The correct statements are
11. A proportional controller leads to (A) (a) and (c) (B) (b) and (c)
(A) infinite error for step input for type 1 system (C) (a) and (d) (D) (b) and (d)
(B) finite error for step input for type 1 system
16. A lead compensating network
(C) zero steady state error for step input for type 1
system (a) improves response time

(D) zero steady state error for step input for type 0 (b) stabilizes the system with low phase margin
system (c) enables moderate increase in gain without
affecting stability.
12. The transfer function of a phase compensator is
(d) increases resonant frequency
given by (1  aTs) (1  Ts) where a  1 and T  0. The
maximum phase shift provided by a such compensator In the above statements, correct are
is (A) (a) and (b) (B) (a) and (c)

 a  1  a 1 (C) (a), (c) and (d) (D) All


(A) tan 1  (B) sin 1 
 a 1
 a  1

17. A Lag network for compensation normally consists


1  a 1 1  a 1
(C) tan  (D) cos  of
 a  1
 a  1

(A) R, L and C elements

13. For an electrically heated temperature controlled (B) R and L elements


liquid heater, the best controller is (C) R and C elements
(A) Singleposition controller (D) R only
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
374
ForDesign
E-books/Materials/Notes-PDFs|PPTs
of Control Systems Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 6.6

18. The polezero plot given in fig.P6.6.18 is that of a


j SOLUTIONS


1. (A) 2. (D) 3. (A) 4. (D) 5. (B)


Fig. P6.6.18
6. (D) 7. (A) 8. (B) 9. (A) 10. (B)
(A) PID controller
11. (C) 12. (B) 13. (C) 14. (D) 15. (C)
(B) PD controller
16. (D) 17. (C) 18. (D) 19. (D) 20. (D)
(C) Integrator
(D) Laglead compensating network 21. (D)

19. The correct sequence of steps needed to improve


system stability is
(A) reduce gain, use negative feedback, insert
derivative action
(B) reduce gain, insert derivative action, use negative
feedback
(C) insert derivative action, use negative feedback,
reduce gain
(D) use negative feedback, reduce gain, insert
derivative action.

20. In a derivative error compensation


(A) damping decreases and setting time decreases
(B) damping increases and setting time increases
(C) damping decreases and setting time increases
(D) damping increases and setting time decreases

21. An ONOFF controller is a


(A) P controller
(B) PID controller
(C) integral controller
(D) non linear controller

**********

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
375
For E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com

CHAPTER

6.7
THE STATE-VARIABLE ANALYSIS

1. Consider the SFG shown in fig. P6.7.1 -2 1 0 2 -1 0


1 1

(A) 0 -2 0 (B) 0 2 0
u 1 s x3
1
s x2 s x1 1 y
0 0 -3 0 0 3
-1
-2
2 1 -2 0 -1 2
-3
(C) 0 -2 0 (D) 0 2 0

Fig. P6.7.1 -3 0 0 3 0 0

For this system dynamic equation is


x& 1 3 1 2 x1 0 3.
(A) x& 2 = 0 1 1 x2 + 0 u 5
1 1
x& 3 3 2 1 x3 1 s s x1 5
x2 y
1
x& 1 0 1 0 x1 0 -2
u

(B) x& 2 = 0 0 1 x2 + 0 u
-2
1
1
1 s x3
x& 3 -3 -2 -1 x3 1 5

-3
x& 1 0 -1 0 x1 0
(C) x& 2 = 0 0 -1 x2 + 0 u
Fig. P6.7.3

x& 3 3 2 1 x3 1 1 0 -2 -1 0 2
(A) 0 -2 0 (B) 0 2 0
(D) None of the above
-3 0 0 3 0 0
Statement for Q.24: -2 0 1 2 0 -1
Represent the given system in state-space (C) 0 -2 0 (D) 0 2 0

equation x& = A x + B u. Choose the correction option for 0 0 -3 0 0 3
matrix A.
4.
2.
1 1 2
s x2 1 s x1 5
y
1 1 1 1
1 s x3 1 s x2 1 s x1 1
-2 u y
u -2
1
s x3 -3 -4
5
Fig. P6.7.4
-3

Fig. P6.7.2
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
376
ForThe
E-books/Materials/Notes-PDFs|PPTs
State-Variable Analysis Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 6.7

0 1 -4 0 -1 4 8. The F( t ) is
(A) 1 0 0 (B) -1 0 0 cos 2 t sin 2 t cos 2 t - sin 2 t
(A) (B)
-3 0 0 3 0 0 cos 2 t
- sin 2 t cos 2 t sin 2 t
- 4 1 0 4 - 1 0 sin 2 t cos 2 t sin 2 t - cos 2 t
(C) (D)
(C) 0 0 1 (D) 0 0 -1
- cos 2 t sin 2 t cos 2 t sin 2 t

0 0 -3 0 0 3
9. The q( t ) is

5. The state equation of a LTI system is represented by 0.5(1 - sin 2 t) sin 2 t


(A) (B)
0.5 cos 2 t cos 2 t
0 1 0 1
x& = x + 1 0 u
- 2 - 1 0.5(1 - cos 2 t) cos 2 t
(C) (D)
0.5 sin 2 t sin 2 t
The Eigen values are
(A) -1, + 1 (B) -0.5 j1.323
10. From the following matrices, the state-transition
(C) -1, - 1 (D) None of the above matrices can be
-e - t 0 1 - e - t 0
6. The state equation of a LTI system is (A) (B)
0 1 - e- t 0 e- t
-3 0 0
x& = x + u 1 0 1 - e - t e- t
0 -3 1 (C) (D)
1 - e
-t
e - t 0 e- t
The state-transition matrix F( t) is
e -3t 0 -e -3t 0 Statement for Q.1113:
(A) (B)
0 e -3t 0 e -3t
A system is described by the dynamic equations
-e -3t 0 e -3t 0 x& ( t) = A x ( t) + B u( t), y( t) = C x( t) where
(C) (D)
0 -e -3t 0 -e -3t
0 1 0 0

A= 0 0 1 , B = 0 , C = [1 0 0 ]

7. The state equation of a LTI system is
-1 -2 -3 1
0 2 0
x& = x + u
-2 0 1 11. The Eigen values of A are
The state transition matrix is (A) 0.325, -1.662 j0.562
cos 2 t sin 2 t cos 2 t - sin 2 t (B) 2.325, 0.338 j0.562
(A) (B)
- sin 2 t cos 2 t sin 2 t cos 2 t (C) -2.325, -0.338 j0.562
sin 2 t cos 2 t sin 2 t - cos 2 t (D) -0.325, 1.662 j0.562
(C) (D)
- cos 2 t sin 2 t cos 2 t sin 2 t
12. The transfer-function relation between X ( s) and
Statement for Q.89:
U ( s) is
The state-space representation of a system is given
1 1
by x& ( t) = A x ( t) + B u( t), where 1 -s 1 s
(A) (B)
s 3 + 3s 2 + 2 s - 1 2 s 3 + 3s 2 + 2 s + 1 2
0 2 0 s s
A = , B=
-2 0 1
1
1 -s
If x(0) is the initial state vector, and the (C) (D) None of the above
s 3 + 3s 2 + 2 s + 1 2
component of the input vector u( t) are all unit step s
function, then the state transition equation is given by
x& ( t) = F( t )x (0) + q( t ), where F( t ) is a state transition 13. The output transfer function Y ( s) U ( s) is
matrix and q( t ) is a vector matrix. (A) s( s 3 + 3s 2 + 2 s + 1) -1 (B) s( s 3 + 3s 2 + 2 s - 1) -1
(C) ( s 3 + 3s 2 + 2 s + 1) -1 (D) None of the above
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
377
For E-books/Materials/Notes-PDFs|PPTs
UNIT 6 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Control & System

14. A system is described by the dynamic equation x& 1 0 1 0 x1 0


x& ( t) = A x ( t) + B u( t), y( t) = C x( t) where (C) x& 2 = 0 0 1 x2 + 0 r

x& 3 9 26 24 x3 24
-1 0 1
A = , B = and C = [1 1]
0 -2 0 x& 1 0 1 0 x1 0
(D) x& 2 = 0 0 1 x2 + 0 r
The output transfer function Y ( s) U ( s) is
x& 3 -9 -26 -24 x3 24
( s + 1) s+1
(A) (B)
( s + 2) 2 s+2 18.
C( s)
= 4
100
R( s) s + 20 s 3 + 10 s2 + 7 s + 100
( s + 2)
(C) (D) None of the above
( s + 1) 0 1 0
0 0
0 0 1 0 0
(A) x& = x + r,
15. The state-space representation of a system is given 0 0 0 1 0
100 7 10 20 100
by

-1 0 1 y = [1 0 0 0 ]x
x& ( t) = x( t) + u( t), y( t) = [1 1]x( t)
0 -2 1 0 1 0 0 0
0 0 1 0 0
The transfer function of this system is (B) x& = x + r
0 0 0 1 0
(A) ( s 2 + 3s + 2) -1 (B) ( s + 2) -1 -100 -7 -10 -20
100
(C) s( s 2 + 3s + 2) -1 (D) ( s + 1) -1 y = [1 0 0 0 ]x
0 1 0 0 0
16. The state-space representation for a system is 0 0 0
1 0
(C) x& = x + r
0 1 0 10 0 0 0 1 0
x& = 0 0 1 x + 0 u, y = [1 0 0 ]x 20 10
7 100 1

-1 -2 -3 0
y = [100 0 0 0 ]x
The transfer function Y ( s) U ( s) is 0 1 0 0 0
0 0 1 0 0
10(2 s 2 + 3s + 1) 10(2 s 2 + 3s + 1) (D) x& = x + r
(A) (B)
s 3 + 3s 2 + 2 s + 1 s 3 + 2 s 2 + 3s + 1 0 0 0 1 0
-20 -10 -7 -100
1
10(2 s 2 + 3s + 2) 10(2 s 2 + 3s + 2)
(C) (D)
s 3 + 3s 2 + 2 s + 1 s 3 + 2 s 2 + 3s + 1 y = [100 0 0 0 ]x

Statement for Q.1718: 19. A state-space representation of a system is given by


0 1 0
Determine the state-space representation for the x& = x, y = [1 - 1]x, and x(0) = 1
- 2 0
transfer function given in question. Choose the state
variable as follows The time response of this system will be
dc d c 2
d c 3 3
x1 = c = y, x2 = = c& , x3 = 2 = &&c , x4 = 2 = &&&
c (A) sin 2t (B) sin 2 t
dt dt dt 2

C( s) 24 1
17. = 3 (C) - sin 2 t (D) 3 sin 2 t
R( s) s + 9 s 2 + 26 s + 24 2

x& 1 0 1 0 x1 0
20. For the transfer function
(A) x& 2 = 0 0 1 x2 + 0 r

x& 3 -24 -26 -9 x3 24 Y ( s) s+3
=
U ( s) ( s + 1)( s + 2)
x& 1 0 1 0 x1 0
(B) x& 2 = 0 0 1 x2 + 0 r The state model is given by x& = A x + B u,

x& 3 24 26 9 x3 24 y = C x. The A , B, C are
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
378
For E-books/Materials/Notes-PDFs|PPTs
UNIT 6 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Control & System

-5 -4 -2 1 Statement for Q.3436:



29. x& = -3 -10 0 x + 1 r, y = [ -1 2 1]x

Consider the system shown in fig. P6.7.34-36.
-1 1 -5 0
1 1
s x2 1 s x1
estep ( ) eramp ( ) 10
1
(A) 1.0976 0 u -1 -1 y
1
-10
(B) 1.0976 1 s x3
10
(C) 0 1.0976 -2

(D) 1.0976 Fig. P6.7.34-36

0 1 0 0 34. The controllability matrix for this system is



30. x& = -5 -9 7 x + 0 r, y = [1 0 0 ]x 10 -10 10 0 1 -2

-1 0 0 1 (A) -10 0 -20 (B) 1 -1 1

10 -20 40 1 -2 4
estep ( ) eramp ( )
(A) 0 0.714 10 -10 10 0 1 -1
(C) -10 0 20 (D) 1 6 -1
(B) 0.714
10 -20 -40 1 -4 -4
(C) 0 4.86
(D) 4.86 35. The observability matrix is
10 -10 10 0 1 -2
Statement for Q.3133:
(A) -10 0 -20 (B) 1 -1 1

Consider the system shown in fig. P6.7.31-33 10 -10 40 1 -2 4
1
10 -10 10 0 1 2
1 1 (C) -10 0 20 (D) 1 -1 1
u
-2 s x2 1 s x1 1
y
10 -10 -40 1 -2 4
-5

-6 36. The system is


Fig. P6.7.31-33 (A) Controllable and observable
(B) Controllable only
31. The controllability matrix is
1 0 1 -2 (C) Observable only
(A) (B)
0 1 -2 4 (D) None of the above

1 0 1 2
(C) (D) Statement for Q.3738:
0 -1 -2 -4
A state flow graph is shown in fig. P6.7.37-38
32. The observability matrix is 4

1 0 1 -2 1 1
(A) (B) s x2 x1 5
4
1 s
0 1 -2 u y
-21
4
1 0 1 2
(C) (D)
0 -1 -2 -4
-5

Fig. P6.7.37-38

33. The system is


37. The state and output equation for this system is
(A) Controllable and observable
x& 0 -1 x 0 x
(B) Controllable only (A) 1 = 21 1 + u, y = [5 4 ] 1
x
& 5 x 1 x2
(C) Observable only
2 4 2

(D) None of the above

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
380
ForThe
E-books/Materials/Notes-PDFs|PPTs
State-Variable Analysis Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 6.7

x& 0 1 x 0 x v& -1 -1 v1 1 v
(B) 1 = 21 1 + u, y = [5 4 ] 1 (B) &1 = + vi , iR = [ 4 1] 1
x2
& - 5 - x 1 x2 i3 -3 -1 i3 0 i3
4 2
v& 1 -3 v1 1 v
x& 0 -1 x 1 x (C) 1 = + vi , iR = [1 4 ] 1
(C) 1 = 21 1 + u, y = [ 4 5 ] 1
5 v2 1 6 v2 -1
& v2
x& 2 x 1
4 2 x2
v& 1 3 v1 1 v1
(D) 1 = v + -1 vi , iR = [1 4 ]v
x& 0 1 x 1 x v &
2 - 1 6 2 2
(D) 1 = 21 1 + u, y = [ 4 5 ] 1
x
& - 5 - x 1 x2
2 4 2
Statement for Q.4143:

38. The system is Consider the network shown in fig. P6.7.41-43.


(A) Observable and controllable This system may be represented in state space
(B) Controllable only representation x& = A x + B u

(C) Observable only


iC
(D) None of the above
iR1 iL iR2
1
2F
39. Consider the network shown in fig. P6.7.39. The
is 1W 1W 4vL
state-space representation for this network is
iL 4H

iR iC Fig. P6.7.41-43

vs 2W 1F 41. The state variable may be


(A) iR1 , iR 2 (B) iL , iC
(C) vC , iL (D) None of the above
Fig. P6.7.39

v& -0.25 1 vC 1 vC 42. If state variable are chosen as in previous question,


(A) & C = i + 0.25 vs , iR = [0.5 0 ] i
i
L - 0.5 0 L L then the matrix A is
1 -1 1 -3
v& -0.5 1 vC 0.25 vC (A) (B)
(B) & C = i + 1 vs , iR = [0.5 0 ] i -1 3 -1 1
i
L - 0.25 0 L L
-1 3 3 -1
v& 1 0.25 vC 0.25 v (C) (D)
(C) & C = + vs , iR = [0.5 0 ] C 1 -1 -1 1
iL 0 0.5 iL 0 iL

v& -1 0.25 vC 0.25 v 43. The matrix B is


(D) & C = + vs , iR = [0.5 0 ] C
iL 0 -0.5 iL 0 iL 3 -1
(A) (B)
-1 3
40. For the network shown in fig. P6.7.40. The output is -3 1
(C) (D)
i1 1W v1 1H i3 v2
iR 1 -3
i2
Statement for Q.4447:
vi 1F 4v1 1W
Consider the network shown in fig. P6.7.44-47

i1 1W i3 1W i5 1W
Fig. P6.7.40
i2 i4
+
iR ( t). The state space representation is vi 1H vo
1H 1F
-
v& 1 -1 v1 1 v
(A) &1 = + vi , iR = [ 4 1] 1
i3 -3 1 i1 0 i3
Fig. P6.7.44-47

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
381
For E-books/Materials/Notes-PDFs|PPTs
UNIT 6 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Control & System

44. The state variable may be


(A) i2 , i4 (B) i2 , i4 , vo SOLUTIONS
(C) i1 , i3 (D) i1 , i3 , i5
1. (B) From the SFG
45. In state space representation matrix A is x& 3 = -3 x1 - 2 x2 - x3 + u
x
2 1 1 1 1 2 x2 = 3 x& 2 = x3
s
- 3 - 3 3 3 - 3 - 3
1 x
2 2 2 2 1 x1 = 2 x& 1 = x2
(A) - - (B) - - s
3 3 3 3 3 3
- 1 - 2 - 1 - 1 - 2 - 1
3 3 3 3 3 3 2. (A) x& 1 = - 2 x1 + x3 , x& 2 = - 2 x2 + u , x& 3 = -3 x3 + u

2 1 1 1 1 2 x& 1 -2 1 0 x1 0
3 - 3 - 3 - 3 - 3 3
x& = 0 -2 0 x + 0 u
1 2 2
2 2 2 2 1 3 -
(C) (D) - - x
& 0 0 3 x3 1
3 3 3 3 3 3
- 1 - 2 1 - 1 - 2 - 1
3 3 3 3 3 3 3. (C) x& 1 = - 2 x1 + x3 , x& 2 = - 2 x2 + u , x& 3 = -3 x3 + u
y = 5 x1 + 5 x2 + 5 x3
46. The matrix B is x& 1 -2 0 1 x1 0
2 2 x& = 0 -2 0 x + 1 u
3 3 2 2
1 1 x& 3 0 0 -3 x3 1
(A) - (B)
3 3
- 1 1 4. (C) x& 1 = -4 x1 + x2 , x& 2 = x3 + 2 u , x& 3 = - 3 x3 + u
3 3
x& 1 -4 1 1 x1 0
1 2 x& = 0 0 1 x2 + 2 u
- 3 3 2
1 1 x& 3 0 0 -3 x3 1
(C) - (D)
3 3
5. (B) Ds = |sI - A| = s 2 + s + 2 = 0 s = - 0.5 j1.323
1 2
3 3
s + 3 0 1
6. (A) ( sI - A) = , |sI - A|=
47. If output is vo , then matrix C is 0 s+ 3 ( s + 3) 2
(A) [-1 0 0] (B) [1 0 0] 1
0
(C) [0 0 -1] (D) [0 0 1] ( sI - A) -1
= s + 3
1
0
s + 3
e -3t 0
F( t ) = L-1 {( sI - A )} ==
0 e -3t

************************
s -2
7. (A) ( sI - A) = , |sI - A|= s + 4
2

2 s
s 2
-1 1 s 2 s2 + 4 s2 + 4
( sI - A) = 2 =
s + 4 -2 s -2 s

s2 + 4 s + 4
2

cos 2 t sin 2 t
F( t ) = L-1 {( sI - A )} =
- sin 2 t cos 2 t

s -2
8. (A) ( sI - A) = , Ds =|sI - A|= s + 4
2

2 s
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
382
ForThe
E-books/Materials/Notes-PDFs|PPTs
State-Variable Analysis Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 6.7

s 2 1 1
1 s 2 s2 + 4 15. (D) T( s) = ( sI - A) -1
( sI - A) -1
= 2 = s + 4
2
1 0
s + 4 -2 s -2 s
1
s2 + 4 s + 4
2
0

( sI - A) -1
= s + 1
cos 2 t sin 2 t
F( t ) = L-1 {( sI - A )} = 1
0
- sin 2 t cos 2 t s + 2
1
1 s + 1 0 1
9. (C) q( t) = L-1 {( sI - A) -1 BR( s)} 1
T( s) = =
1 0 1 0 s + 1
1 s 2 0 1 1 -1 1 2
= L-1 2 =L 2 s + 2
s + 4 -2 s 1 1 s s( s + 4) s
2 16. (C) x& = A x + B u, y = C x + Du
s( s 2 + 4) 0.5(1 - cos 2 t)
= L-1 = 0 1 0 10
1
2 0.5 sin 2 t A = 0 0 1, B = 0 , C = [1 0 0 ], D = 0
( s + 4)
-1 -2 -3 0

10. (C) (A) is not a state-transition matrix, since F(0) I Y ( s)


T( s) = = C ( sI - A ) -1 B + D
U ( s)
(B) is not a state-transition matrix since F(0) I
s 3 + 3s + 2 s+3 1
(C) is a state-transition matrix since F(0) = I and -1 1
( sI - A) = 3 - 1 s( s + 3) s
[ F( t)]-1 = F( -t) s + 3s 2 + 2 s + 1
-s -2 s - 1 s 2
s -1 0 Substituting the all values,

11. (C) ( sI - A) = 0 s -1
10(2 s 2 + 3s + 2)
T( s) =
1 2 s + 3 s 3 + 3s 2 + 2 s + 1
|sI - A| = s3 + 3s2 + 2 s + 1, 17. (A)
C( s)
=
b0
=
24
s = - 2.325, - 0.338 j0.562 R( s) s 3 + a2 s 2 + a1 s + a0 ( s 3 + 9 s 2 + 26 s + 24)
( s 3 + a2 s 2 + a1 s + a0 ) C( s) = b0 R( s)
X ( s)
12. (B) = ( sI - A) -1 B Taking the inverse Laplace transform assuming zero
U ( s)
initial conditions
s 3 + 3s + 2 s+3 1 0
1 c + a2 &&c + a1 c& + a0 c = b0 r
&&&
= 3 -1 s( s + 3) s 0
s + 3s 2 + 2 s + 1 x1 = c = y, x2 = c& , x3 = &&c
-s -2 s - 1 s 1
2

x& 1 = c& = x2 , x& 2 = &&c = x3


1 x& 3 = &&&
c = b0 r - a2 &&c - a1 c& - a0 c
1 s
= 3
s + 3s 2 + 2 s + 1 2 = - a0 x1 - a1 x2 - a2 x3 + b0 r ,
s
x& 1 0 1 0 x1 0
x& = 0 0 1 x2 + 0 r
Y ( s) CX ( s) 2
13. (C) = x& 3 -a0 -a1 -a1 x3 b0
U ( s) U ( s)
1 a0 = 24 , a1 = 26, a2 = 9, b0 = 24
s 3 + 3s 2 + 2 s + 1 x& 1 0 1 0 x1 0
s 1 x& = 0 0 1 x2 + 0 r
= [1 0 0 ] 3 = 3 2
s + 3s 2+ 2 s + 1 s + 3s + 2 s + 1
2 2
x& 3 -24 -26 -9 x3 24
s
s 3 + 3s 2 + 2 s + 1 x1
Y ( s) y = [1 0 0 ] x2
14. (D) = C ( sI - A) -1 B
U ( s) x3
1 s + 1 1 0 s+2
B = [1 1] =
Ds 0 s + 1 1 ( s + 1) 2 18. (B) Fourth order hence four state variable

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
383
For E-books/Materials/Notes-PDFs|PPTs
UNIT 6 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Control & System

0 1 0 0 0 1 s + 1 0 1 1 1
0 0 = 0 + 1 s
0 1 0 ( s + 1)( s + 2) -1 s + 2
x& = x = r, y = [1 0 0 0 ]x
0 0 0 1 0 ( s + 1)
-a -a1 -a 2
-a 3 s( s + 2)
0 b0
=
a0 = 100, a1 = 7, a2 = 10, a3 = 20 , b0 = 100 1

s( s + 1)( s + 2)
0 1 1 s 1 1
19. (B) A = , ( sI - A) -1 = 2 Y ( s) = [0 1] X ( s) =
-2 0 s + 2 -2 s s( s + 1)( s + 2)
sin 2 t 1 1
cos 2 t y( t) = - e - t + e -2 t
F( t ) = L-1 {( sI - A)} = 2
2 2

- 2 sin 2 t cos 2 t
25. (B) X ( s) = ( sI - A) -1 (x(0) + B u)
sin 2 t
cos 2 t + 1
x( t) = F( t )x(0) = 2
-1
- 2 sin 2 t + cos 2 t s + 2 -1 0 0 1 s( s + 2)


= 0 -1 0 + 0 1 =
1

3
s
s s ( s + 2)
2
y = x1 - x2 = sin 2 t
2 0 0 s + 1 0 0 1
s 2 ( s + 1)( s + 2)
20. (C) Find the transfer function of option 1
Y ( s) 1 Y ( s) = [1 0 0 ], X ( s) =
For (A) , = , s( s + 2)
U ( s) s - 2
1 1 -2 t
Y ( s) 1 y( t) = - e
For (B) , = 2 2
U ( s) s - 2
Y ( s) 1 s + 2 0 1 26. (D) For a unit step input estep ( ) = 1 + CA -1B
For (C), = [0 1]
U ( s) ( s + 1)( s + 2) 2 s + 1 1
- 5 1 0 -0.4 0.05 -0.05
1 s + 2 s+3
A= 0 -2 1, A -1
= -1 -0.25 -0.25
= [0 1] =
( s + 1)( s + 2) s + 3 ( s + 1)( s + 3) 20 -10 1 -2 15
. -0.5
So (C) is correct option. -0.4 0.05 -0.05 0
estep ( ) = 1 + [ -1 1 0 ] -1 0.25 -0.25 0
-2 -1
21. (C) A = , -2 15
. -0.5 1
-3 -5
= 1 - 0.2 = 0.8
|sI - A| = s2 + 7 s + 7 s = -5.79, - 121
.

1
s -2 -3 -2 -
27. (A) estep ( ) = 1 + CA -1B, A -1 = 3
22. (B) ( sI - A) = 0 s - 6 -5 1 0

-1 -4 s - 2
1
-2 - 0 1 2
|sI - A| = s 3
- 8 s - 11s + 8
2
s = 9.11, 0.53, - 1.64 estep ( ) = 1 + [1 1] 3 =1 - =
1 0 1 3 3

23. (D) X ( s) = ( sI - A) -1 (x(0) + B u)


-1 28. (C) eramp ( ) = lim [(1 + CA -1B) t + C( A -1 ) 2 B]
s - 1 - 2 2 1 3 t
= + 2
3 s + 1 1
1 s + 9 2 2
1 + CA -1B = , eramp ( ) = lim t + C( A -1 ) 2 B =
3 t 3
1 2 s + 4 s + 21s + 45
3 2

( s + 5)( s + 9) s 3 - 7 s 2 + 12 s - 7
2 2

29. (B) estep ( ) = 1 + CA -1B


4 s 3 - 10 s 2 + 45 s - 105
Y ( s) = [1 2 ] X ( s) =
( s 2 + 5)( s 2 + 9) -5 -4 -2 1
A = -3 -10 0 , B = 1 , C = [ -1 2 1]

24. (B) X ( s) = ( sI - A) -1 (x(0) + B u) -1 1 -5 0
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
384
ForThe
E-books/Materials/Notes-PDFs|PPTs
State-Variable Analysis Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 6.7

-0.305 0.134 0.122 -1 1 0


A -1
= 0.091 -0.140 -0.037 A = 0 -1 0 , C = [10 - 10 10 ],

-0.079 -0.055 -0.232 0 0 -2
estep ( ) = 1 + 0.0976 = 10976
. -1 1 0
-1 -1 2
eramp ( ) = lim [(1 + CA B) t + C( A ) B] = CA = [10 - 10 10 ] 0 -1 0 = [10 0 - 20 ]

t
0 0 -2
0 0 -1 -1 1 0
30. (B) A -1 = 1 0 0 CA 2 = [10 0 - 20 ] 0 -1 0 = [10 - 10 40 ]

1.286 0.143 -0.714 0 0 -2
0 0 -1 0
C 10 -10 10
estep ( ) = 1 + [1 0 0 ] 1 0 0 0 = 0
O M = CA = -10 0 -20
1.286 0.143 -0.714 1
CA 10 -10
2
40
-1286
. -0.143 0.714
(A ) =
-1 2
0 0 -1
0 1 -2
-0.776 -0.102 -0.776 36. (A) det Cm = det 1 -1 1 = -1,

C( A -1 ) 2 B = 0.714, eramp ( ) = 0.714 1 -2 4

Since the determinant is not zero, the 3 3 matrix is


31. (B) x& 1 = x2 + u , x& 2 = - 5 x2 - 6 x1 - 2 u
nonsingular and system is controllable
0 1 1 0 1 1 10 -10 10
x& = x + u, A = , B=
-6 -5 -2 -6 -5 -2 det O M = det-10 0 -10 = -3000

1 0 1 1 1 -2 10 -20 40
CM = [B AB] = -6 -5 -2 = -2
-2 4 The rank of O M is 3. Hence system is observable.

32. (A) y = x1 , y = [1 0 ]x , 21
37. (B) x& 2 = - 5 x1 - x2 + u , x& 1 = x2 , y = 5 x1 + 4 x2
4
C 1 0
C = [1 0 ], CA = [1 0 ] , OM = = x& 1 0 1 x 0 x1
CA 0 1
x& = -5 - 21 x + 1 u, y = [5 4 ] x
1

2 4 2 2
33. (C) det CM = 0. Hence system is not controllable. det
C 5 4
O M = 1. Hence system is observable. 38. (B) O M = =
CA -20 1
34. (B) x& 1 = - x1 + x2 , x& 2 = - x2 + u , x& 3 = - 2 x3 + u det O M = 0. Thus system is not observable
-1 1 0 0 -1 1 0 0 0 1
CM = [B AB] = 21
&x = 0 -1 0 x + 1 u, A = 0 -1 0 , B = 1
1 -
4
0 0 -2 1 0 0 -2 1
det CM = -1. Thus system is controllable.
-1 1 0 0 1
AB = 0 -1 0 1 = -1 dvc di v
39. (B) = ic , L = L = 0.25 vL
0 0 -2 1 -2 dt dt 4
vC and iL are state variable.
-1 1 0 1 -2
A 2B = 0 -1 0 -1 = 1
v
iL = iC + iR , iC = iL - iR = iL - C , vL = vs - vC
2
0 0 -2 -2 4
dvL v
Hence equations are = iL - C = - 0.5 vC + iL
0 1 -2 dt 2

Cm = [B AB A B] = 1 -1
2
1
diL
= 0.25( vs - vC ) = - 0.25 vC + 0.25 vs
1 -2 4 dt
v& C -0.5 1 vC 0.25
35. (A) y = 10 x1 - 10 x2 + 10 x3 , y = [10 - 10 i& = -0.25 0 i + 1 vs ,
10 ]x L L
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
385
For E-books/Materials/Notes-PDFs|PPTs
UNIT 6 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Control & System

vC v di2 di
= v2 , 4 = v4 ,
dvo
= i5
iR = = 0.5 vC , iR = [0.5 0 ] C 45. (A)
2 iL dt dt dt
i1 1W v2 i3 1W v4 i5 1W

dv1 di i2 i4
40. (B) = i2 , 3 = vL
dt dt +
vi 1H 1H 1F vo
Hence v1 and i3 are state variable. -

i2 = i1 - i3 = ( vi - v1 ) - i3 , i2 = - v1 - i3 + vi
vL = v1 - v2 = v1 - iR , = v1 - ( i3 + 4 v1 ) = -3v1 - i3 Fig. S6.7.45
dv1 di
= - v1 - i3 + vi , 3 = - 3v1 - i3, y = iR = 4 v1 + i3
dt dt Now obtain v2 , v4 and i5 in terms of the state variable
v&1 -1 -1 v1 1 v1 -vi + i1 + i3 + i5 + vo = 0
i& = -3 -1 i + 0 vi , iR = [ 4 1] i
3 3 3 But i3 = i1 - i2 and i5 = i3 - i4
-vi + i1 + ( i1 - i2 ) + ( i3 - i4 ) + vo = 0
41. (C) Energy storage elements are capacitor and 2 1 1 1
i1 = i2 + i4 - vo + vi
inductor. vC and iL are available in differential form and 3 3 3 3
linearly independent. Hence vC and iL are suitable for 2 1 1 2
v2 = vi - i1 = - i2 - i4 + vo + vi
state-variable. 3 3 3 3
1 1 1 1
i3 = i1 - i2 = - i2 + i4 - vo + vi
1 dvC dvC 3 3 3 3
42. (B) = iC = 2 iC
2 dt dt 1 2 1 1
i5 = i3 - i4 = - i2 - i4 - vo + vi
1 diL diL 3 3 3 3
= vL = 2 vL
2 dt dt 1 2 2 1
+ vC - v4 = i5 + vo = - i2 - i4 + vo + vi
iC 3 3 3 3
iR1 iL 1 iR2 2 1 1 2
+ 2F - -
i&2 3 3 i2 3
+
3
is 1W vL vR2 1W 4vL & 1 2 2 1
i4 = - 3 - 3 i4 + vi
-
3 3
-
v& o 1 2 1 vo 1
- - -
Fig. S6.7.42 3 3 3 3
2 1 1 2
vL = vC + vR 2 = vC + iR2 , iC + 4 vL = iR 2 - 3 - 3 3 3
vL = vC + iC + 4 vL , -3vL = vC + iC ...(i) 1 2 2 1
A = - - , 46. (B) B =
v
iC = is - iR1 - iL , iC = is - L - iL ...(ii) 3 3 3 3
1 - 1 - 2 - 1 1
3 3 3 3
Solving equation (i) and (ii)
-3 ( is - iL - iC ) = vC + iC , 2 iC = vC - 3iL + 3is
47. (D) vo is state variable
-3vL = vC + is - vL - iL , 2vL = - vC + iL - is
i2
dvC di
= vC - 3iL + 3is , L = - vC + iL - is y = vo , y = [0 0 1] = i4
dt dt
vo
v& C 1 -3 vC 3
i& = -1 1 i + -1 is
L L

********
3
43. (A) B =
-1

44. (B) There are three energy storage elements, hence


3 variable. i2 , i4 and vo are available in differentiated
form hence these are state variable.

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
386
For E-books/Materials/Notes-PDFs|PPTs
UNIT 7 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Communication System

10. If machine is not properly adjusted, the product Statement for Question 15-16:
resistance change to the case where ax  1050 . Now The life time of a system expressed in weeks is a
the rejected fraction is Rayleigh random variable X for which
(A) 5046% (B) 10.57%
 x x
2

(C) 2.18% (D) 6.43% e 400 0x


f X  x   200
11. Cannon shell impact position, as measured along

0 x 0
the line of fire from the target point is described by a
gaussian random variable X. It is found that 15.15% of 15. The probability that the system will not last a full
shell falls 11.2 m or farther from the target in a week is
direction toward the cannon, while 5.05% fall farther (A) 0.01% (B) 0.25%
from the 95.6 m beyond the target. The value of ax and (C) 0.40% (D) 0.60%
x for X is . )  0.8485 and
(Given that F(103
F(1.64)  0.9495) 16. The probability that the system lifetime will exceed
(A) T  40 m and 50 m (B) T  40 m and 30 m in year is
(A) 0.01% (B) 0.05%
(C) T  10 m and 50 m (D) T  30 m and 40 m
(C) 0.12% (D) 0.22%
12. A gaussian random voltage X for which a X  0 and
17. The cauchy random variable has the following
X  4.2 V appears across a 100 resistor with a power
probability density function
rating of 0.25 W. The probability, that the voltage will
cause an instantaneous power that exceeds the b/ 
f X ( x) 
b2  ( x  a) 2
resistor's rating, is
 5   5  For real numbers 0  b and   a  . The
(A) 2Q  (B) Q 
 4.2   4.2  distribution function of X is

 5   5 
1  x a
(C) 1  Q (D) 1  Q (A) tan 1  
    b 
 4.2   4.2 
1  x a
(B) cot1  
Statement for Question 13 -14 :   b 
1 1  x a
Assume that the time of arrival of bird at (C)  tan 1  
2   b 
Bharatpur sanctuary on a migratory route, as
measured in days from the first year (January 1 is the 1 1  x a
(D)  cot1  
first day), is approximated as a gaussian random 2   b 
variable X with a X  200 and x  20 days. Given that :
Statement for Question 18 - 19
F(0.5)  0.6915, . )  0.8413.,
F(10 . )  0.8531,
F(15
. )  0.9394 and F(2.0)  0.9773.
F(155 The number of cars arriving at ICICI bank
drive-in window during 10-min period is Poisson
13. What is the probability that birds arrive after 160 random variable X with b  2.
th
days but on or before the 210 day ?
18. The probability that more than 3 cars will arrive
(A) 0.6687 (B) 0.8413
during any 10 min period is
(C) 0.8531 (D) 0.9773
(A) 0.249 (B) 0.143

14. What is the probability that bird will arrive after (C) 0.346 (D) 0.543
231st day ?
19. The probability that no car will arrive is
(A) 0.0432 (B) 0.1123
(A) 0.516 (B) 0.459
(C) 0.0606 (D) 0.0732 (C) 0.246 (D) 0.135
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
390
ForRandom
E-books/Materials/Notes-PDFs|PPTs
Variables Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 7.1

20. The power reflected from an aircraft of complicated 26. The mean of random variable X is
shape that is received by a radar can be described by an (A) 1/4 (B) 1/6
exponential random variable W . The density of W is (C) 1/3 (D) 1/5
 1  w W0 
e w  0
fW ( w)   W0  27. The variance of random variable X is

0 w  0 
(A) 1/10 (B) 3/80
where W0 is the average amount of received power. (C) 5/16 (D) 3/16
The probability that the received power is larger than
the power received on the average is 28. A Random variable X is uniformly distributed on
X
(A) e 2 (B) e 1 the interval (5, 15). Another random variable Y  e

5

1 2
(C) 1  e (D) 1  e is formed. The value of E[ Y ] is
(A) 2 (B) 0.667
Statement for Question 21-23:
(C) 1.387 (D) 2.967
Delhi averages three murder per week and their
occurrences follow a poission distribution. 29. A random variable X has X  3, x 2  11 and 2X  2
2
For a new random variable Y  2 x  3, the Y , Y and 2Y
21. The probability that there will be five or more are
murder in a given week is (A) 0, 81, 8 (B)  6, 8, 89
(A) 0.1847 (B) 0.2461
(C)  9, 89, 8 (D) None of the above
(C) 0.3927 (D) 0.4167

Statement for Question 31-32 :


22. On the average, how many weeks a year can Delhi
expect to have no murders ? A joint sample space for two random variable X
and Y has four elements (1, 1), (2, 2), ( 3, 3) and (4, 4).
(A) 1.4 (B) 1.9
Probabilities of these elements are 0.1, 0.35, 0.05 and
(C) 2.6 (D) 3.4
0.5 respectively.

23. How many weeds per year (average) can the Delhi
30. The probability of the event{ X  2.5, Y  6} is
expect the number of murders per week to equal or
(A) 0.45 (B) 0.50
exceed the average number per week ?
(A) 15 (B) 20 (C) 0.55 (D) 0.60

(C) 25 (D) 30
31. The probability of the event { X  3} is
24. A discrete random variable X has possible values (A) 0.45 (B) 0.50
xi  i , i  1, 2, 3, 4 which occur with probabilities 0.4,
2
(C) 0.55 (D) 0.60
0.25, 0.15, 0.1,. The mean value X  E[ X ] of X is
(A) 6.85 (B) 4.35 Statement for Question 32-34 :
(C) 3.96 (D) 1.42
Random variable X and Y have the joint distribution

25. The random variable X is defined by the density

1 
x  5  x  e ( x  1 ) y2 2 

f X ( x)  u( x) e 2   e  y u( y), 0  x  4

2 4 x1 

The expected value of g( X )  X 3 is FX , Y ( x, y)   0 x  0 or y  0
1 5 y 2 5  y 2
(A) 48 (B) 192 1  e  e , 4  x and any y  0
4 4
(C) 36 (D) 72

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
391
For E-books/Materials/Notes-PDFs|PPTs
UNIT 7 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Communication System

32. The marginal distribution function FX ( x) is 37. The marginal distribution function FX ( x) is
 0, x,  0  0, x 0
5 1
5 x 5 x (A) [ 4( x)  4( x  4)]
(A)  , 4 x4 (B)  , 0  x4 4 ( x  1) 2
4( x  1) 4( x  1)

1, x  4
1, x4 5 1
(B) [ 4( x)  4( x  4)]
2 ( x  1) 2
 1, x 0  1, x 0
5 x 5 x 5 1
(C)  ,  4  x 0 (D)  , 0  x4 (C) [ 4( x)  4( x  4)]
4 ( x  1)
4( x  1) 4( x  1)

0, x  4
0, x4
(D) None of the above

33. The marginal distribution function FY ( y) is


38. The marginal distribution function FY ( y) is
 5  y2
 e , y 0 2
(A) [1  e  y ]u( y) 5
2
[1  e  y ]u( y)
(A)  4 (B) 2

1  4 e 5 y ,
2
y 0 (C) 5
2
[1  e  y ]u( y) (D) None of the above

4 4

 0, y 0

(B)  1 5 y 2 5  y 2 39. The joint distribution function is

1  4 e  e , y 0
4
 5  x  e ( x  1 ) y2 2
 5  y 2   e  y ", 0  x  4 and y  0
e , y 0 4  x1
(A)  !
(C)  4
1 5 y 2
1  1 e 5 y  5 e  y , y  0
2


1  4 [ e  5 e  y ], x  4 and y > 0
2 2


4 4
 0, y 0  5  x  e ( x  1 ) y2
2
 e  y ", 0  x  4 and y  0
(D)  1 5 y 2 5  y 2 
8 x1

1  4 e  e , y 0
4 (B)  !
1 5 y 2 2


1  2 [ e  5 e  y ], x  4 and y > 0
34. The probability P { 3  X  5, 1  y  2} is
(A) 0.001 (B) 0.002  5  x  e ( x  1 ) y2 2

  e  y ", 0  x  4 and y  0
(C) 0.003 (D) 0.004 8 x1 !
(C) 
1 5 y 2 2

Statement for Question 35-39 :


1  4 [ e  5 e  y ], x  4 and y > 0

Two random variable X and Y have a joint density


 5  x  e ( x  1 ) y2 2

FX , Y ( x, y) 
10
[ u( x)  u( x  4)]u( y) y 3e  ( x  1 ) y
2
  e  y ", 0  x  4 and y  0
4 4  x1 !
(D) 
1 5 y 2 2


1  2 [ e  5 e  y ], x  4 and y > 0
35. The marginal density f X ( x) is
u( x)  u( x  4) u( x)  u( x  4)
(A) 5 (B) 5
( x  1) 2 ( x  1)
40. The function
5 u( x)  u( x  4) 5 u( x)  u( x  4)
(C) (D) a   x    y
4 ( x  1) 2 4 ( x  1) FX , Y ( x, y)   tan 1  "   tan 1  "
2 2  ! 
2 2  3 !
36. The marginal density fY ( y) is
2 2 is a valid joint distribution function for random
(A) 5
4
y 2 [ e  y  e 5 y ]u( y)
variables X and Y if the constant a is
2 2
(B) 5
2
y 2 [ e  y  e 5 y ]u( y) 1 2
(A) 2 (B) 2
2 2  
(C) 5
4
y[ e  y  e 5 y ]u( y)
4 8
(D) 5
2 2
y[ e  y  e 5 y ]u( y) (C) (D)
2 2 2
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
392
ForRandom
E-books/Materials/Notes-PDFs|PPTs
Variables Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 7.1

41. Random variable X and Y have the joint a2 a


(A) (B)
distribution function 1  e a 1  e a
1
 0, x  0 or y  0 (C) (D) None of the above
1  e a
27  y  2
x 1  , 0  x  1 and 1  y
26  27 
Statement for Question 46-47 :

27  y2 
FX , Y ( x, y)   y 1  , 1  x and 0  y  1 Random variable X and Y have the joint density
26  27  x y
1  
27  x2 y2  f X , Y ( x, y)  u( x) u( y) e 4 3
xy 1  , 0  x  1 and 0  y  1 2
26  27 
1, 46. The probability of the event {2  X  4,  1  Y  5}

1  x and 1  y
is
The probability of the event (A) 0.1936 (B) 6.2964
{0  X  0.5, 0  Y  0.25} is
(C) 0 (D) None of the above
(A) 0.13 (B) 0.24
(C) 0.69 (D) 1 47. The probability of the event {0  X  ,  y  2} is
(A) 0.2349 (B) 0.3168
Statement for question 42-43 :
(C) 0.4946 (D) None of the above
The joint probability density function of random
variable X and Y is given by 48. Let X and Y be two statistically independent

( x 2  y2 )
random variables uniformly distributed in the ranges (

pXY ( x, y)  xye 2
u( x) u( y) 1, 1) and (-2, 1) respectively. Let Z  X  Y . Then the
probability that ( Z  2) is
42. The pX ( x) is (A) zero (B) 1/6
x2 (C) 1/3 (D) 1/12
2 
(A) 2 xe  x u( x) (B) xe 2
u( x)
2
2
x 49. The probability density function of two statistically
(C) xe  x u( x) (D) 2 xe 2 u( x)
independent random variable X and Y are

43. The pY / X ( y/x) is f X ( x)  5 u( x) e 5x


2 2
(A) 1
2
ye  y u( y) (B) ye  y u( y) fY ( y)  24( y) e 2 y
y2 y2

1
 The density of the sum W  X  Y is
(C) ye 2
u( y) (D) ye 2
u( y)
2
(A) 10
6
[ e 2 #  e 5# ]u( w)

44. The probability density function of a random ((B) 10


8
[ e 2 #  e 5# ]u( w)

variable X is given as f X ( x) . A random variable Y is (C) 10


13
[ e 2 #  e 5# ]u( w)
defined as y  ax  b where a  0. The PDF of random (D) 10
2
[ e 2 #  e 5# ]u( w)
variable Y is
 y  b  y  b 50. The density function of two random variable X and
(A) bf X   (B) af X  
 a   a  Y is

1  y  b 1  y  b  1
(C) f X  (D) f X  0  x  6 and 0  y  4
a  a  b  a  f X , Y ( x, y)   24

0 else where
45. The function The expected value of the function g( x, y)  ( XY )
 ( x  y)
 be 0  x  a and 0  y   is
f X , Y ( x, y)  

0 else where (A) 64 (B) 96

is a valid joint density function if b is (C) 32 (D) 48


www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
393
For E-books/Materials/Notes-PDFs|PPTs
UNIT 7 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Communication System

51. The density function of two random variable X and 56. The value of 2X , 2Y , R XY and % are respectively
Y is
11 27 1  1  33
 x 2  y2  (A) , . 2  , and 3

 2 2 
 4 2 2  3 2
 
e
f X , Y ( x, y)  11 11 1  1  33
2  2 (B) , 2  , and 3
4 2 2 3 2
with 2 a constant. The mean value of the function 9 11 1  1  1 2
(C) , 2  , and 
g( X , Y )  X 2  Y 2 is 4 2 2 3 3 33

(A) 2 (B) 9 11 1  1  1 2
(D) , , 2  , and 
4 2 2 3 3 33
(C) 2 $ (D) 2

57. The mean value of the random variable


Statement for Question 52-54 :
W  ( X  3Y ) 2  2 X  3 is
The statistically independent random variable X (A) 98  3 (B) 98  3
and Y have mean values X  E[ X ]  2 and
(C) 49  3 (D) 49  3
Y  E[ Y ]  Y . They have second moments
X 2  E[ X 2 ]  8 and Y 2  E[ Y 2 ]  25. Consider a random
***********
variable W  3 X  Y .

52. The mean value E[W ] is


(A) 2 (B) 4
(C) 8 (D) 25

53. The second moment of W is


(A) 145 (B) 49

(C) 97 (D) 0

54. The variance of the random variable is

(A) 4 (B) 45

(C) 49 (D) 54

55. Two random variable X and Y have the density


function

 xy
, 0  x  2 and 0  y  3
f X , Y ( x, y)   9

0 elsewhere

The X and Y are

(A) Correlated but statistically independent


(B) uncorrelated but statistically independent
(C) Correlated but statistically dependent
(D) Uncorrelated but statistically dependent
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
394
ForRandom
E-books/Materials/Notes-PDFs|PPTs
Variables Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 7.1

 FX (900)  [1  FX (1100)]
SOLUTION  900  ax
 F 
  1100  ax
  1  F 


 x   x 

1. (A) P { X  1}  & pX ( x) dx  900  1000   11000  1000 
 F   1  F 
1
 40   40 
 
x x 1  F ( 2.5)  1  F (2.5)  1  F (2.5)  1  F (2.5)  2  2 F(2.5)
&
2 &1
e dx  x e  x dx  0.368
1 2  2  2(0.9938)  0.012 or 1.2 %

 900  1050 
0 2
1 x 1
2. (C) P { 1  X  2}  &  xe dx  & xe  x dx 10. (B) P(resistor rejected)  F  1
1 2 0 2  40 
1 3  1100  1050 
1    0.429  F   F ( 375
. )  1  F (125
. )
e 2 e2  40 
 1  F ( 375
. )  1  F (125
. )
3. (A) Test 1: f X ( x)  0 is true  2  0.9999  0.8944  0.1057 or 20.57 %
1  l 3b 1
b
l 3x
Test 2: area must be 1 i.e. & dx    " 1
0
4 4 3 3! 11. (D) P { x  T  95.6}  0.0505
1 ( T  95.6  ax )  T  95.6  ax 
Thus b  ln 13 1  F  F    0.9495
3 x  x 
T  95.6  ax
 This occurs when  1.64 ...(i)
1 1 x
4. (C) & %( v)  1 ' 2
4k  1 ' k 
2
 P { x  T  112. }  0.1515
Thus %( v) 
kv v ( T  112
.  ax ) ( T  112
.  ax )
 F 1  F  8485
4 8 x x
 4
x T  112
.  ax
Mean Square Value  & x %( x) dx  & x dx  8
2 2
This occur when   103
. ...(ii)
 0
8 x
Solving (i) and (ii) we get ax  T  30 and x  40
1 
x
 
x x
5. (B) For x  0, FX ( x)  & e 2 dx  1  e 2 " u( x) 2
0 2  ! x
12. (A) 0.25 exceeds when  0.21 or x  5 v

1

3 100
P ( A)  FX ( 3)  FX (1)  e 2
e 2
 0.3834 P(0.25 W exceeded)  P { x  5}

2 .5
 P { x  5}  P { x  5}  1  P ( x  5)  P { x  5}

6. (D) P ( B)  FX (2.5)  1  e 2
 0.7135 5 0   5  0   5   5 
 1  P   P   1  F   F 
 4.2   4.2   4.2   4.2 
7. (D) C  A B  {1  X  2.5}  5   5    5   5 
 1  F   1  F   2 1  F     2Q 

1

2 .5
 4.2   4.2    4.2   4.2 
P ( C)  FX (2.5)  FX (1)  e 2
e 2
 0.3200

13. (A) P {160  X  120}  FX (210)  FX (160)


8. (A) P ( X  2)  P {2  x}  P { x  2}
 210  200   160  200 
 F   F 
 1  P { x  2}  P { x  2}  1  F (2)  F ( 2)  20   20 
We know that for gaussian function F (  x)  1  F ( x)  F (0.5)  F ( 2)  F (0.5)  F (2)  1
Thus P ( X  2) 1  F (2)  1  F (2)  0.6915  0.9773  1  0.6687
 2  2 F(2)  2  2(0.9772)  0.0456
14. (C) P { X  231} 1  P { X  231}  1  FX (231)
9. (C) Rejected resistor corresponds to { x  900 } and  231  200 
 1  F   1  F(155
. )  1  0.9394  0.0606
{ x  1100 }. Fraction rejected corresponds to  20 
probability of rejection.
P {resistor rejected}  P { X  900}  P { X  1100}
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
395
For E-books/Materials/Notes-PDFs|PPTs
UNIT 7 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Communication System

15. (B) We use the Rayleigh distribution with a  0 and  17 3 


 52 1  e   29.994 weeks
b  400  2 
1

For probability P { X  1} FX (1)  1  e 400 4
24. (B) E[ X ]  X  ( xi P ( xi )
 0.0025 or 0.25 % i 1

 10
. (0.4)  4(0.25)  9(0.15)  16(0.1)  4.35
16. (C) P { X  52}  1  FX (52)

2 2

1 3 2 1  6
52 52  x
 
 1  1  e 400 "  1  e 400  0.00116 or 0.12 % 25. (A) E[ g( X )]  E[ X 3 ]  & xe   "  48
 "! 0 2 2 1 2 4 "!

x x
( b ) du x 1
1
17. (C) FX 

&f X ( u) du  &b

2
 ( u  a) 2
26. (A) Mean of X  & xf X ( x) dx  & x 3(1  x) dx 
2

4
 0

Let v  u  a and dv  du to get


b
x a
dv b 1 v
x a
27. (B) Variance of X is 2x  E[ X 2 ]  * x2
FX ( x) 
 & 
b2  v 2   b
tan 1  "
 b ! 
 1
1
+[ X 2 ,  &x f X ( x) dx  & x 2 3(1  x) 2 dx 
 2

10
1 1  x a  0
  tan 1   2
2   b  1 1 3
2x    
10  4  80

 3k 
18. (B) Here f X ( x)  e 2 (  )( x  k) Hence B is correct option
k0  k! 

X
P { x  0}  1  P { x  3} 
28. (B) Here Y  g( X )  e 3

 1  P ( x  0)  P ( x  1)  P ( x  2)  P ( x  3)  15 x
 1
 2 0 21 2 2 2 3 
1  e 2
 
 19 
   1  e 2    0.1429
So E[ Y ]  E[ g( Y )]  & g( X ) g

X ( x) dx  & e
5
5
15  ( 5)
dx

0 ! 2 ! 2 ! 3 !   3 
15
1  
x
1 1 3
0
 5 e "  [ e  e ]  0.667
5
2 20  ! 5 5
19. (D) P ( x  0)  e 2  0.135
0!
29. (C) E[ Y ]  E[2 X  3]  2 X  3  2( 3)  3  9
20. (B) P {W  W0 }  1  P {W  W0 }  1  FW (W0 )
E[ Y 2 ]  E[(2 X  3) 2 ]  4 X 2  12 X  9
  
W0

 1   1  e W0   e 1  4(11)  12( 3)  9  89


 
  2
2Y  Y 2  Y  89  9 2  8

21. (A) P {5 or more} 1  P (0)  P (1)  P (2)  P ( 4)


30. (A) F (x, y)  0.1u (x  1)u ( y  1)  0.35u (x  2)u ( y  2)
 30 31 32 33 34 131 3 XY
 1  e 3      " 1  e  0.1847 0.05u (x  3)u ( y  3)  0.5u (x  y)u ( y  4)
 0 ! 11 2 ! 3 ! 4 ! ! 8
P { X  2.5, Y  6.0}  f XY (2.5, 6.0)  0.1  0.35  0.45
3
22. (C) P(0)  e  0.0498
31. (B) P { X  30
. } FX ( 30
. )  FXY ( 30
. , )
average number of week, per year with no murder
 0.1  0.35  0.05  0.5
52 e 3  2.5889 week.

23. (D) P {3 or more} 1  P (0)  P (1)  P (2) 32. (B) FX ( x)  FX , Y ( x, )


5  x  e ( x  1 ) y 2 
2

 32 17 3 5x
3
 1  e 1  3  lim   e  y u( y) 
"  1  2 e  0.5768 y - 4  x  1  4( x  1)
 2 !  
Average number of weeks per year that number of  1 2 5 2 
lim 1  e 5 y  e  y   1
murder exceeds the average
y -
 4 4 

33. (B) FY ( y) FX , Y ( , y)


www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
396
For E-books/Materials/Notes-PDFs|PPTs
UNIT 7 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Communication System

 w
Thus f X , Y ( x, y)  f X ( x) fY ( y) and X and Y are
 & 5 e  ( w y) u( w  y) u( y)2 e 2 ydy  10 & e 5w 3 ydy, w  0
 0 statistically independent.
10
 u( w)[ e 2 w  e 5w ] 2
3 5 1
2 9
56. (C) 2X  X 2  X    
2 2  4
 
11 11
&&x
2
50. (A) E [( XY ) 2 ]  2
y 2 f X , Y ( x, y) dx dy 2Y  Y 2  Y   2 
2

  2 2
4 6 2
x y 2 1 1 1 1 
R XY  XY  C XY  X Y    (2)   2 
 & &
y 0 x  0 24
dx dy  64
2 3 2 2

3
C XY 1/2 3 1 2
 x 2  y2 
%  

 2 
 X Y ( 914 )( 11/2 ) 3 33
   2 
e
51. (C) E0 g( X , Y ) ,  & &(x
2
 y2 ) dx dy
  2  2 57. (B) W  ( X  3Y ) 2  2 X  3
x2 y2  y2 x 2


2 2 

2 2  2  2  3  2 X  X 2  6 XY  9 Y 2
x2 e e y2 e 2 e2
 & 2  2
dx &
2  2
dy  & 2  2
dy &
2  2
dx 1 5  1 
 3  2    6  2 
1   19 
  9
      98  3
2  2  2  3  2 
Both double integral are of the same form. the second
factors equal 1 because they are area of a gaussian
density. The first factor equal 2 because they are
second moment of gaussian density with zero mean and
variance 2 .
Thus E[ g( x, y)] E[( x 2  y 2 )]2 2

52. (A) E[W ]  E[ 3 X  Y ]  3 X  Y  6  4  2

53. (B) E[W 2 ]  E[( 3 X  Y ) 2 ]  E[9 X 2  6 XY  Y 2 ]


 9 X 2  6 XY  Y 2  9 X 2  6 X Y  Y 2
 9( 8)  6(2)( 4)  25  49

2
54. (B) 2W  E[(W  W ) 2 ]  E[W 2  2W W  W ]
2
 W 2  W  49  4  45

  3 2
x2 y2 8
55. (B) R XY  & & xy
 
f X , Y ( x, y) dxdy  & &
0 0
9
dx dy 
3
3 2 2
x y 4
E[ X ]  & & dx dy 
0 0
9 3
3 2
x2 y
E[ Y ]  & & dx dy  2
0 0
9
8 4 8
Since R XY   E[ X ] E[ Y ]  2   , we have X and Y
3  3 3
uncorrelated form
3
xy x
From marginal densities f X ( x)  & dy  , 0  x  2
0 9 2
2
xy 2y
fY ( y)  & dy  , 0 y3
0 9 9
xy
we have f X ( x) fY ( y)  , 0  x  2 and 0  y  3
9
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
398
For E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com

CHAPTER

7.2
RANDOM PROCESS

Statement for Question 1 - 4 : 3. The value of E[ X 2 ( t)] is


t0 A 2 t0 A 2
A random process X ( t) has periodic sample (A) (B)
T 3T
functions as shown in figure where A, T and 4 t0  T are
2 t0 A 2
constant but  is random variable uniformly distributed (C) (D) 0
3T
on the interval (0, T).

X (t)
4. The value of 2X is
t0 A 2 t0  t0 A 2 t0
(A)  (B) 
T  3 T  T T

t0 A 2 t0  t0 A 2 2 t0 
 3  T   3  T 
0 t
(C) (D)
T T
Fig. P7.2.1-4

5. An ergodic random power x( t) has an auto-correlation


1. The first order density function is
function
 T  2 t0 2t
( x)  0 0xA R XX ( )  18 
2
1  4 cos(12 )
(A)  T AT

0 6  2
else where

The X is
T  2 t0 2 t0 (A)  18 (B)  13
(B)  [ u( x)  u( x  A)]
T AT (C)  17 (D)  18  17
 T  2 t0 2t
( x)  0 0xA
(C)  T AT 6. For random process X  6 and

0 else where
R XX ( t, t  )  36  25 e ||.
T  2 t0 2 T0
(D) ( x)  Consider following statements :
T AT
1. X ( t) is first order stationary.
2. X ( t) has total average power of 36 W.
2. The value of E[ X ( t)] is
3. X ( t) is a wide sense stationary.
t A t A 4. X ( t) has a periodic component.
(A) 0 (B) 0
2T T The true statement is/are
t0 A (A) 1, 2, and 4 (B) 2, 3, and 4
(C) (D) 0
4T (C) 2 and 3 (D) only 3
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
399
For E-books/Materials/Notes-PDFs|PPTs
UNIT 7 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Communication System

2
7. A random process is defined by X ( t)  A where A is The variance of random variable Y   X ( t) dt will be
0
continuous random variable uniformly distributed on (A) 1 (B) 2.31
(0,1). The auto correlation function and mean of the (C) 4.54 (D) 0
process is
(A) 1/2 & 1/3 (B) 1/3 & 1/2 13. A random process is defined by X ( t)  A cos( t)
where A is a gaussian random variable with zero mean
(C) 1 & 1/2 (D) 1/2 & 1
and variance 2 . The density function of X(0)
x2 x2
Statement for Question 8 - 9 : 1  
2 2A 2 2A
(A) e (B) 2   e
A random process is defined by 2  
Y ( t)  X ( t) cos( 0 t  ) where X ( t) is a wide sense (C) 0 (D) 1
stationary random process that amplitude modulates a
carrier of constant angular frequency 0 with a random Statement for Question 14-15 :
phase  independent of X ( t) and uniformly distributed The two-level semi-random binary process is
on (  / ). defined by

8. The E[ Y ( t)] is X ( t)  A or A

(A) E[ X ( t)] (B) E[ X ( t)] where ( n  1) T  t  nt and the levels A and A
(C) 1 (D) 0 occur with equal probability. T is a positive constant
and n  0,  1,  2
9. The autocorrelation function of Y ( t) is
1 14. The mean value E[ X ( t)] is
(A) R XX ( ) cos( 0 ) (B) R XX ( ) cos( 0 )
2
(A) 1/2 (B) 1/4
(C) 2 R XX ( ) cos( 0 ) (D) None of the above
(C) 1 (D) 0
Statement for Question 10 - 11 :
15. The auto correlation R XX ( t1  0.5 T, t2  0.7 T) will be
Consider a low-pass random process with a
(A) 1 (B) 0
white-noise power spectral density S X ( )  N/2 as 2
(C) A (D) A 2/2
shown in fig.P7.2.10-11.
16. A random process consists of three samples function
X ( t, s1 )  2, X ( t, s2 )  2 cos t1 and X ( t, s3)  3 sin t- each
occurring with equal probability. The process is
(A) First order stationary
(B) Second order stationary
Fig.P7.2.10-11
(C) Wide-sense stationary
10. The auto correlation function R X ( ) is (D) Not stationary in any sense
(A) 2 NB sinc (2) (B) NB sinc (2)
(C) NB sinc (2) (D) None of the above Statement for Question 17 - 19 :

11. The power PX is The auto correlation function of a stationary

(A) 2 NB (B) NB ergodic random process is shown in fig.P.7.2.17-19

NB
(C) NB D) D 50
2

12. If X ( t) is a stationary process having a mean value 20

E[ X ( t)]  3 and autocorrelation function 10 10


||
R XX ( )  9  2 e . Fig. P7.2.17-19

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
400
ForRandom
E-books/Materials/Notes-PDFs|PPTs
Process Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 7.2

17. The mean value E[ X ( t)] is 24. A complex random process Z ( t)  X ( t)  jY ( t) is


(A) 50 (B) 50 defined by jointly stationary real process X ( t) and Y ( t).
The E[|Z ( t)|2 ] will be
(C) 20 (D) 20
(A) 2 R XY (0)  R XX (0)  RYY (0) (B) R XX (0)  RYY (0)
(C) R XX (0)  RYY (0) (D) RYY (0)  R XX (0)
18. The E[ X 2 ( t)] is
(A) 10 (B) 10 25. Consider random process X ( t)  A0 cos( 0 t  )
(C) 50 (D) 50 where A0 and 0 are constant and  is a random
variable uniformly distributed on the interval (0, ). The
19. The variance 2X is power in X ( t) is
(A) 20 (B) 50 (A) A 2 (B) 1
2
A2
(C) 70 (D) 30 (C) 1
4
A2 (D) 1

26. The non valid power spectral density function of a


20. Two zero mean jointly wide sense stationary
real random process is
random process X ( t) and Y ( t) have no periodic

components. It is know that 2X  5 and 2Y  10. The (A) (   0 )  (   0 ) (B)
  25
function, that can apply to the process is  
2 (C) (   
(D) 
sin( 3)    16   16
(A) R XX ( )  6 u( ) e 3 (B) RYY ( )  5 
 3 
(C) R XY ( )  9(1  2 e 2 ) 1 (D) None of the above 27. The valid power density spectrum is
 
(A) (B)  ( )
1    j  14
21. A stationary zero mean random process X ( t) is
ergodic has average power of 24 W and has no periodic 2 
(C) e  (  1 ) (D)
component. The valid auto correlation function is   3  3
6

(A) 16  18 cos( 3) (B) 24 a 2 (2 ) 28. A power spectrum is given as


e ( 6  )
(C) (D) 24 ( t  ) P
(1  3 2 )   KW
 XX ( )  1  (  W ) 2
 0   KW

22. Air craft of Jet Airways at Ahmedabad airport
arrive according to a poisson process at a rate of 12 per where P , W , and K are real positive constants. The
hour. All aircraft are handled by one air traffic sums bandwidth of power spectrum is
controller. If the controller takes a 2 - minute coffee tan k k
(A) W 1 (B) W 1
break, what is the probability that he will miss one or k tan 1 k

more arriving aircraft ? tan 1 k


(C) W 1 (D) !
(A) 0.33 (B) 0.44 k

(C) 0.55 (D) 0.66


29. Consider the power spectrum given by

23. Delhi airport has two check-out lanes that develop P  W


 XX ( )  
waiting lines if more than two passengers arrives in
0  W
any one minute interval. Assume that a poission
where P and W are real positive constants. The
process describes the number of passengers that arrive
rms bandwidth of the power spectrum is
for check-out. The probability of a waiting line if the W W2
(A) (B)
average rate of passengers is 2 per minute, is 2 3
(A) 0.16 (B) 0.29 W W
(C) (D)
(C) 0.32 (D) 0.49 3 2
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
401
For E-books/Materials/Notes-PDFs|PPTs
UNIT 7 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Communication System

30. For a random process R XX ( )  P cos 4 ( 0 ) where P 37. A random process X ( t) has an autocorrelation
and 0 are constants. The power in process is function R XX ( )  A 2  Be || Where A and B are
(A) P (B) 2P constants. A system have an input response
(C) 3P (D) 4P  e  Wt 0  t
h( t)  

0 t 0
31. A random process has the power density spectrum
 XX ( )  62
. The average power in process is where W is a real positive constant, which X ( t) is
[1   2 ] 3
its input. The mean value of the response is
(A) 1/4 (B) 3/8
A A
(C) 5/8 (D) 1/2 (A) (B)
W 2W
2A
32. A deterministic signal A cos( 0 t), where A and 0 (C) (D) 0
W
are real constants is added to a noise process N ( t) for
which  NN ( )  W2
W2 2
and W  0 is a constant. The ratio 38. In previous question if impulse response of system is
of average signal power to average noise power is
 e  Wt sin( 0 t) 0  t
A h( t)  
(A) 1 (B)
0 t 0
W
2A A2 where W and 0 are real positive constants, the
(C) (D)
W W
mean value of response is
A0 A " 1 %
33. The autocorrelation function of a random process (A) (B) $$ 2 ''
  W2
2
0 2 0# 0  W2 &
X ( t) is
2A " 1 % A " 1 %
(C) $$ 2 '' (D) $ '
2 0 $# 02 2 '
2
R XX ( t, t  )  12 e Y cos 2 (24 t) 0 # 0  W 2 & W &

The R XX ( ) is
  39. A stationary random process X ( t) is applied to the
(A) 6 e 4  (B) 12 e 4 
input of a system for which h( t)  3u( t) t 2 e 8 t . If
4  
(C) 48 e (D) None of the above E[ X ( t)]  2, the mean value of the system's response
Y ( t) is
34. If X ( t) and Y ( t) are real random process, the valid
1 1
(A) (B)
power density spectrum f XX ( ) is 128 64
3 1
6 4 e 3|| (C) 128
(D) 32
(A) (B)
6  7 3 1  2
Statement for Question 40-41 :
(C) 3  j2 (D) 18 ( )
A random process X ( t) is applied to a network with
35. The cross correlation of jointly wide sense impulse response h( t)  u( t) te  at where a  0 is a
 W
stationary process X ( t) and Y ( t) is R XY ( )  Au( ) e constant. The cross correlation of X ( t) with the output
where A  0 and W  0 are constants. The  XX ( ) is Y ( t) is known to have the same form R XY ( )  u( ) e  a
A A
(A) (B) 40. The auto correlation of Y ( t) is
W  2
2
W  2
2

4  a  a|| 1  a  a||
A A (A) e (B) e
(C) (D) 4a 3
3a 2
W  j W  j
4  a  a|| 1  a  a||
(C) e (D) e
8a2 4 a3
36. A random process X ( t) is applied to a linear time
invariant system. A response Y ( t)  X ( t)  X ( t  )
41. The average power in Y ( t) is
occurs when  is a real constant. The system's transfer 1 1
(A) (B) 3
function is 4a3 a
(A) 1  e j (B) 2 je  j/ 2 sin 
2 1
(C) 2 je  j/ 2 cos 
(D) 1  e  j (C) (D) None of the above
2 3a 2
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
402
ForRandom
E-books/Materials/Notes-PDFs|PPTs
Process Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 7.2

Statement for Question 42 - 43 :

A random noise X ( t) having a power spectrum


SOLUTION
 XX ( )  3
is applied to a differentiator that has a
49  2 1. (A) Let  have value e. Now
transfer function H ( )  j. The output is applied to a
P { X  x  e}  FX ( X| e) and for any  must be zero
network for which h( t)  u( t) t 2 e 7t
for x  0 because x( t) is never negative. The event
{ X  0} is satisfied whenever x( t) is zero. This happens
42. The average power in X ( t) is
during the fraction of time ( T  2 t0 ) / T. Hence
(A) 5/21 (B) 5/24
FX ( x| e)  [( T  2 T0 ) / T} u( x). For 0xA the
(C) 5/42 (D) 3/14
additional time interval or fraction of time where X  x

43. The power spectrum of Y ( t) is becomes 2 to 2 t0 x / AT.

4 2 12 2 " T  2 t0 % 2t x
Thus FX ( x  e)  $ 'u( x)  0 , 0  x  A
(A) (B) # T & AT
( 49  2 ) 3 ( 49  2 ) 4
 1,A  x
42 3
(C) (D) None of the above  0,x  0
( 49  2 ) 2
By differentiation
44. White noise with power density N0/2 is applied to a " T  2 t0 % 2t
f X ( x  e)  $ ' ( x)  0 , 0  x  A
lowpass network for which H(0)  2. It has a noise # T & AT
bandwidth of 2 MHz. If the average output noise power  0 else where
is 0.1 W in a 1  ( resistor, the value of N0 is f X , e ( x, e)  f X ( x  e) f( e)
(A) 12.5 nW/Hz (B) 12.5 )W/Hz " T  2 t0 % 2 T0
$ 2 ' ( x)  ,0  x  A and 0  e  T
# T & AT 2
(C) 25 nW/Hz (D) 25 )W/Hz
!

45. An ideal filter with a mid-band power gain of 8 and


f X ( x)  f
!
( x, e) de
X ,

bandwidth of 4 rad/s has noise X ( t) at its input with " T  2 t0 % 2t


  2 /8
$ ' ( x)  0 .0  x  A
power spectrum  XX ( )  50
8
e . The noise power at # T & AT
the network's output is ( F (2)  0.9773)  0 elsewhere.
(A) 60.8 (B) 90.3
!
(C) 20.2 (D) 100.4 2. (B) E[ X ( t)]   xf
!
X ( x) dx

! A
46. White noise with power density N0/2  6 )W/Hz is " T  2t % 2t x t A
applied to an ideal filter of gain 1 and bandwidth W
 ! x$# T 0 '& ( x) dx  0 AT0 dx  0T
rad/s. If the output's average noise power is 15 watts,
! A
2 t0 x 2 2 t0 A 2
the bandwidth W is 3. (C) E[ X 2 ( t)]  x f X ( x) dx   
2

AT 3T
(A) 2.5 * 10 6 (B) 2.5  * 10 6 ! 0

(C) 5 * 10 6 (D) 5 * 10 6
4. (D) 2X  E[ X 2 ( t)]  { E[ X ( t)]} 2
2 2 t0 A 2 t02 A 2 t0 A 2 2 t0 
47. A system have the transfer function H ( )  1  ( 1/W ) 4     3  T 
3T T2 T
where W is a real positive constant. The noise
bandwidth of the system is
5. (A) We know that ( i) if X ( t) has a periodic component
(A) 1
3
W 2 (B) 1
4
W 2 then R XX ( ) will have a periodic component with the
(C) 1
W 2 (D) None of the above 
6
same period. (ii) if E[ X ( t)]  X m 0 and X ( t) is ergodic
2
with no periodic components then lim R XX ( )  X
||+!
2
Thus we get X  18 or X  18
************
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
403
For E-books/Materials/Notes-PDFs|PPTs
UNIT 7 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Communication System

x2
6. (C) X  Constant and R XX ( ) is not a function of t, so 1 
2 2
13. (A) For t  0,X(0) A, So f X ( x)  e
X ( t) is a wide sense stationary. So 1 is false & 3 is true. 2  A
PXX  R XX (0)  36  25  61.
Thus 2 is false if X ( t) has a periodic component, then A A
14. (D) E[ X ( t)]  AP ( A)  (  A) P (  A)   0
2 2
R XX( ) will have a periodic component with the same
period. Thus 4 is false.
15. (C) Here R XX ( t1 , t2 ) A 2
1
1 If both t1 and t2 are in the same interval
7. (B) R XX ( t, t  )  E[ X ( t) X ( t  )]  E[ A 2 ]   a 2 da 
0 3 ( n  1) T  t, t2  nT, n  0, , 2...
1
1 and R XX ( t1 , t2 )  0 otherwise
X E[ X ( t)]  E[ A ]   a da 
0 2 Hence R XX (0.5 T, 0.7 T)  A 2

8. (D) E[ Y ( t)}  E[ X ( t) cos( 0 t  )] 16. (D) Let x1  2, x2  2 cos t and x3  3 sin( t)
X
1 1 1 1
 E X [ X ( t)]  cos( 0 t  ) d  0 Then f X ( x)  ( x  x1 )  ( x  x2 )  ( x  x3)
2 2 3 3
X
!
where E X [,] represent expectation with respect to X and E[ X ( t)] 
!
 xf X ( x) dx
only
!
1 1 1 
9. (B) RYY ( t, t  )
  x  3 ( x  x )  3 ( x  x )  3 ( x  x ) 
!
1 2 2

 E[ X ( t) cos( 0   ) X ( t  ) cos( 0 t    0 )] 1


 [2  2 cos t  3 sin t ]
1 3
 R XX ( ) [cos( 0 )  cos(2 0 t  2   0 )]
2 The mean value is time dependent so X ( t) is not
1
 R XX ( ) cos( 0 ) stationary in any sense.
2
17. (D) We know that for ergodic with no periodic
N "  %
10. (C) S X ( )  rect$ ' component
2 # 4 b &
2 2
We know that R X ( )-.+ S X ( ) lim R XX ( )  X , Thus X  20 or X  20
||+!

W "  %
sin(Wt)-.+ rect $ '
 # 2W & 18. (C) R XX (0)  E[ X 2 ( t)]  R XX (0)  50  X 2
Here W  2B
2
2 B N 19. (D) 2X  X 2  X  50  20  30
Hence R X ( )  sin (2B)  NB sinc (2B)
 2
20. Here X  0, Y  0, R XX (0)  5, Y2  RYY (0)  10
11. (C) PX  X  R X (0)  NB since sinc (0)  1
2

For (A) : Function does not have even symmetry


2 2 2 For (B) : Function does not satisfy RYY (0)  10
12. (C) E[ Y ]  E[  X ( t) dt   E[ X ( t)]dt  3 dt  6
0 0 0
For (C) : Function does not satisfy
2 2 2 2 |R XY ( )| R XX (0) RYY (0)  50
E[ Y 2 ]  E[  X ( t) dt  X ( u) du]]    E[ X ( t) X ( u) du dt ]
0 0 0 0
2 2 2 2 21. (D) For (A) : It has a periodic component.
   R XX ( t  u) dt du    [9  2 e |t  u| ]dt du
For (B) ; It is not even in , total power is also incorrect.
0 0 0 0
2 2 For (C) It depends on t not even in  and average power
 36  2   e |t  u|dt du  4(10  e 2 )
is !.
0 0

2Y  E[ Y 2 ]  ( E[ Y ]) 2  4(1  e 2 )  4.541
22. (A) P (miss/or more aircraft) 1  P(miss 0)
( /t) 0 e  /t
 1  P (0 arrive)  1 
0!
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
404
For E-books/Materials/Notes-PDFs|PPTs
UNIT 7 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Communication System

! !
A So W  2.5  * 106
E[ Y ( t)]  A  h( 3)d3  A  e  Wt dt 
! 0 W
!
2
 H( ) d
38. (A) X  A 47. (B) Noise bandwidth Wn  0
2
! !
A H (0)
E[ Y ( t)]  Y  X  h( t) dt  A  e  Wt
sin( 0 t) dt  2 0 2
! 0  W !
2
!
d W
Wn   H ( ) dsince H(0)  1  
0

0 0 1  (  /W ) 4
2 2
! !
3
39. (C)Y  X  h( t) dt  2  3t e dt  2 8 t

! 0 128

!
*********
40. (D) RYY ( ) R
!
XY (   3)h( 3) d3

!
 e  a  u( 3)u( 3  )( 3  3 ) e
2 a3
2
d3
!

There are two cases of interest  4 0 and   0 Since


RYY ( ) is an even function we solve only the ease  4 0
!
1  a  a3
RYY ( )  e  a  ( 3  32 )e 2 a3 d3  e
0 4 a3

1
41. (B) Power in y( t)  RYY (0) 
4a3

! !
1 3 d 3
42. (D) PXX    XX ( ) d  2  ! 49  2  14
2 !

2
43. (B)h2  49 t) t 2 e 7t -.
F
+  H 2 ( )
(7  j) 3
2 12 2
sYY ( )  s XX ( )  H1 ( ) H 2 ( ) 
( 49  2 ) 4

2
N0 H (0) Wn
44. (A) PYY   0.1
2
2 (0.1) 2 (0.1)
So N0  
H (0) Wn
2
(2) 2 2  * 2 * 106

. * 10 8 W/Hz  12.5 nW/Hz


 125

!
1 2
45. (A) PYY  
2  !
 XX ( ) H ( ) d

2

4 2 4 2 (u)
1 50  8 (8 ) d 200 e
 
2 4 8
e 
 
4 2 ( 4)
200 200
 [ F (2)  F ( 2)]  [2 F (2)  1)]  60.8
 

!
1 2
46. (B) PYY    XX ( ) H( ) d
2  !
6 * 10 6 W 6 * 10 6 W
W
1
2  W
6
 6 * 10 d     15
 
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
406
For E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com

CHAPTER

7.3
NOISE

1. The power spectral density of a bandpass white noise 5. A mixer stage has a noise figure of 20 dB. This mixer
2
n( t) is N / 2 as shown in fig.P.7.3.1. the value of n is stage is preceded by an amplifier which has a noise
figure of 9 dB and an available power gain of 15 dB.
The overall noise figure referred to the input is
(A) 11.07 B) 18.23
(C) 56.48 (D) 97.38

Fig. P7.3.1
6. A system has three stage cascaded amplifier each
(A) NB (B 2 NB stage having a power gain of 10 dB and noise figure of 6
NB dB. the overall noise figure is
(C) 2pNB (D)
p (A) 1.38 (B) 6.8
(C) 4.33 (D) 10.43
2. In a receiver the input signal is 100 V, while the
internal noise at the input is 10 V. With amplification 7. A signal process m( t) is mixed with a channel noise
the output signal is 2 V, while the output noise is 0.4 V. n( t). The power spectral density are as follows
The noise figure of receiver is
6
(A) 2 (B) 0.5 Sm ( w)  , Sn ( w) = 6
9 + w2
(C) 0.2 (D) None of the above
The optimum Wiener-Hopf filter is
w2 + 9 1
3. A receiver is operated at a temperature of 300 K. The (A) 2 (B)
w + 10 w + 10
2
transistor used in the receiver have an average output
w2 + 10
resistance of 1 k. The Johnson noise voltage for a (C) (D) None of the above
w2 + 9
receiver with a bandwidth of 200 kHz is
(A) 1.8 V (B) 8.4 V Statement for Question 8-9
(C) 4.3 V (D) 12.6 V
A sonar echo system on a sub marine transmits a
random noise n( t) to determine the distance to another
4. A resistor R  1 k is maintained at 17 o C. The rms
targeted submarine. Distance R is given by vt R / 2
noise voltage generated in a bandwidth of 10 kHz is
where v is the speed of the sound wave in water and t R
(A) 16  10 14 V (B) 0.4  V
is the time it takes the reflected version of n( t) to
(C) 4  V (D) 16  10 18 V
return. Assume that n( t) is a sample function of an
ergodic random process N ( t) and T is very large.
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
407
For E-books/Materials/Notes-PDFs|PPTs
UNIT 7 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Communication System

8. The V will be 14. The standard spot noise figure of amplifier is


(A) 2 R NN ( t R - t T ) (B) R NN ( t R - 2 t T ) (A) 4 dB (B) 5 dB

(C) R NN ( t R - t T ) (D) 1
R NN ( t R - t T ) (C) 7 dB (D) 9 dB
2

9. What value of the delay t T will cause v to be 15. If a matched attenuator with a loss of 3.2 dB is

maximum ? placed between the source and the amplifier's input,


what is the operating spot noise figure of the attenuator
(A) t R (B) 2t R
amplifier cascade if the attenuator's physical
(C) 3t R (D) None of the above
temperature is 290 K ?

10. Two resistor with resistance R1 and R2 are (A) 9 dB (B) 10.4 dB

connected in parallel and have Physical temperatures (C) 11.3 dB (D) 13.3 dB
T1 and T2 respectively. The effective noise temperature
16. In previous question what is the standard spot noise
Ts of an equivalent resistor is
figure of the cascade ?
T1 R1 + T2 R2 T1 R1 + T2 R1
(A) (B)
R1 + R2 R1 + R2 (A) 10.3 dB (B) 12.2 dB
(C) 14.9 dB (D) 17.6 dB
T1 T2 ( R1 + R2 ) 2 ( T1 + T2 ) R1 R2
(C) (D)
( T1 + T2 ) R1 R2 T1 + T2 ( R1 + R2 ) 2 17. Omega Electronics sells a microwave receiver (A)
having an operating spot noise figure of 10 dB when
Statement for Question 11-12 : driven by a source with effective noise temperature 130

An amplifier has a standard spot noise figure K Digilink (B) sells a receiver with a standard spot

F0 = 6.31 (8.0 dB). The amplifier, that is used to amplify noise figure of 6 dB. Microtronics (C) sells a receiver

the output of an antenna have antenna temperature of with standard spot noise figure of 8 dB when driven by

Ta = 180 K a source with effective noise temperature 190 K. The


best receiver to purchase is
11. The effective input noise temperature of this (A) A (B) B
amplifier is (C) C (D) all are equal
(A) 2520 K (B) 2120 K
Statement for Question 18-20 :
(C) 2710 K (D) 1540 K
An amplifier has three stages for which Te1 = 150 K
12. The operating spot noise figure is (first stage), Te 2 = 350 K, and Te 3 = 600 K (output stage).
(A) 3.2 dB (B) 6.4 dB Available power gain of the first stage is 10 and overall
(C) 9.8 dB (D) 11.9 dB input effective noise temperature is 190 K

13. An amplifier has three stages for which Te1 = 200 K 18. The available power gain of the second stage is
(first stage), Te 2 = 450 K, and Te 3 = 1000K (last stage). If (A) 12 (B) 14
the available power gain of the second stage is 5, what (C) 16 (D) 18
gain must the first stage have to guarantee an effective
input noise temperature of 250 K ? 19. The cascade's standard spot noise figure is

(A) 10 (B) 13 (A) 1.3 dB (B) 2.2 dB


(C) 16 (D) 19 (C) 4.3 dB (D) 5.3 dB

Statement for Question 14-16 20. What is the cascade's operating spot noise figure
An amplifier has an operating spot noise figure of when used with a source of noise temperature Ts = 50 K
10 dB when driven by a source of effective noise (A) 1.34 dB (B) 3.96 dB
temperature 225 K. (C) 6.81 dB (D) None of the above.
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
408
ForNoise
E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 7.3

21. Three network are cascaded. Available power gains elements that can be modeled as an impedance
are G1  8, G2  6 and G3  20. Respective input effective matched attenuator with an overall loss of 2.4 dB and a
spot noise temperature are Te1  40 K, Te 2  100 K and physical temperatures of 275 K. The overall system
Te 3  180 K. noise temperature of the receiver T sys  820 K.
(A) 58.33 K (B) 69.41 K
26. The average effective input noise temperature of the
(C) 83.90 K (D) 98.39 K
receiver is
22. Three identical amplifier, each having a spot (A) 420.5 K (B) 320.5 K
effective input noise temperature of 125 K and available (C) 220.5 K (D) 10.5 K
power G are cascaded. The overall spot effective input
noise temperature of the cascade is 155 K. The G is 27. The average operating noise figure of the
(A) 3 (B) 5 attenuator-receiver cascade is
(C) 7 (D) 9 (A) 13.67 d (B) 11.4 dB
(C) 1.4 dB (D) 1.367 dB
23. Three amplifier that may be connected in any order
in a cascade are defined as follows: 28. If receiver has an available power gain of 110 dB
and a noise bandwidth of 10 MHz, the available output
Effective Input Noise Available Power
Amplifier noise power of receiver is
Temperature Gain
(A) 6.5 mW (B) 8.9 mW
A 110 K 4
(C) 10.3 mV (D) 11.4 mV
B 120 K 6
29. If antenna attenuator cascade is considered as a
C 150 K 12
noise source, its average effective noise temperature is
(A) 63 K (B) 149 K
The sequence of connection that will give the
(C) 263 K (D) 249 K
lowest overall effective input noise temperature for the
cascade is
Statement for question 30-32 :
(A) ABC (B) CBA
An amplifier when used with a source of average
(C) ACB (D) BAC
noise temperature 60 K, has an average operating noise
24. What is the maximum average effective input noise figure of 5.
temperature that an amplifier can have if its average
30. The T e is
standard noise figure is to not exceed 1.7 ?
(A) 70 K (B) 110 K
(A) 203 K (B) 215 K
(C) 235 K (D) 255 K (C) 149 K (D) 240 K

25. An amplifier has an average standard noise figure 31. If the amplifier is sold to engineering public, the
of 2.0 dB and an average operating noise figure of 6.5 noise figure that would be quoted in a catalog is
dB when used with a source of average effective source (A) 0.46 (B) 0.94
temperature Ts . The Ts is (C) 1.83 (D) 2.93
(A) 156.32 K (B) 100.81 K
32. What average operating noise figure results when
(C) 48.93 K (D) None of the above
the amplifier is used with an antenna of temperature
Statement for Question 30 K ?

An antenna with average noise temperature 60 K (A) 9.54 dB (B) 10.96 dB


connects to a receiver through various microwave (C) 11.23 dB (D) 12.96 dB
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
409
For E-books/Materials/Notes-PDFs|PPTs
UNIT 7 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Communication System

33. An engineer of RS communication purchase an (A) 1 / W (B) 2.5 / W


amplifier with average operating noise figure of 1.8 (C) 4.5 / W (D) 6 / W
when used with a 60  broadband source having
average source temperature of 80 K. When used with a 39. White noise, for which R XX ( t) = 10 -2 8( t) is applied to
different 60  source the average operating noise figure a network with impulse response h( t) = 4( t) 3 - te-4 t The
is 1.25. The average noise temperature of the source is network's output noise power in a 1 W resistor is
(A) 125 K (B) 156 K (A) 0.15 mW (B) 0.35 mW
(C) 256 K (D) 292 K (C) 0.55 mW (D) 0.95 mW

34. The Te for unit 1 and 2 unit are, respectively


40. White noise with power density N0/2 = 6(10 -6 ) W/Hz
(A) 126.4 K and 256.9 K
is applied to an ideal fitter (gain= 1) with bandwidth W
(B) 256.9 K and 126.4 K
(rad/sec). For output's average noise power to be 15 W,
(C) 527.8 K and 864.2 K the W must be
(D) 864.2 K and 527.8 K (A) 2.5 p(10 -6 ) (B) -2.5 p(106 )
(C) 4.5 p(10 -2 ) (D) 4.5 p(106 )
35. The excess noise power of unit 1 and unit 2 are
respectively 41. An ideal filter with a mid-band power gain of 8 and
(A) 15.4 nW and 27.1 nW bandwidth of 4 rad/s has noise X ( t) at its input with
(B) 23.8 nW and 21.1 nW power spectrum ( F (2) = 0.9773)
(C) 23.8 nW and 27.1 nW w2
50 - 8
r XX ( w) = e
(D) 15.4 nW and 21.1 nW 8p

The noise power at the network's output is


36. Consider following statement
164 343
S1 : If the source noise temperature T s is very small, (A) (B)
p p
unit-2 is the best to purchase
211 191
S2 : If the source noise temperature T s is very small (C) (D)
p p
unit - 1 is the best to purchase.
correct statement is 42. A system has the power transfer function
(A) S1 (B) S2 2 1
H( w) = 4
(C) both S1 and S2 (D) None w
1+
W
37. A source has an effective noise temperature of
where W is a real positive constant. The noise
Ts ( w) = 100800
+ w2
and feeds an amplifier that has an
bandwidth of the system is
( ) . The T
2
available power gain of Ga ( w) = 8
10 + jw
s for this
pW pW
source is (A) (B)
2 2 2
(A) 10 K (B) 20 K
pW
(C) 30 K (D) 40 K (C) (D) None of the above
2

38. A system have an impulse response 43. White noise with power density N0/2 is applied to a
e- Wt
e<t low pass network for which H(0) = 2. It has a noise
h=
0 t <0 bandwidth of 2 MHz. If the average output noise power
is 8.1 W in a 1 W resistor, the N0 is
where W is a real positive constant. White noise
with power density 5w/Hz is applied to this system. The (A) 6.25 108 W/Hz (B) 6.25 10 -8 W/Hz
mean-squared value of response is . 108 W/Hz
(C) 125 . 10 -8 W/Hz
(D) 125
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
410
ForNoise
E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 7.3

Statement for Question 44-46 :

An amplifier has a narrow bandwidth of 1 kHz and


SOLUTION
standard spot noise figure of 3.8 at its frequency of fc + B
N
operation. The amplifier's available output noise power
1. (B) n2 = 2
fc + B 2
df = 2 NB

is 0.1 mW when its input is connected to a radio


receiving antenna having an antenna temperature of 80 S/N 100/10
2. (A) NF = i i
= =2
K. So/N o 2/0.4

44. The amplifier's input effective noise temperature Te 3. (A) vn2 = 4kTBR
is = 4 1.38 10 -23 300 200 10 3 10 3 = 3.3 10 -12
(A) 812 K (B) 600 K vnrms = 1.8m V
(C) 421 K (D) 321 K
4. (B) vn2 = 4kTBR, T = (273 + 17) K = 290 K,
45. Its operating spot noise figure Fop is
R = 1000W, B = 10 Hz, k = 1.38 10 -23 J/K
4

(A) 5.16 (B) 7.98


vn2 = 4 1.38 10 -23 290 10 3 10 4 = 16 10 -14 V 2
(C) 11.15 (D) 16.23
vnrms = 0.4m V
46. Its available power gain Ga is
5. (A) F1 = 9 dB = 7.94, F2 = 20 dB = 100
(A) 2 1012 (B) 4 1012
A1 = 15 dB = 31.62,
(C) 8 1012 (D) 11 1012
F -1 100 - 1
F = F1 + 2 = 7.94 + = 1107
.
A 31.62

6. (C) Gain of each stage A1 = A2 = A3 = 10 dB


Noise figure of each stage
F1 = F2 = F3 = 6 dB or F1 = F2 = F3 = 4 db
F - 1 F3 - 1 4 -1 4 -1
F = F1 + 2 + =4+ + = 4.33
A1 A1 A2 10 100

6
Sm ( w) 1
7. (B) H op ( w) = = 9+ w 2
=
Sm ( w) + Sm ( w) 6
9+ w 2
+6 10 + w2

T
1
8. (C) V =
2T -T
n( t - t T )n( t - t R ) dt

Since T is very large


T
1
V = lim
T 2 T n( t - t
-T
T )n( t - t R ) dt = A[ n( t - t T ) n( t - t R )]

Since N ( t) is ergodic, V R NN ( t R - t T )

9. (A) Because R NN ( t) R NN (0) for any auto correlation


function, V will be maximum if t R = t T

10. (B) Use the current form of equivalent circuit


2 kT1 dw 2 kT2 dw 2kTs dw
in2 = i12 + i22 = + where in2 = ,
pR1 pR2 pR
T T T R + T2 R1
Thus Ts = 1 + 2 R = 1 2
R1 R2 R1 + R2
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
411
For E-books/Materials/Notes-PDFs|PPTs
UNIT 7 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Communication System

11. (D) Te = T0 ( F0 - 1) = 290( 6.31 - 1) = 1539.9 K (125 - 155) G 2 + 125 G + 125 = 0


6 G 2 - 25 G - 25 = 0 or G = 5
Te 1540
12. (C) Fop = 1 + =1 + = 9.56 or 9.8 dB
Ta 180 23. (A) Sequence Te
120 150
Te 2 Te 3 ABC110 + + = 146.25
13. (B) Te = 250 = Te1 + + 4 4( 6)
G1 G2
150 120
ACB110 + + = 150.00
450 1000 4 4(12)
250 = 200 + + or G1 = 13
G1 5 G2 110 150
BAC 120 + + = 144.583 Best
6 6( 4)
Ts 225
14. (D) F0 = 1 + ( Fop - 1) = 1 + (10 - 1) 120 110
T0 290 CBA150 + + = 161528
.
12 (12)( 6)
= 7.98 or 9.0 dB
24. (A) Te = T0 ( F - 1) 290(17
. - 1) = 203 K
15. (D) Here L = 2.089 or 3.2 dB, TL = 290 K
Te 2 T ( F - 1) 25. (D) Here F0 1585
. (or 2.0 dB) and F OP 4.467 (or
Te = Te1 + = TL ( L - 1) + 0 0
G1 1/ L
6.5 dB)
= 290[(2.089 - 1) + (2.089)(7.98 - 1)] = 4544.4 K T0 ( F0 - 1) 290(1585
. - 1)
Ts = = = 48.93 K
4544.4 F op - 1 4.467 - 1
Fop = 1 + = 212
. or 13.3 dB
225
26. (B) Here Ta = 60 K, L = 1738
. (or 2.4 dB), TL = 275 K
4544.4
16. (B) F0 = 1 + = 16.67 or 12.2 dB and T sys = 820 K. We know that
290
[ T sys - Ta - TL ( L - 1)] 820 - 60 - 275(1738
. - 1)
TR = =
17. (B) For A: Fop = 10(or 10 dB) when Ts = 130 K L 1738
.
TeA = 130(10 - 1) = 1170 K = 320.5 K

For B: Fo = 398
. (or 6 dB) when Ts = 290 K
Te T sys - Ta 820 - 60
TeB = 290( 398
. - 1) = 364.2 K 27. (B) F op = 1 + =1 + =1 +
Ts Ts 60
For C: Fo = 6.3(or 8 dB) when Ts = 190 K
= 13.67 or 11.4 dB
TeC = 190( 6.3 - 1) = 1007 K, (B) is better as TeB is less.
28. (A) Here GR ( w0 ) = 1011 (or 110 dB)
Te1 Te 3
18. (A) Te = Te1 + + and WPV = 2 p(10 7) Hz
G1 G1 G2
kT sys GR ( w)Wn 1.38(10 -23)( 820)(1011 )(10 7)
Te 3 600 N clo = =
G2 = = = 12 2 pL .
1738
) 10(190 - 150 -
Te 2
G1 ( Te - Te1 - 350
) -5
G1 10
= 651110
. or 6.51 mW

Te 190 dw dw
19. (B) F0 = 1 + =1 + = 1.655 or 2.19 dB 29. (C) dN ao = k[ Ta + TL ( L - 1)] = kTs
T0 290 2p 2p
Thus Ts = Ta + TL ( L - 1)
T 190
20. (C) Fop = 1 + e = 1 + = 4.8 or 6.81 dB = 60 + 275(1738
. - 1) = 263 K
Ts 50

30. (D) T e = T s ( F op - 1) = 60(5 - 1) = 240 K


T Te 3 100 280
21. (A) Te = Te1 + e 2 + = 40 + + = 58.33 K
G1 G1 G2 8 8( 6)
Te 240
31. (C) F o = 1 + =1 + = 1.8276
290 290
Te 2 Te 3 1 1
22. (B) Te = Te1 + + = Te1 1 + + 2
G1 G1 G2 G G Te 240
32. (A) F op = 1 + =1 + = 9 or 9.54 dB
or ( Te1 - Te ) G + Te1 G + Te1 = 0
2
Ts 30
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
412
For E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com

CHAPTER

7.4
AMPLITUDE MODULATION

Statement for Question 1 - 3 7. An AM broadcast station operates at its maximum


allowed total output of 50 kW with 80% modulation.
An AM signal is represented by
The power in the intelligence part is
x( t)  (20  4 sin 500 t) cos(2  10 5 t) V
(A) 12.12 kW (B) 31.12 kW

1. The modulation index is (C) 6.42 kW (D) None of the above


(A) 20 (B) 4
(C) 0.2 (D) 10 8. The aerial current of an AM transmitter is 18 A when
unmodulated but increases to 20 A when
2. The total signal power is modulated.The modulation index is
(A) 208 W (B) 204 W (A) 0.68 (B) 0.73
(C) 408 W (D) 416 W
(C) 0.89 (D) None fo the above
3. The total sideband power is
9. A modulating signal is amplified by a 80% efficiency
(A) 4 W (B) 8 W
amplifier before being combined with a 20 kW carrier to
(C) 16 W (D) 2 W
generate an AM signal. The required DC input power to
Statement for Question 4 - 5 : the amplifier, for the system to operate at 100%
modulation, would be
An AM signal has the form
(A) 5 kW (B) 8.46 kW
x( t)  [20  2 cos 3000 t  10 cos 6000 t ]cos 2 fc t where
fc  10 5 Hz. (C) 12.5 kW (D) 6.25 kW

4. The modulation index is 10. A 2 MHz carrier is amplitude modulated by a 500


201 Hz modulating signal to a depth of 70%. If the
(A) 400
(B)  201
400
unmodulated carrier power is 2 kW, the power of the
199
(C) (D)  199
400 400 modulated signal is
5. The ratio of the sidebands power to the total power is (A) 2.23 kW (B) 2.36 kW
43 26
(A) 226
(B) 226 (C) 1.18 kW (D) 1.26 kW
26 43
(C) 226
(D) 224
11. A carrier is simultaneously modulated by two sine
6. A 2 kW carrier is to be modulated to a 90% level. The waves with modulation indices of 0.4 and 0.3. The
total transmitted power would be resultant modulation index will be
(A) 3.62 kW (B) 2.81 kW (A) 1.0 (B) 0.7
(C) 1.4 kW (D) None of the above (C) 0.5 (D) 0.35
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
414
ForAmplitude
E-books/Materials/Notes-PDFs|PPTs
Modulation Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 7.4

12. In a DSB-SC system with 100% modulation, the Which of the following frequencies will NOT be present
power saving is in the modulated signal?
(A) 50% (B) 66% (A) 990 KHz (B) 1010 KHz

(C) 75% (D) 100% (C) 1020 KHz (D) 1030 KHz

13. A 10 kW carrier is sinusoidally modulated by two 20. For an AM signal, the bandwidth is 10 kHz and the
carriers corresponding to a modulation index of 30% highest frequency component present is 705 kHz. The
and 40% respectively. The total radiated power(is carrier frequency used for this AM signal is
(A) 695 kHz (B) 700 kHz
(A) 11.25 kW (B) 12.5 kW
(C) 705 kHz (D) 710 kHz
(C) 15 kW (D) 17 kW
21. A message signal m( t)  sinc t  sinc 2 ( t) modulates
14. In amplitude modulation, the modulation envelope
the carrier signal ( t)  A cos 2fc t. The bandwidth of the
has a peak value which is double the unmodulated carrier
modulated signal is
value. What is the value of the modulation index ? 1
(A) 2 fc (B) 2
fc
(A) 25% (B) 50% 1
(C) 2 (D)
(C) 75% (D) 100% 4

22. The signal m( t)  cos 2000 t  2 cos 4000 t is


15. If the modulation index of an AM wave is changed
multiplied by the carrier c( t)  100 cos 2fc t where fc  1
from 0 to 1, the transmitted power
MHz to produce the DSB signal. The expression for the
(A) increases by 50% (B) increases by 75% upper side band (USB) signal is
(C) increases by 100% (D) remains unaffected
(A) 100 cos(2 ( fc  1000) t)  200 cos(2 ( fc  200) t)
16. A diode detector has a load of 1 k shunted by a
(B) 100 cos(2 ( fc  1000) t)  200 cos(2 ( fc  2000) t)
10000 pF capacitor. The diode has a forward resistance
of 1 . The maximum permissible depth of modulation, (C) 50 cos(2 ( fc  1000) t)  100 cos(2 ( fc  2000) t)
so as to avoid diagonal clipping, with modulating signal (D) 50 cos(2 ( fc  1000) t)  100 cos(2 ( fc  100) t)
frequency fo 10 kHz will be
(A) 0.847 (B) 0.628 Statement for Question 23-26 :
(C) 0.734 (D) None of the above
The Fourier transform M ( f ) of a signal m( t) is

17. An AM signal is detected using an envelop detector. shown in figure. It is to be transmitted from a source to
The carrier frequency and modulating signal frequency destination. It is known that the signal is normalized,
are 1 MHz and 2 kHz respectively. An appropriate value meaning that 1 m( t) 1
for the time constant of the envelope detector is. M( f)

(A) 500  sec (B) 20  sec


(C) 0.2  sec (D) 1  sec f
-10000 10000

18. An AM voltage signal s( t), with a carrier frequency Fig.P7.4.23-26


of 1.15 GHz has a complex envelope g( t)  AC [1  m( t)],
23. If USSB is employed, the bandwidth of the
where Ac  500 V, and the modulation is a 1 kHz
modulated signal is
sinusoidal test tone described by m( t) = 0.8 sin(2  10 3 t)
(A) 5 kHz (B) 20 kHz, 10 kHz
appears across a 50  resistive load. What is the actual
(C) 20 kHz (D) None of the above
power dissipated in the load ?
(A) 165 kW (B) 82.5 kW 24. If DSB is employed, the bandwidth of the modulated
(C) 3.3 kW (D) 6.6 kW signal is
(A) 5 kHz (B) 10 kHz
19. A 1 MHz sinusoidal carrier is amplitude modulated
(C) 20 kHz (D) None of the above
by a symmetrical square wave of period 100  sec.
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
415
For E-books/Materials/Notes-PDFs|PPTs
UNIT 7 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Communication System

25. If an AM modulation scheme with


 0.8 is used, x(t) Square- Law y(t)
m(t)  Filter AM Signal
the bandwidth of the modulated signal is. Device

(A) 5 kHz (B) 10 kHz


cos ct
(C) 20 kHz (D) None of the above
Fig.P7.4.30-31
26. If an FM signal with kf  60 kHz is used, then the
bandwidth of the modulated signal is 30. The filter should be a
(A) 5 kHz (B) 10 kHz (A) LPP with bandwidth W
(C) 20 kHz (D) None of the above (B) LPF with bandwidth 2W
(C) a BPF with center frequency f0 and BW  W such
27. A DSB modulated signal x( t)  Am( t) cos 2fc t is that f0  Wm f0  W2 2Wm
mixed (multiplied) with a local carrier (D) a BPF with center frequency f0 and BW  W such
xL ( t)  cos(2fc t  ) and the output is passed through a that f0  Wm f0  W2 Wm
LPF with a bandwidth equal to the bandwidth of the
31. The modulation index is
message m( t). If the power of the signal at the output of 2b 2a
(A) Am (B) Am
the low pass filter is pout and the power of the a b
p out
modulated signal by pu , the pu
is a b
(C) Am (D) Am
(A) 0.5 cos (B) cos 2
b a
(C) 0.5 cos 2
(D) 1
cos 2
2
32. A message signal is periodic with period T, as shown
in figure. This message signals is applied to an AM
28. A DSB-SC signal is to be generated with a carrier modulator with modulation index
 0.4. The
frequency fc  1 MHz using a non-linear device with the modulation efficiency would be
input-output characteristic vo  a0 vi  a1 vi3 where a0 m(t)
and a1 are constants. The output of the non-linear K1

device can be filtered by an appropriate band-pass filter.


T
Let vi  Ac cos(2fc t)  m( t) where m( t) is the message t
signal. Then the value of fc (in MHz) is
(A) 1.0 (B) 0.333 -K1

Fig.P7.4.32
(C) 0.5 (D) 3.0
(A) 51 % (B) 11.8 %
(C) 5.1 % (D) None of the above
29. A non-linear device with a transfer characteristic
given by i  (10  2 vi  0.2 vi2 ) mA is supplied with a Statement for Question 33-36
carrier of 1 V amplitude and a sinusoidal signal of 0.5 V
The figure 6.54-57 shows the positive portion of
amplitude in series. If at the output the frequency
component of AM signal is considered, the depth of the envelope of the output of an AM modulator. The
modulation is message signal is a waveform having zero DC value.
m(t)
(A) 18 % (B) 10 %
45
(C) 20 % (D) 33.33 %
30

15
Statement for Question 30-31
t
Consider the system shown in figP7.4.30-31. The Fig.P7.4.33-36
modulating signal m( t) has zero mean and its maximum
33. The modulation index is
(absolute) value is Am  max m( t) . It has bandwidth Wm .
(A) 0.5 (B) 0.6
The nonlinear device has a input-output characteristic
(C) 0.4 (D) 0.8
y( t)  ax( t)  bx 2 ( t).
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
416
ForAmplitude
E-books/Materials/Notes-PDFs|PPTs
Modulation Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 7.4

34. The modulation efficiency is 41. The lower sideband of the SSB AM signal is
(A) 8.3 % (B) 14.28 % (A) 100 cos(2 ( fc  1000) t)  200 sin(2 ( fc  1000) t)
(C) 7.69 % (D) None of the above
(B) 100 cos(2 ( fc  1000) t)  200 sin(2 ( fc  1000) t)
35. The carrier power is (C) 100 cos(2 ( fc  1000) t)  200 sin(2 ( fc  1000) t)
(A) 60 W (B) 450 W
(D) 100 cos(2 ( fc  1000) t)  200 sin(2 ( fc  1000) t)
(C) 30 W (D) 900 W
Statement for Question 42-43
36. The power in sidebands is
(A) 85 W (B) 42.5 W Consider the system shown in figure 6.69-70. The
average value of m( t) is zero and maximum value of
(C) 56 W (D) 37.5 W
m( t) is M. The square-law device is defined by
37. In a broadcast transmitter, the RF output is y( t)  4 x( t)  10 x( t).
represented as
x(t) Square- Law y(t)
e( t)  50[1  0.89 cos 5000 t  0.30 sin 9000 t ]cos( 6 106 t)V m(t)  Filter AM Signal
Device

What are the sidebands of the signals in radians ?


cos ct
(A) 5 10 3 and 9 10 3
(B) 5.991 106 , 5.995 106 , 6.005 106 and 6.009 106 Fig. P7.4.42-43

(C) 4 10 3, 1.4 10 4 42. The value of M, required to produce modulation


(D) 1 10 , 11
6
. 10 , 3 10 , and 15
7 6
. 10 7 index of 0.8, is

38. An AM modulator has output (A) 0.32 (B) 0.26


(C) 0.52 (D) 0.16
x( t)  40 cos 400 t  4 cos 360 t  4 cos 440 t

The modulation efficiency is


43. Let W be the bandwidth of message signal m( t). AM
(A) 0.01 (B) 0.02 signal would be recovered if
(C) 0.03 (D) 0.04
(A) fc W (B) fc 2W
39. An AM modulator has output
(C) fc  3W (D) fc 4W
x( t)  A cos 400 t  B cos 380 t  B cos 420 t

The carrier power is 100 W and the efficiency is 44. A super heterodyne receiver is designed to receive
40%. The value of A and B are transmitted signals between 5 and 10 MHz. High-side
tuning is to be used. The tuning range of the local
(A) 14.14, 8.16 (B) 50, 10
oscillator for IF frequency 500 kHz would be
(C) 22.36, 13.46 (D) None of the above
(A) 4.5 MHz - 9.5 MHz
Statement for Question 40-41
(B) 5.5 MHz - 10.5 MHz
A single side band signal is generated by
modulating signal of 900-kHz carrier by the signal (C) 4.5 MHz - 10.5 MHz

m( t)  cos 200 t  2 sin 2000 t. The amplitude of the (D) None of the above
carrier is Ac  100.
45. A super heterodyne receiver uses an IF frequency of
40. The signal m
$ ( t) is
455 kHz. The receiver is tuned to a transmitter having
(A)  sin(2 1000 t)  2 cos(2000 t)
a carrier frequency of 2400 kHz. High-side tuning is to
(B)  sin(2 1000 t)  2 cos(2000 t) be used. The image frequency will be
(C) sin(2 1000 t)  2 cos(1000 t) (A) 2855 kHz (B) 3310 kHz
(D) sin(2 1000 t)  2 cos(2 1000 t) (C) 1845 kHz (D) 1490 kHz
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
417
For E-books/Materials/Notes-PDFs|PPTs
UNIT 7 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Communication System

46. In the circuit shown in fig.P7.4.46, the transformers 49. In fig.P7.4.49


are center tapped and the diodes are connected as 2 sin 2 t sin 199t
m( t)  , s( t)  cos 200 t and n( t) 
shown in a bridge. Between the terminals 1 and 2 an t t
a.c. voltage source of frequency 400 Hz is connected. Multiplier Adder Multiplier
Another a.c. voltage of 1.0 MHz is connected between 3 LPF
m(t)  y(t)
1 Hz
and 4. The output between 5 and 6 contains components H(j)=1
at s(t) n(t) s(t)

1 5
Fig.P7.4.49

3 4
The output y( t) will be
sin 2t
(A)
t
2 6
sin 2 t sin t
Fig.P7.4.46 (B)  cos 3t
t t
(A) 400 Hz, 1.0 MHz, 1000.4 kHz, 999.6 kHz sin 2 t sin 0.5 t
(C)  . t
cos 15
(B) 400 Hz, 1000.4 kHz, 999.6 kHz t t

(C) 1 MHz, 1000.4 kHz, 999.6 kHz sin 2 t sin t


(D)  cos 0.75 t
t t
(D) 1000.4 kHz, 999.6 kHz
50. 12 signals each band-limited to 5 kHz are to be
47. A superheterodyne receiver is to operate in the
transmitted over a single channel by frequency division
frequency range 550 kHz-1650 kHz, with the
multiplexing. If AM -SSNB modulation guard band of 1
intermediate frequency of 450 kHz. Let R  CCmax denote
min
kHz is used, then the bandwidth of the multiplexed
the required capacitance ratio of the local oscillator and
signal will be
I denote the image frequency (in kHz) of the incoming
(A) 51 kHz (B) 61 kHz
signal. If the receiver is tuned to 700 kHz, then
(A) R  4.41, I  1600 (B) R  2.10, I  1150 (C) 71 kHz (D) 81 kHz

(C) R  3, I  1600 (D) R  9.0, I  1150 51. Let x( t) be a signal band-limited to 1 kHz.
Amplitude modulation is performed to produce signal
48. Consider a system shown in Figure . Let X ( f ) and
g( t)  x( t) sin 2000t. A proposed demodulation
Y ( f ) denote the Fourier transforms of x( t) and y( t)
technique is illustrated in figure 6.83. The ideal low
respectively. The ideal HPF has the cutoff frequency 10
pass filter has cutoff frequency 1 kHz and pass band
kHz. The positive frequencies where Y ( f ) has spectral
gain 2. The y( t) would be
peaks are
(A) 2 y( t) (B) y( t)
Balanced HPF Balanced
x(t) y(t) 1
Modulator 10 kHz Modulator (C) 2
y( t) (D) 0

52. Suppose we wish to transmit the signal


~ ~ x( t)  sin 200 t  2 sin 400 t using a modulation that
10 kHz 13 kHz
create the signal g( t)  x( t) sin 400t. If the product
X(f )
g( t) sin 400t is passed through an ideal LPF with
cutoff frequency 400 and pass band gain of 2, the
signal obtained at the output of the LPF is
f (kHz) (A) sin 200t (B) 1
2
sin 200 t
-3 -1 1 3
Fig.P7.4.48 (C) 2 sin 200t (D) 0
(A) 1 kHz and 24 kHz
53. In a AM signal the received signal power is 10 10 W
(B) 2 kHz and 24 kHz
with a maximum modulating signal of 5 kHz. The noise
(C) 1 kHz and 14 kHz spectral density at the receiver input is 10 18 W/Hz. If
(D) 2 kHz and 14 kHz the noise power is restricted to the message signal
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
418
ForAmplitude
E-books/Materials/Notes-PDFs|PPTs
Modulation Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 7.4

bandwidth only, the signals-to-noise ratio at the input


to the receiver is SOLUTION
(A) 43 dB (B) 66 dB
(C) 56 dB (D) 33 dB 1. (C) u( t)  (20  4 sin 500 t) cos(2   10 5 t) V
 20(1  0.2 sin 500 t) cos(2   10 5 t) V,
 0.2
Statement for Question 54-55
20 2  (0.2) 2 
Consider the following Amplitude Modulated (AM) 2. (B) Pc   200 W, Pt  Pc  1    204 W
2  2 
signal, where fm  B

x AM ( t)  10(1  0.5 sin 2 fm t) cos 2 fc t. 3. (A) Psb  Pt  Pc  204  200  4 W

54. The average side-band power for the AM signal


4. (B) x( t)
given above is
 [20  2 cos(2 1500 t)  10 cos(2 3000 t)]cos(2 fc t)
(A) 25 (B) 12.5
 1 1 
(C) 6.25 (D) 3.125  20 1  cos(2  t)  cos(2 3000 t) cos(2 fc t) 
 10 2 

55. The AM signal gets added to a noise with Power This is the form of a conventional AM signal with
Spectra Density Sn ( f ) given in the figure below. The message signal
ration of average sideband power to mean noise power 1 1
m( t)  cos(2 1500 t)  cos(2 3000 t)
would be 10 2
25 25 1 1
(A) (B)  cos 2 (2 1500 t)  cos(2 1500 t) 
8 N0 B 4 N0 B 10 2
1 1
25 25 The minimum of g( z)  z 2  z is achieved for
(C) (D) 10 2
2 N0 B N0 B
1 201 1
z  and it is min( g( z))   . Since z   is in
20 400 20
Statement for Question 56-57
the range of cos (2 1500 t), we conclude that the
A certain communication channel is characterized 201
minimum value of m( t) is  . Hence, the modulation
by 80 dB attenuation and noise power-spectral density 400
of 10 10 W/Hz. The transmitter power is 40 kW and the 201
index is
 
message signal has a bandwidth of 10 kHz. 400

56. In the case of conventional AM modulation, the 5. (B) x( t)  20 cos(2 fc t)  cos(2  fc  1500) t)
predetecion SNR is  cos(2  fc  1500) t)
(A) 108 (B) 2  108  5 cos(2  fc 3000) t)  5 cos(2  fc  3000) t)
(C) 10 2 (D) 2  10 2 The power in the sidebands is
1 1 25 25
57. In case of SSB, the predetecion SNR is Psidebands      26
2 2 2 2
(A) 2  10 2 (B) 4  10 2
The total power is Ptotal  Pcarrier  Psidebands  200  26  226
(C) 2  10 3 (D) 4  10 3 The ratio of the sidebands power to the total power is
Psidebands 26

Ptotal 226

************* 
2   0.9 2 
6. (B) Pt  Pc  1    2000 1    2810 W
 2   2 


2   0.8 2 
7. (A) Pt  Pc  1   or 50  10 3  Pc  1  
 2   2 

Pc  37.88 kW, Pi  ( Pt  Pc )  (50  37.88)  12.12 kW


www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
419
For E-books/Materials/Notes-PDFs|PPTs
UNIT 7 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Communication System

Ac2 
1 1 2

2 2
2 2
2 m( t)
8. (A) I t  I c 1  or 20  18 1  or
 0.68 18. (A) Pt  1  "
2 2 2 

2 "!

Here modulation index


. Thus
1
9. (C) Pt  20000 1  , Pt  30 kW, 500 2  0.8 2
2 Pt  1   165 kW
2  2 "!
Pi  30  20  10 kW
10 19. (C) c( t)  sin 2 fc t, fc  1000 kHz, x( t)  c( t) m( t)
The DC input power   12.5 kW.
0.8
Expressing square wave as modulating signal m( t)
4 % ( 1) n 1
10. (A) Pc  2 kW,
 70%  0.7 m( t)  &
 n 1 2 n  1
cos#2 fm (2 n  1) $
1

2 2  0.7 2  The modulated output
Pt  Pc  1    2 1    2.23 kW
 2   2 
 4 % ( 1) n 1
x( t)   & cos[2 fm (2 n  1)]" sin(2 1000  10 3 t)
  n 1 2 n  1 !
11. (C)
2 
1a 
22  0.32  0.4 2  0.5 2 or
 0.5
So frequency component (106 ' fm (2 n  1) will be present
2 where n  1, 2, 3, ....
12. (B) In previous solution Pc  P. If carrier is
3 For fm  10 kHz and n  1 & 2 frequency present is 990,
2 970, 1030 kHz. Thus 1020 kHz will be absent.
suppressed then P or 66% power will be saved.
3
20. (B) fc  fm  705 kHz,

2
2   0.32 0.4 2 
13. (A) Pt  Pc  1  1  2   10 1    BW  2 fm  10 kHz or fm  5 kHz
 2 2   2 2 
fc  705  5  700 kHz
 1125
. kW
21. (C) x( t)  m( t) c( t)  A(sinc ( t)  sinc 2 ( t) cos(2fc t)
14. (D) x( t)  Ac (1 
cos 2 fm t) cos 2 fc t
Taking the Fourier transform of both sides, we obtain
Here Ac (1 
)  2 Ac , Thus
, therefor modulation A
X( f )  [ (( f )  )( f )] * ( *( f  fc )  *( f  fc ))
index is 1 or 100% modulation. 2
A
15. (A) If modulation index
is 0, then  [ (( f  fc )  )( f  fc )  (( f  fc )  )( f  fc )]
2
Ac2  0 2  Ac2 1
Pt1   1   Since (( f  fc ) + 0 for f  fc  , whereas )( f  fc ) + 0
2  2  2 2
If modulation index is 1 then for f  fc  1. Hence, the bandwidth of the bandpass
Ac2  12  3 2 Pt 2 3 filter is 2.
Pt2   1    Ac , 
2  2  4 Pt1 2
22. (C) x( t)  m( t) c( t)
Thus Pt2  15
. Pt1 and Pt2 is increases by 50%
 100[cos(2 000 t)  2 cos(2 2000 t)]cos(2 fc t)

16. (A) fm  10 kHz, R  1000 , C  10000 pF  100 cos(2 000 t) cos(2 fc t)  200 cos(2 , t) cos(2 fc t)
100
Hence 2fm RC  2   10 4  10 3  10 8  0.628  [cos(2 ( fc  000) t)  cos(2 ( fc  1000) t)]
1
2


max  (1  (0.628) 2 ) 2
 0.847 200
 [cos(2 ( fc  2000) t)  cos(2 ( fc  2000) t)]
2
1 1 Thus, the upper sideband (USB) signal is
17. (B) RC , Here fc  1 MHz
fc BWm
xu ( t)  50 cos[2 ( fc  000) t ]  100(2 ( fc  2000) t)
Signal Bandwidth BWm  2 fm  2  2  10 3  4 kHz
1 1 23. (B) When USSB is employed the bandwidth of the
Thus RC or 10 6 RC 250 s
106 4  10 3 modulated signal is the same with the bandwidth of the
Thus appropriate value is 20  sec message signal. Hence WUSSB  W  10 4 Hz
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
420
For E-books/Materials/Notes-PDFs|PPTs
UNIT 7 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Communication System

37. (B) Sidebands are ( 6  106 ' 5000) and 43. (C) The filter characteristic is shown in fig.S7.4.43
( 6  10 ' 9000)
6
H(f )

Thus 6.005  10 , 5.995  10 , 5.991  10 or 5.991  10 ,


6 6 6 6
m(t)
6.005  106 and 6.009  106

38. (B) x( t) can be written as f


W 2W fc-W fc fc+W 2fc
x( t)  ( 40  8 cos 40 t) cos 400 t
8 Fig.S7.4.43
modulation index
  0.2
40
fc  W 2W or fc 3W ,
1
Pc  ( 40) 2 = 800 W
2 fc  W  2 f or fc W
The components at 180 Hz and 220 Hz are side band Therefore fc 3W
1 1
Psb  ( 4) 2  ( 4) 2 = 16 W,
2 2 44. (B) Since High-side tuning is used
Psb 16 fLO  fm  f IF  500 kHz,
Eeff  
Pc  Psb 800  16 fLOL  5  0.5  5.5 MHz,
fLOU  10  0.5  10.5 MHz
A2
39. (A) Carrier power Pc   100 W, A  14.14
2 45. (B) fimage  fL  2 f IF  2400  3310 kHz
Psb 40 Psb
Eeff   or  0.4
Pc  Psb 100 100  Psb 46. (D) The given circuit is a ring modulator. The output
1 1 is DSB-SC signal. So it will contain m( t) cos( nc t) where
Psb  66.67 W, Psb  B 2  B 2  66.67 or B  8.161
2 2
n  1, 2, 3...... Therefore there will be only (1 MHz ' 400
Hz) frequency component.
40. (D) The Hilbert transform of cos (2 1000 t) is
sin (2 1000 t), whereas the Hilbert transform of
47. (A) fmax  1650  450  2100 kHz
sin (2 1000 t) is cos (2 1000 t)
1
$ ( t) sin (2 1000 t)  cos (2 1000 t)
Thus m fmin  550  450  1000 kHz. or f 
2 LC
frequency is minimum, capacitance will be maximum
41. (D) The expression for the LSSB AM signal is.
2
Cmax fmax
x l ( t)  Ac m( t) cos(2 fc t)  Ac m( t) sin(2 fc t) R  2  (2.1) 2 or R  4.41
Cmin fmin
Substituting
Ac  100, m( t)  cos(2 1000 t)  2 sin(2 1000 t) fi  fc  2 f IF  700  2( 455)  1600 kHz

$ ( t)  sin(2 1000 t)  2 cos(2 1000 t)


and m
48. (B) Since X ( f ) has spectral peak at 1 kHz so at the
we obtain
output of first modulator spectral peak will be at (10  1)
xl ( t)  100[cos(2 1000 t)  2 sin(2 1000 t) cos(2 fc t)]
kHz and (10  1) kHz. After passing the HPF frequency
100[sin(2 1000 t)  2 cos(2 1000 t) sin(2 fc t)]
component of 11 kHz will remain. The output of 2nd
 100[cos(2 1000 t) cos(2 fc t)  sin(2 1000 t) sin(2 fc t)]
modulator will be (13 ' 11) kHz. So Y ( f ) has spectral
200[cos(2 fc t) sin(2 1000 t)  sin(2 fc t) cos(2 1000 t)]
peak at 2 kHz and 24 kHz.
 100 cos(2 ( fc  1000) t)  200 sin(2 ( fc  1000) t)
49. (C) m( t) s( t)  y1 ( t)
42. (D) y( t)  4( m( t)  cos c t)  10( m( t)  cos c t) 2
2 sin(2 t) cos(200 t) sin(202 t)  sin(198 t)
 4m(t)  4 cos c t  10m2 (t)  20m(t) cos c t  5  5 cos 2c t  
t t
 5  4m(t)  10m2 (t)  4[1  5m(t)] cos c t  5 cos 2c t sin 202 t  sin 198 t sin 198 t
y1 ( t)  n( t)  y2 ( t)  
The AM signal is, xc ( t)  4[1  5 m( t)]cos c t t t
m( t)  Mmn ( t) y2 ( t) s( t)  y( t)
xc ( t)  4[1  5 Mmn ( t)]cos c t [sin 202 t  sin 198 t  sin 199 t ]cos 200 t

5M  0.8 or M  0.16 t
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
422
ForAmplitude
E-books/Materials/Notes-PDFs|PPTs
Modulation Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 7.4

1 55. (D) Noise power = Area rendered by the spectrum


 [sin( 402 t)  sin(2 t)  {sin( 398 t)  sin(2 t)}
2  N0 B
 sin( 399t)  sin( t)] Ratio of average sideband power to mean noise
After filtering 6.25 25
Power  
N0 B 4 N0 B
sin(2 t)  sin(2 t)  sin( t)
y( t) 
2t
56. (C) Since the channel attenuation is 80 db, then
sin(2 t)  2 sin(0.5 t) cos(15
. t)
 P
2t 10 log T  80
PR
sin 2 t sin 0.5 t
  cos 15. t
2t t or PR  10 8 PT  10 8  40  10 3  4  10 4 Watts
If the noise limiting filter has bandwidth B, then the
50. (D) The total signal bandwidth = 5  12  60 kHz pre-detection noise power is
There would be 11 guard band between 12 signal. So fc 
B
2
N0
guard band width = 11 kHz Pn  2 . B 2
df  N 0 B  2  10 10 B Watts
Total band width = 60 + 11 = 71 kHz fc 
2

In the case of DSB or conventional AM modulation,


51. (D) x1 ( t)  g( t) cos(2000t)
B  2W  2  10 4 Hz, whereas in SSB modulation
1
 x( t) sin(2000 t) cos(2000 t)  x( t) sin( 4000 t) B  W  10 . Thus, the pre-detection signal to noise
4
2
1 ratio in DSB and conventional AM is
X1 ( j)  X ( j(   4000 ))  X ( j(   4000 )) PR 4  10 4
4j SNR DSB,AM    10 2
Pn 2  10 10  2  10 4
This implies that X1 ( j) is zero for  2000  because
  2 fm  2 1000. When x1 ( t) is passed through a LPF 57. (A) In SSB modulation B  W  10 4
with cutoff frequency 2000, the output will be zero. 4  10 4
SNR SSB   2  10 2
2  10 10  10 4
52. (A) y( t)  g( t) sin( 400 t)  x( t) sin 2 ( 400 t)
(1  cos)( 800 t)
 (sin(200 t)  2 sin( 400 t)
2
***********
1
 [sin(200 t)  sin(200 t) cos( 800 t)  2 sin( 400 t)
2
sin( 400 t) cos( 800 t)
1 1
 sin(200 t)  [sin(1000 t)  sin( 6000 t)]
2 4
1
 sin( 400 t)  [sin(1200 t)  sin( 400 t)]
4
If this signal is passed through LPF with frequency
400 and gain 2, the output will be sin(200t)

53. (A) Message signal BW fm  5 kHz


Noise power density is 10 18 W/Hz
Total noise power is 10 18  5  10 3  5  10 15 W
Input signal-to-noise ratio
10 10
SNR  2  10 4 or 43 dB
5  10 15

54. (C) Average side band power is


Ac2
2 10 2 (0.5) 2
  6.25 W
4 4
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
423
For E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com

CHAPTER

7.6
DIGITAL TRANSMISSION

Statement for Question 1-5 Statement for Question 6-7


Fig. P7.6.1-5 shows fourier spectra of signal x( t) A signal x( t) is multiplied by rectangular pulse
and y(t). Determine the Nyquist sampling rate for the train c( t) shown in fig.P7.6.6-7..
c(t)
given function in question. 0.25 ms

X( jw) Y( jw)

t
-210-3 -10-3 10-3 210-3
w w Fig.P7.6.6-7
Fig.P7.6.1-5 6. x( t) would be recovered form the product. x( t) c( t) by
1. x( t) using an ideal LPF if X ( jw) = 0 for

(A) 100 kHz (B) 200 kHz (A) w > 2000 p (B) w > 1000 p
(C) w < 1000 p (D) w < 2000 p
(C) 300 kHz (D) 50 kHz

7. If X ( jw) satisfies the constraints required, then the


2. y( t) pass band gain A of the ideal lowpass filter needed to
(A) 50 kHz (B) 75 kHz recover x( t) from e( t) x( t) is
(C) 150 kHz (D) 300 kHz (A) 1 (B) 2
(C) 4 (D) 8
3. x 2 ( t)
8. Consider a set of 10 signals xi ( t), i = 1, 2, 3, ...10.. Each
(A) 100 kHz (B) 150 kHz
signal is band limited to 1 kHz. All 10 signals are to be
(C) 250 kHz (D) 400 kHz time-division multiplexed after each is multiplied by a
carrier e( t) shown in Figure. If the period T of e( t) is
4. y 3( t) chosen the have the maximum allowable value, the
(A) 100 kHz (B) 300 kHz largest value of D would be
c(t)
(C) 900 kHz (D) 120 kHz D

5. x( t) y( t)
(A) 250 kHz (B) 500 kHz t
-2T -T 0 T 2T

(C) 50 kHz (D) 100 kHz Fig.P7.6.8


www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
434
ForDigital
E-books/Materials/Notes-PDFs|PPTs
Transmission Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 7.6

(A) 5 10 -3 sec (B) 5 10 -4 sec 15. A CD record audio signals digitally using PCM. The
-5
(C) 5 10 sec -6
(D) 5 10 sec audio signal bandwidth is 15 kHz. The Nyquist samples
are quantized into 32678 levels and then binary coded.
9. A compact disc recording system samples a signals The minimum number of binary digits required to
with a 16-bit analog-to-digital convertor at 44.1 kbits/s. encode the audio signal
The CD can record an hours worth of music. The (A) 450 k bits/sec (B) 900 k bits/sec
approximate capacity of CD is (C) 980 340 k bits/sec (D) 490 170, k bits/sec
(A) 705.6 M Bytes (B) 317.5 M Bytes
(C) 2.54 M Bytes (D) 5.43 M Bytes 16. The American Standard Code for Information
Interchange has 128 characters, which are binary
10. An analog signal is sampled at 36 kHz and coded. If a certain computer generates 1,000,000
quantized into 256 levels. The time duration of a bit of character per second, the minimum bandwidth required
the binary coded signal is to transmit this signal will be
(A) 5.78 ms (B) 3.47 ms (A) 1.4 M bits/sec (B) 14 M bits/sec
(C) 6.43 ms (D) 7.86 ms (C) 7 M bits/sec (D) 0.7 M bits/sec

11. An analog signal is quantized and transmitted using 17. A binary channel with capacity 36 k bits/sec is
a PCM system. The tolerable error in sample amplitude available for PCM voic transmission. If signal is band
is 0.5% of the peak-to-peak full scale value. The limited to 3.2 kHz, then the appropriate values of
minimum binary digits required to encode a sample is quantizing level L and the sampling frequency will be
(A) 5 (B) 6 (A) 32, 3.6 kHz (B) 64, 7.2 kHz
(C) 7 (D) 8 (C) 64, 3.6 kHz (D) 32, 7.2 kHz

Statement for Question 12-13. 18. Fig.P7.4.18 shows a PCM signals in which
amplitude level of + 1 volt and - 1 volt are used to
Ten telemetry signals, each of bandwidth 2kHz,
represent binary symbol 1 and 0 respectively. The code
are to be transmitted simultaneously by binary PCM.
word used consists of three bits. The sampled version of
The maximum tolerable error in sample amplitudes is
analog signal from which this PCM signal is derived is
0.2% of the peak signal amplitude. The signals must be
sampled at least 20% above the Nyquist rate. Framing
and synchronizing requires an additional 1% extra bits.

Fig.P7.4.18
12. The minimum possible data rate must be
(A) 272.64 k bits/sec (B) 436.32 k bits/sec (A) 4 5 1 2 1 3 (B) 8 4 3 1 2
(C) 936.32 k bits/sec (D) None of the above (C) 6 4 3 1 7 (D) 1 2 3 4 5

13. The minimum transmission bandwidth is 19. A PCM system uses a uniform quantizer followed by
(A) 218.16 kHz (B) 468.32 kHz a 8-bit encoder. The bit rate of the system is equal to 108
(C) 136.32 kHz (D) None of the above bits/s. The maximum message bandwidth for which the
system operates satisfactorily is
14. A Television signal is sampled at a rate of 20% (A) 25 MHz (B) 6.25 MHz
above the Nyquist rate. The signal has a bandwidth of 6
(C) 12.5 MHz (D) 50 MHz
MHz. The samples are quantized into 1024 levels. The
minimum bandwidth required to transmit this signal 20. Twenty-four voice signals are sampled uniformly at
would be a rate of 8 kHz and then time-division multiplexed. The
(A) 72 M bits/sec (B) 144 M bits/sec sampling process uses flat-top samples with 1 ms
(C) 72 k bits/sec (D) 144 k bits/sec duration. The multiplexing operating includes provision
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
435
For E-books/Materials/Notes-PDFs|PPTs
UNIT 7 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Communication System

for synchronization by adding and extra pulse of 1


s quantized into 256 level using a m-low quantizer with
duration. The spacing between successive pulses of the m = 225.
multiplexed signal is
26. The signal-to-quantization-noise ratio is
(A) 4
s (B) 6
s
(A) 34.91 dB (B) 38.06 dB
(C) 7.2
s (D) 8.4
s
(C) 42.05 dB (D) 48.76 dB
21. A linear delta modulator is designed to operate on
27. It was found that a sampling rate 20% above the
speech signals limited to 3.4 kHz. The sampling rate is
rate wou7ld be adequate. So the maximum SNR, that
10 time the Nyquist rate of the speech signal. The step
can be realized without increasing the transmission
size is 100 m V. The modulator is tested with a this
bandwidth, would be
test signal required to avoid slope overload is
(A) 60.4 dB (B) 70.3 dB
(A) 2.04 V (B) 1.08 V
(C) 50.1 dB (D) None of the above
(C) 4.08 V (D) 2.16 V
28. For a PCM signal the compression parameter
Statement fo Question 22-23 :
m = 100 and the minimum signal to quantization-noise
Consider a linear DM system designed to ratio is 50 dB. The number of bits per sample would be.
accommodate analog message signals limited to bandwidth (A) 8 (B) 10
of 3.5 kHz. A sinusoidal test signals of amplitude Amax = 1
(C) 12 (D) 14
V and frequency fm = 800 Hz is applied to system. The
sampling rate of the system is 64 kHz. 29. A sinusoid massage signal m( t) is transmitted by
binary PCM without compression. If the signal
22. The minimum value of the step size to avoid to-quantization-noise ratio is required to be at least 48
overload is dB, the minimum number of bits per sample will be
(A) 240 mV (B) 120 mV (A) 8 (B) 10
(C) 670 mV (D) 78.5 mV (C) 12 (D) 14

23. The granular-noise power would be 30. A speech signal has a total duration of 20 sec. It is
(A) 1.68 10 -3 W (B) 2.86 10 -4 W sampled at the rate of 8 kHz and then PCM encoded.
(C) 2.48 10 -3 W . 10 -4 W
(D) 112 The signal-to-quantization noise ratio is required to be
40 dB. The minimum storage capacity needed to
24. The SNR will be accommodate this signal is
(A) 298 (B) 1.7510 -3 (A) 1.12 KBytes (B) 140 KBytes
(C) 4.46 10 3
(D) 201 (C) 168 KBytes (D) None of the above

25. The output signal-to-quantization-noise ratio of a 10-bit 31. The input to a linear delta modulator having fa
PCM was found to be 30 dB. The desired SNR is 42 dB. It step-size D = 0.628 is a sine wave with frequency fm and
can be increased by increasing the number of quantization peak amplitude Em . If the sampling frequency fs = 40
level.In this way the fractional increase in the transmission kHz, the combination of the sinc-wave frequency and
bandwidth would be (assume log 2 10 = 0.3) the peak amplitude, where slope overload will take
(A) 20% (B) 30% piace is
(C) 40% (D) 50% Em fm
(A) 0.3 V 8 kHz
Statement for Question 26-27. (B) 1.5 V 4 kHz

A signal has a bandwidth of 1 MHz. It is sampled (C) 1.5 V 2 kHz


at a rate 50% higher than the Nyquist rate and (D) 3.0 V 1 kHz
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
436
ForDigital
E-books/Materials/Notes-PDFs|PPTs
Transmission Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 7.6

32. A sinusoidal signal with peak-to-peak amplitude of 38. Four signals g1 ( t), g 2 ( t), g s ( t) and g 4 ( t) are to be
1.536 V is quantized into 128 levels using a mid-rise multiplexed and transmitted. g1 ( t) and g 4 ( t) have a
uniform quantizer. The quantization-noise power is bandwidth of 4 kHz, and the remaining two signals
-6 have bandwidth of 8 kHz,. Each sample requires 8 bit
(A) 0.768 V (B) 48 10 V 2

for encoding. What is the minimum transmission bit


(C) 12 10 -6 V 2 (D) 3.072 V
rate of the system.
(A) 512 kbps (B) 16 kbps
33. A signal is sampled at 8 kHz and is quantized using
8 bit uniform quantizer. Assuming SNR q for a (C) 192 kbps (D) 384 kbps

sinusoidal signal, the correct statement for PCM signal


with a bit rate of R is 39. Three analog signals, having bandwidths 1200 Hz,
600 Hz and 600 Hz, are sampled at their respective
(A) R = 32 kbps, SNR q = 25.8 dB
Nyquist rates, encoded with 12 bit words, and time
(B) R = 64 kbps, SNR q = 49.8 dB
division multiplexed. The bit rate for the multiplexed
(C) R = 64 kbps, SNR q = 55.8 dB signal is
(D) R = 32 kbps, SNR q = 49.8 dB (A) 115.2 kbps (B) 28.8 kbps
(C) 57.6 kbps (D) 38.4 kbps
34. A 1.0 kHz signal is flat-top sampled at the rate of
180 samples sec and the samples are applied to an ideal
40. The minimum sampling frequency (in samples/sec)
rectangular LPF with cat-off frequency of 1100 Hz, then
required to reconstruct the following signal form its
the output of the filter contains
samples without distortion would be
(A) only 800 Hz component 3 2
sin 2 p1000 t sin 2 p1000 t
x( t) = 5 + 7
(B) 800 and 900 Hz components pt pt
(C) 800 Hz and 1000 Hz components (A) 2 10 3 B) 4 10 3
(D) 800 Hz, 900 and 1000 Hz components (C) 6 10 3 (D) 8 10 3

35. The Nyquist sampling interval, for the signal


41. The minimum step-size required for a
sinc (700 t) + sinc (500 t) is Delta-Modulator operating at32 K samples/sec to track
1 p
(A) sec (B) sec the signal (here u( t) is the nuit function)
350 350
x( t) = 125 t( u( t) - u( t - 1)) + (250 - 125 t)( u( t - 1) - u( t - 2)s
1 p
(C) sec (D) sec so that slope overload is avoided, would be
700 175
(A) 2 -10 (B) 2 -8
36. A signal x( t) = 100 cos(24 p 10 ) t is ideally sampled
3
(C) 2 -6 (D) 2 -4
with a sampling period of 50 m sec and then passed
through an ideal lowpass filter with cutoff frequency of 42. Four signals each band limited to 5 kHz are
15 KHz. Which of the following frequencies is/are sampled at twice the Nyquist rate. The resulting PAM
present at the filter output samples are transmitted over a single channel after
(A) 12 KHz only (B) 8 KHz only time division multiplexing. The theoretical minimum
(C) 12 KHz and 9 KHz (D) 12 KHz and 8 KHz transmissions bandwidth of the channel should be
equal to.
37. In a PCM system, if the code word length is (A) 5 kHz (B) 20 kHz
increased form 6 to 8 bits, the signal to quantization (C) 40 kHz (D) 80 kHz
noise ratio improves by the factor.
(A) 8/6 (B) 12 43. Four independent messages have bandwidths of 100
(C) 16 (D) 8 Hz, 100 Hz, 200 Hz and 400 Hz respectively. Each is
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
437
For E-books/Materials/Notes-PDFs|PPTs
UNIT 7 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Communication System

sampled at the Nyquist rate, time division multiplexed 50. A speech signal occupying the bandwidth of 300 Hz
and transmitted. The transmitted sample rate, in Hz, to 3 kHz is converted into PCM format for use in digital
is given by communication. If the sampling frequency is8 kHz and
(A) 200 (B) 400 each sample is quantized into 256 levels, then the
(C) 800 (D) 1600 output bit the rate will be
(A) 3 kb/s (B) 8 kb/s
44. The Nyquist sampling rate for the signal (C) 64 kb/s (D) 256 kb/s
g( t) = 10 cos(50 pt) cos 2 (150 pt). Where ' t ' is in seconds, is
(A) 150 samples per second 51. If the number of bits in a PCM system is increased
(B) 200 samples per second from n to n + 1, the signal-to-quantization noise ratio

(C) 300 samples per second will increase by a factor.


( n + 1) ( n + 1) 2
(D) 350 samples per second (A) (B)
n n2

45. A TDM link has 20 signal channels and each (C) 2 (D) 4
channel is sampled 8000 times/sec. Each sample is
52. In PCM system, if the quantization levels are
represented by seven binary bits and contains an
increased form 2 to 8, the relative bandwidth
additional bit for synchronization. The total bit rate for
requirement will.
the TDM link is
(A) remain same (B) be doubled
(A) 1180 K bits/sec (B) 1280 K bits/sec
(C) be tripled (D) become four times
(C) 1180 M bits/sec (D) 1280 M bits/sec
53. Assuming that the signal is quantized to satisfy the
46. In a CD player, the sampling rate is 44.1 kHz and
condition of previous question and assuming the
the samples are quantized using a 16-bit/sample
approximate bandwidth of the signal is W. The
quantizer. The resulting number of bits for a piece of
minimum required bandwidth for transmission of a
music with a duration of 50 minutes is
binary PCM signal based on this quantization scheme
(A) 1.39 10 9 (B) 4.23 10 9
will be.
(C) 8.46 10 9 (D) 12.23 10 9
(A) 5 W (B) 10 W

47. Four voice signals. each limited to 4 kHz and (C) 20 W (D) None of the above
sampled at Nyquist rate are converted into binary PCM
signal using 256 quantization levels. The bit
transmission rate for the time-division multiplexed
signal will be ************
(A) 8 kbps (B) 64 kbps
(C) 256 kbps (D) 512 kbps

48. Analog data having highest harmonic at 30 kHz


generated by a sensor has been digitized using 6 level
PCM. What will be the rate of digital signal generated?
(A) 120 kbps (B) 200 kbps
(C) 240 kbps (D) 180 kbps

49. In a PCM system, the number of quantization levels


is 16 and the maximum lsignal frequency is 4 kHz.; the
bit transmission rate is
(A) 32 bits/s (B) 16 bits/s
(C) 32 kbits/s (D) 64 dbits/s
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
438
For E-books/Materials/Notes-PDFs|PPTs
UNIT 7 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Communication System

16. (C) 128= 2 7,. We need 7 bits/character. For 1,000,000 So 3 L2


26. (B) = = 6394 = 38.06 dB
character we need 7 Mbits/second. Thus minimum N o [ln(m + 1)]2
bandwidth = 7 Mbits/sec.
27. (C) Nyquist Rate = 2 MHz
17. (D) fs > 2 fm = 6400 Hz, nfs 63000 50% higher rate = 3 MHz, L = 256 = 28
36000 36000 Thus transmission bandwidth is 3 MHz 8 = 24 Mbits/s.
n = 5.63, n = 5, L = 2 n = 32, fs = = 7.2 kHz.
6400 5 New sampling rate is at 20% above the Nyquist rate.
. 2 = 2.4 MHz.
Sampling rate= 12
18. (D) The transmitted code word are
bits
24 M sec
bits per second= = 10 bits
2.4 MHz
0 0 1 0 1 0 0 1 1 1 0 0 1 0 1
So 3(1024) 2
Level = 210 = 1024, = = 102300 = 50.1 dB
Fig.S.7.6.18 N o (ln 256) 2
In 1st word 001(1)
So 3 L2
In 2nd word 010(2) 28. (B) = 50 dB, m = 100
N o [ln(m + 1)]2
In 3rd word 011(3)
3 L2
In 4th word 100(4) = 100 000 or L = 842.6
[ln 101) 2
In 5th word 101 (5)
Because L is power of 2, we select L = 1024 = 210 .
19. (B) Message bandwidth= W, Nyquist rate = 2W Thus 10 bits are required.
Bandwidth = 2W 8 = 16W bit/s
3mp2 So 3 L2 m 2 ( t)
108 29. (A) So = m 2 ( t), N o = , =
16W = 10 , or8
W= = 6.25 MHz 3 L2 No mp2
16
m 2 ( t) 1
1 since signal is sinusoidal = ,
20. (A) Sampling interval T, = = 125 ms. There are 24 mp2 2
8k
3 L2
channels and 1 sync pulse, so the time allotted to each = 48 dB = 63096, L = 205.09
2
channel is Tc = T
= 5 ms. The pulse duration is 1 ms. So
25
Since L is power of 2, so we select L = 256
the time between pulse is 4 ms.
Hence 256 = 28 , So 8 bits per sample is required .
dfs 0.1 68 k
21. (B) Amax = = = 108
. V 30. (B) ( SNR) q = 176
. + 6.02( n) = 40 dB, n = 6.35
wm 2 p 10 3
We take the n = 7.
df s A w 1 2 p 800 Capacity = 20 8 k 7 = 112
. Mbits = 140 Kbytes
22. (D) Amax = or d = max m = = 78.5 mV
wm fs 50 10 3
df s
31. (B) For slope overload to take place Em
d2 B (0.0785) 2 3500 2 pfm
23. (D) N o = = = 1122
. 10 -4 W
3 fs 3 64000 This is satisfied with Em = 15
. V and fm = 4 kHz.

So 0.5 2 mp 1536
.
24. (C) = = 4.46 10 3 32. (C) Step size d= = = 0.012 V
. 10 -4
N o 112 L 128
quantization noise power
S S d2 (0.012) 2
25. (A) o L2 , L = 2 n o = 10 log( C2 2 n ) = = = 12 10 -6 V 2
No N o dB 12 12
= log C + 20 n log 2 = a + 6 n dB. This equation shows
33. (B) Bit Rate = 8 k 8 = 64 kbps
that increasing n by one bits increase the by 6 dB.
(SNR) q = 176
. + 6.02 n dB = 176
. + 6.02 8 = 49.8 dB
Hence an increase in the SNR by 12 dB can be
accomplished by increasing 9is form 10 to 12, the 1800
34. (B) fs = 1800 samples/sec, fm = = 900 Hz
transmission bandwidth would be increased by 20% 2
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
440
ForDigital
E-books/Materials/Notes-PDFs|PPTs
Transmission Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 7.6

Since the sampling rate is 1800 samples/sec the highest 1 + cos 300 pt
44. (D) g( t) = 10 cos 50 pt
frequency that can be recovered is 900 Hz. 2
= 5 cos 50 pt + 5 cos 50 pt cos 300 pt
35. (C) x( t) = sinc 700t + sinc 500t The maximum frequency component will be
1
= [sin 700 pt + sin 500 pt ] 150 + 25 = 175 Hz.
pt
Thus fs = 2 175 = 350
. sample per second.
x( t) is band limited with fm = 350 Hz, Thus Nyquist
1
rate is 2 fm = 700 Hz, Sampling interval = sec 45. (B) Total sample = 8000 20 = 160 k sample/sec
700
Bit for each sample = 7 + 1 = 8
1 1 Bit Rate = 160 k 8 = 1280 10 3 bits/sec
36. (D) fs = = = 20 kHz, fc = 12 kHz
T 50 10 -6
46. (B) The sampling rate is fs = 44100 meaning that we
The frequency passed through LPF are fc , fs - fm or 12
take 44100 samples per second. Each sample is
kHz, 8 kHz
quantized using 16 bits so the total number of bits per
2n 2
( SNR)1 2 second is 4410016. For a music piece of duration 50
37. (C) P = = , Here n = code word length,
(SNR)2 2 2 n 1 min = 3000- sec the resulting number of bits per
216 channel (left and right) is 44100 16 3000
n1 = 61 n2 = 8, Thus rate = = 16
212 = 2.1168 10 9
and the overall number of bits is
2.1168 10 2 = 4.2336 10 9
9

38. (D) Signal g1 ( t), g 2 ( t), g s ( t) and g 4 ( t) will have 8 k, 8


k, 16 k and 16 k sample/sec at Nyquist rate. Thus 47. (C) Nyquist Rate = 2 4k = 8 kHz
48000 sample/sec bit rate 48000 8 = 384 kbps Total sample = 4 8 = 32 k sample/sec
256 = 28 , so that 8 bits are required
39. (C) Analog signals, having bandwidth 1200 Hz, 600
Bit Rate = 32 k 8 = 256 kbps
Hz and 600 Hz have 2400, 1200 samples/sec at Nyquist
rate. Hence 48000 sample/sec 48. (D) Nyquist Rate = 2 30 k = 60 kHz
bit rate = 48000 sample/sec 12 = 57.6 kbps 2 n 6 Thus n = 3, Bit Rate = 60 3 = 18 kHz
3 2
sin 2 p1000 t sin 2 p1000 t 49. (C) Nyquist rate= 2 4 = 8 kHz
40. (C) x( t) = 5 + 7
pt pt 2 n = 16 or n = 4, Bit Rate = 4 8 = 32 kbits/sec
Maximum frequency component = 3 1000 = 3 kHz
Sampling rate = 2 fm = 6 kHz 50. (C) fs = 8 kHz, 2 n = 256 n = 8
Bit Rate = 8 8k = 64 kb/x
41. (B) Here fs = 32 k sample/sec
1 1 So
Em = 125, fm = = 51. (D) a 2 2 n , If PCM is increased form n to n + 1,
T 2 No
fs the ratio will increase by a factor 4. Which is
For slope-overload to be averted Em
fm independent of n.
1 1
Em fm 125( ) (128)( )
D or D 2
or D or D 2 -8
2
52. (C) If L = 2, then 2 = 2 n or n = 1 ND If L = 8, then
fs 32 10 3
32 1024
8 = 2 n or n = 3. So relative bandwidth will be tripled.
42. (D) fm = 5 kHz, Nyquist Rate = 2 5 = 10 kHz
53. (B) The minimum bandwidth requirement for
Since signal are sampled at twice the Nyquist rate so
transmission of a binary PCM signal is BW= vW. Since
sampling rate = 2 10 = 20 kHz.
v = 10, we have BW = 10 W
Total transmission bandwidth = 4 20 = 80 kHz

43. (D) Signal will be sampled 200, 200, 400 and 800
sample/sec thus 1600 sample per second, ***********
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
441
For E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com

CHAPTER

7.8
SPREAD SPECTRUM

Statement for Question 1-3 : 5. The Antijam margin is

A pseudo-noise (PN) sequance is generated using a (A) 47.5 dB (B) 93.8 dB


feedback shift register of length m  4. The chip rate is (C) 86.9 dB (D) 12.6 dB
7
10 chips per second
6. A slow FH/MFSK system has the following
1. The PN sequance length is parameters.
(A) 10 (B) 12 Number of bits per MFSK symbol = 4

(C) 15 (D) 18 Number of MFSK symbol per hop = 5


The processing gain of the system is

2. The chip duration is (A) 13.4 dB (B) 37.8 dB

(A) 1ms (B) 0.1 ms (C) 6 dB (D) 26 dB

(C) 0.1 ms (D) 1 ms


7. A fast FH/MFSK system has the following
parameters.
3. The period of PN sequance is
Number of bits per MFSK symbol = 4
. ms
(A) 15 (B) 15 ms
Number of pops per MFSK symbol = 4
(C) 6.67 ns (D) 0.67 ns The processing gain of the system is
(A) 0 dB (B) 7 dB
Statement for Question 4-5: (C) 9 dB (D) 12 dB
A direct sequence spread binary phase-shift-
keying system uses a feedback shift register of Length Statement for Question 8-9:
19 for the generation of PN sequence . The system is
A rate 1/2 convolution code with dfrec = 10 is used
required to have an average probability of symbol error
to encode a data requeence occurring at a rate of 1 kbps.
due to externally generated interfering signals that
The modulation is binary PSK. The DS spread
does not exceed 10 5
spectrum sequence has a chip rate of 10 MHz

4. The processing gain of system is 8. The coding gain is


(A) 37 dB (B) 43 dB (A) 7 dB (B) 12 dB
(C) 57 dB (D) 93 dB (C) 14 dB (D) 24 dB
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
450
ForSpread
E-books/Materials/Notes-PDFs|PPTs
Spectrum Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 7.8

9. The processing gain is Statement for question 16-18 :


(A) 14 dB (B) 37 dB A CDMA system consist of 15 equal power user
(C) 58 dB (D) 104 dB that transmit information at a rate of 10 kbps, each
using a DS spread spectrum signal operating at chip
10. A total of 30 equal-power users are to share a rate of 1 MHz. The modulation scheme is BPSK.
common communication channel by CDM. Each user
transmit information at a rate of 10 kbps via DS spread 16. The Processing gain is
spectrum and binary PSK. The minimum chip rate to (A) 0.01 (B) 100
5
obtain a bit error probability of 10 (C) 0.1 (D) 10
(A) 1.3  106 chips/sec (B) 2.9  10 5 chips/sec
.  106 chips/sec
(C) 19 (D) 1.3  10 5 chips/sec 17. The value of  b/J 0 is
(A) 8.54 dB (B) 7.14 dB
11. A CDMA system is designed based on DS spread (C) 17.08 dB (D) 14.28 dB
spectrum with a processing gain of 1000 and BPSK
modulation scheme. If user has equal power and the 18. How much should the processing gain be increased
desired level of performance of an error probability of to allow for doubling the number of users with affecting
10 6 , the number of user will be the autopad SNR
(A) 89 (B) 117 (A) 1.46 MHz (B) 2.07 MHz
(C) 147 (D) 216 (C) 4.93 MHz (D) 2.92 MHz

12. In previous question if processing gain is changed to 19. A DS/BPSK spread spectrum signal has a
500, then number of users will be processing gain of 500. If the desired error probability is
(A) 27 users (B) 38 users 10 5 and (  b / J 0 ) required to obtain an error probability
(C) 42 users (D) 45 users of 10 5 for binary PSK is 9.5 dB, then the Jamming
margin against a containers tone jammer is
Statement for Question 13-15 : (A) 23.6 dB (B) 17.5 dB
A DS spread spectrum system transmit at a rate of (C) 117.4 dB (D) 109.0 dB
1 kbps in the presets of a tone jammer. The jammer
power is 20 dB greater then the desired signal, and the Statement for Question 20-21 :
required b / J 0 to achieve satisfactory performance is An m  10 ML shift register is used to generate the
10 dB. pre hdarandlm sequence in a DS spread spectrum
system. The chip duration is Tc  l s and the bit
13. The spreading bandwidth required to meet the
duration is Tb  NTc , where N is the length (period of
specifications is
the m sequence).
(A) 10 7 Hz (B) 10 3 Hz
(C) 10 5 Hz (D) 106 Hz 20. The processing gain of the system is
(A) 10 dB (B) 20 dB
14. If the jammer is a pulse jammer, then pulse duty
cycle that results in worst case jamming is (C) 30 dB (D) 40 dB

(A) 0.14 (B) 0.05


21. If the required  b/J 0 is 10 and the jammer is a tone
(C) 0.07 (D) 0.10
jammer with an average power J av, then jamming

15. The correspond probability of error is margin is.

(A) 4.9  10 3 (B) 6.3  10 3 (A) 10 dB (B) 20 dB

(C) 9.4  10 4 (D) 8.3  10 3 (C) 30 dB (D) 40 dB


www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
451
For E-books/Materials/Notes-PDFs|PPTs
UNIT 7 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Communication System

Statement for Question 22-23 : (A) 0.4 GHz (B) 0.6 GHz

An FH binary orthogonal FSK system employs an (C) 0.7 GHz (D) 0.9 GHz
m  15 stage liner feedback shift register that generates
28. The probability of error for the worst-case partial
an ML sequence. Each state of the shift register selects
band jammer is
one of L non over lapping frequency bands in the
(A) 0.2996 (B) 0.1496
hopping pattern. The bit rate is 100 bits/s. The
(C) 0.0368 (D) 0.0298
demodulator employ non coherent detection.

29. The minimum hop rate for a FH spread spectrum


22. If the hop rate is one per bit, the hopping bandwidth
system that will prevent a jammer from operating five
for this channel is
onives away from the receiver is
(A) 6.5534 MHz (B) 9.4369 MHz
(A) 3.2 bHz (B) 3.2 MHz
(C) 2.6943 MHz (D) None of the above
(C) 18.6 MHz (D) 18.6 kHz

23. Suppose the hop rate is increased to 2 hops/bit and


the receiver uses square law combining the signal over
two hops. The hopping bandwidth for this channel is
(A) 3.2767 MHz (B) 13.1068 MHz ***********
(C) 26.2136 MHz (D) 1.6384 MHz

Statement for Qquestion 24-25 :

In a fast FH spread spectrum system, the


information is transmitted via FSK with non coherent
detection. Suppose there are N  3 hops/bit with hard
decision decoding of the signal in each hop. The channel
1
is AWGN with power spectral density 2
N0 and an SNR
20-13 dB (total SNR over the three hops)

24. The probability of error for this system is


(A) 0.013 (B) 0.0013
(C) 0.049 (D) 0.0049

25. In case of one hop per bit the probability of error is


.  10 5
(A) 196 .  10 7
(B) 196
(C) 2.27  10 5 (D) 2.27  10 7

Statement for Question 26-29 :

A slow FH binary FSK system with non coherent


detection operates at  b / J 0  10, with hopping
bandwidth of 2 GHz, and a bit rate of 10 kbps.

26. The processing gain of this system is


(A) 23 dB (B) 43 dB
(C) 43 dB (D) 53 dB

27. If the jammer operates as a partial band jammar,


the bandwidth occupancy for worst case jamming is
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
452
ForSpread
E-books/Materials/Notes-PDFs|PPTs
Spectrum Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 7.8

W/R W/R 
  b
SOLUTION J av/Pav N u  1 J 0

W/R 

b ( N u  1)
1. (C) The PN sequence length is
J0
N 2 m  1  2 4  1  15

W  R

b ( N u  1)
2. (B) The chip duration is J0
1 where R  10 4 bps, N u  30 and b / J 0  10
TC  7 s  0.1 ms
10 Therefore, W  2.9  106 Hz
The minimum chip rate is 1 / Tc  W  2.9  106 chips/sec
3. (A) The period of the PN sequence is
T NTC  15  0.1  15
. s 11. (D) To achieve an error probability of 10 6 , we

4. (C) m 19 required

b  10.5 dB
J0 dB
n 2 m  1  219  1  219
Then, the number of users of the CDMA system is
The processing gain is 10 log10 N 10 log10 219
W/R 1000
 190  0.3 or 57 dB Nu  1  1  89 users
b /J 0 11.3

 Eb
5. (A) Antijam margin = (Processing gain) - 10 log10

12. (D) If the processing gain is reduced to W/R = 500,


N0
then
The probability of error is 500
N u  1 = 45 users
1  Eb 11.3
Pe  erfc

2
N0

13. (D) We have a system where ( J av/Pav) dB  20 dB,
With Pe  10 5, we have Eb / N 0  9.
R  1000 bps and (b /J 0 ) dB  10 dB
Hence, Antijam margin  57  10 log10 9  57  9.5
W J 
or =47.5 dB Hence, we obtain


av 

b  30 dB
R dB Pav dB J 0 dB
6. (D) The precessing gain (PG) is W
 1000
FH Bandwidth W c R
PG    5  4  20
Symbol Rate Rs W  1000 R  106 Hz
Hence, expressed in decibels, PG 10 log10 20  26 db
14. (C) The duty cycle of a pulse jammer of worst-case
7. (D) The processing gain is 0.71 0.7
jamming is     0.07
PG  4  4  16 b /J 0 10

Hence, in decibels,
15. (D) The corresponding probability of error for this
PG  10 log10 16  12 dB
worst-case jamming is
1 0.083 0.083
8. (A) The coding gain is Rcd min   10  5 or 7 dB P2    8.3  10 3
2  b/J 0 10

9. (B) The processing gain W 106


16. (B) Precessing gain is   100
W 10 7 R 10 4
  5  10 3 or 37 dB
R 2  10 3
17. (A) We have N u  15 users transmitting at a rate of
10. (C) We assume that the interference is characterized 10,000 bps each, in a bandwidth of W  1MHz.
as a zero-mean AWGN process with power spectral  b W/R 106 / 10 4 100
The  b/J 0 is.   
5
density J 0 . To achieve an error probability of 10 , the J 0 Nu  1 14 14
required b /J 0  10 we have  7.14 or 8.54 dB
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
453
For E-books/Materials/Notes-PDFs|PPTs
UNIT 7 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Communication System

c
18. (B) With N u  30 and  b/J 0  7.14, the processing 1 
P e 2 N 0
gain should be increased to 2
W/R (7.14)(29)  207 where  c / N 0  20 / 3. The probability of a bit error is
W  207  104  2.07 MHz Pb  1  (1  p) 2  1  (1  2 p  p2 )  2 p  p2
c c

Hence the bandwidth must be increased to 2.07 MHz 1  2 N0
e 2 N0
 e  0.0013
2
19. (B) The processing gain is given as
W 25. (C) In the case of one hop per bit, the SNR per bit is
 500 or 27 dB c
R 1  2 N 0 1 10
20, Hence, Pb  e  e  2.27  10 5
The (  b/J 0 ) required to obtain an error probability of 2 2
10 5 for binary PSK is 9.5 dB. Hence, the jamming
margin is 26. (D) We are given a hopping bandwidth of 2 GHz and
 J av W  a bit rate of 10 kbs.




b  27.95 or 17.5 dB
P
av dB R J 0 dB W 2  10 9
dB Hence,   2  10 5or 53 dB
R 10 4
20. (C) The period of the maximum length shift register
27. (A) The bandwidth of the worst partial-band
sequence is
jammer is  W , where
N  210  1  1023
 W  2/(  b/J 0 )  0.2
Since Tb  NTc then the processing gain is
Hence  W 0.4 GHz
T
N b  1023 or 30 dB
Tc
28. (C) The probability of error with worst-case
e 1 e 1
21. (B) A Jamming margin is partial-band jamming is P2    3.68  10 2
(  b/J 0 ) 10
 J av W 

b  30  10  20 dB
av dB Rb
P dB J 0 dB 29. (D) d 5 miles  8050 meters
where J av  J 0W  J 0/Tc  J 0  10 6
d 2  8050  16100
d
d x  t or t 
22. (A) The length of the shift-register sequence is t
L  2 m  1215  1  32767 bits d 16100
 t   5.367  10 5
For binary FSK modulation, the minimum frequency x 3  108
1 1
separation is 2/T, where 1/T is the symbol (bit) rate. f   18.63 kHz
t 5.367  10 5
The hop rate is 100 hops/sec. Since the shift register
has L  32767 states and each state utilizes a
bandwidth of 2/T  200 Hz, then the total bandwidth
***********
for the FH signal is 6.5534 MHz.

23. If the hopping rate is 2 hops/bit and the bit rate is


100 bits/sec, then, the hop rate is 200 hops/sec. The
minimum frequency separation for orthogonality
2/T  400 Hz. Since there are N  32767 states of the
shift register and for each state we select one of two
frequencies separated by 400 Hz, the hopping
bandwidth is 13.1068 MHz.

24. (B) The total SNR for three hops is 20 ~ 13 dB.


Therefore the SNR per hop is 20/3. The probability of a
chip error with non-coherent detection is
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
454
For E-books/Materials/Notes-PDFs|PPTs
UNIT 8 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Electromagnetics

10. A field is given as 16. A field is given as


13 25
G ( yu x  3u y  xu z ) G  ( xu x  yu y )
x2  y2 x2  y2

The field at point (2, 3, 4) is The unit vector in the direction of G at P(3, 4, 2)

(A) 13( 2 u x  3u y  4 u z ) (B) 2 u x  3u y  4 u z is


(A) 0.6 u x  0. 8 u y (B) 0. 8 u x  0.6 u y
(C) 13( 3u x  4 u y  2 u z ) (D) 3u x  4 u y  2 u z
(C) 0.6 u y  0. 8 u z (D) 0.6 u z  0.6 u x

11. A field is given as F  yu x  zu y  xu z The angle


17. A field is given as F  xyu x  yzu y  zxu x The value of
between G and u x at point (2, 2, 0) is 4 2
(A) 45 (B) 30 the double integral I    F  u ydzdx in the plane y  7 is
0 0
(C) 60 (D) 90
(A) 128 (B) 56

12. A vector field is given as (C) 190 (D) 0

G  12 xyu x  6( x 2  2) u y  18 z 2 u z 18. Two vector extending from the origin are given as
The equation of the surface M on which G  60 is R1  4 u x  3u y  2 u z and R 2  3u x  4 u y  6 u z . The
area of the triangle defined by R1 and R 2 is
(A) 4 x 2 y 2  4 x 4  9 z 4  2 x 2  96
(A) 12.47 (B) 20.15
(B) 2 x 2 y 2  x 4  9 z 4  2 x 2  96
(C) 10.87 (D) 15.46
(C) 2 x 2 y 2  4 x 4  9 z 4  2 x 2  96
(D) 4 x 2 y 2  x 4  9 z 4  2 x 2  96 19. The four vertices of a regular tetrahedron are
located at O (0, 0, 0), A(0, 1, 0), B(0.5 3, 0.5, 0) and C
13. A vector field is given by ( 0 .5
, 0.5, 2
). The unit vector perpendicular (outward) to
3 3

E  4 zy u z  2 y sin 2 x u y  y sin 2 x u z
2 2 the face ABC is
(A) 0.41u x  0.71u y  0.29 u z
The surface on which E y  0 is
(A) Plane y  0 (B) Plane x  0 (B) 0.47 u x  0.82 u y  0.33u z
3 (C) 0.47 u x  0.82 u y  0.33u z
(C) Plane x  (D) all
2 (D) 0.41u x  0.71u y  0.29 u z

14. The vector field E is given by 20. The two vector are R AM  20 u x  18 u y  18 u z and
R AN   10 u x  8 u y  15 u z . The unit vector in the plane
E  6 zy 2 cos 2 x u x  4 xy sin 2 x u y  y 2 sin 2 x u z
of the triangle that bisects the interior angle at A is
The region in which E  0 is
(A) 0.168 u x  0.915 u y  0.367 u z
(A) y  0 (B) x  0 (B) 0.729 u x  0.134 u y  0.672 u z
n (C) 0.729 u x  0.134 u y  0.672 u z
(C) z  0 (D) x 
2
(D) 0.168 u x  0.915 u y  0.367 u z

15. Two vector fields are F  10 u x  20 x( y  1) u y and 21. Two points in cylindrical coordinates are A(   5,
G  2 x 2 yu x  4 u y  2 u z . At point A(2, 3, 4) a unit   70 , z  3) and B(  2,   30 , z  1). A unit vector
vector in the direction of F  G is at A towards B is
(A) 0.18 u x  0.98 u y  0.05 u z (A) 0.03u x  0.82 u y  0.57 u z
(B) 0.18 u x  0.98 u y  0.05 u z (B) 0.03u x  0.82 u y  0.57 u z
(C) 0.37 u x  0.92 u y  0.02 u z (C) 0.82 u x  0.003u y  0.57 u z
(D) 0.37 u x  0.92 u y  0.02 u z (D) 0.003u x  0.82 u y  0.57 u z
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
458
ForVector
E-books/Materials/Notes-PDFs|PPTs
Analysis Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 8.1

22. A field in cartesian form is given as 29. The vector


yu y 10
D  xu x  B u r  r cos  u   u 
x y
2 2 r

in cartesian coordinates at (-3, 4, 0) is


In cylindrical form it will be
u u u (A) u x  2 u y (B)  2u x  u y
(A) D   (B) D   
  cos  (C) 1.36 u x  2.72 u y (D) 2.72 u x  1.36 u x

(C) D  u  (D) D  u   cos  u 


30. The two point have been given A (20, 30 , 45 ) and
B ( 30, 115 , 160 ). The R AB is
23. A vector extends from A(  4,   40 , z  2) to B(
  5,   110 , z  1). The vector R AB is (A) 22.2 (B) 44.4
(A) 4.77 u x  7.30 u y  4 u z
(C) 11.1 (D) 33.3
(B) 4.77 u x  7.30 u y  4 u z
(C) 7.30 u x  4.77 u y  4 u z 31. The surface r  2 and 4,   30 and 60 ,   20 and
(D) 7.30 u x  4.77 u y  4 u z 80 identify a closed surface. The enclosed volume is
(A) 11.45 (B) 7.15
24. The surface   3,   5,   100 ,   130 , z  3 and (C) 6.14 (D) 8.26
z  4.5 define a closed surface. The enclosed volume is
(A) 480 (B) 5.46 32. The surface r  2 and 4,   30 and 50 and   20
(C) 360 (D) 6.28 and 60 identify a closed surface. The total area of the
enclosing surface is
25. The surface   2,   4,   45 ,   135 , z  3 and (A) 6.31 (B) 18.91
z  4 define a closed surface. The total area of the
(C) 25.22 (D) 12.61
enclosing surface is
(A) 34.29 (B) 20.7
33. At point P(r  4,   0.2 ,   0.8 ), u r in cartesian
(C) 32.27 (D) 16.4 component is
(A) 0.48 u x  0.35 u y  0.81u z
26. The surface   3,   5,   100 ,   130 , z  3 and
z  4.5 define a closed volume. The length of the longest (B) 0.48 u x  0.35 u y  0.81u z
straight line that lies entirely within the volume is (C) 0.48 u x  0.35 u y  0.81u z
(A) 3.21 (B) 3.13 (D) 0.48 u x  0.35 u y  0.81u z
(C) 4.26 (D) 4.21
34. The expression for u y in spherical coordinates at P(
27. A vector field H is
r  4,   0.2 ,   0.8 ) is
 
H  z 2 sin  u   e  z sin 
u    3u z (A) 0.48 u r  0.35 u   0.81u 
2
(B) 0.35 u r  0.48 u   0.81u 
 
At point  2, , 0
the value of H  u x is (C) 0.48 u r  0.35 u   0.81u 
 3
(A) 0.25 (B) 0.433 (D) 0.35 u r  0.48 u   0.81u 

(C) 0.433 (D) 0.25


35. Given a vector field
28. A vector is A  yu x  ( x  z) u y. At point P(2, 6, 3) 1
D  r sin  u r  sin  cos  u   r 2 u 
A in cylindrical coordinate is r
(A) 0.949 u   6.008 u  (B) 0.949 u   6.008 u  The component of D tangential to the spherical
(C) 6.008 u   0.949 u  (D) 6.008 u   0.949 u  surface r  10 at P(10, 150 , 330 ) is
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
459
For E-books/Materials/Notes-PDFs|PPTs
UNIT 8 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Electromagnetics

(A) 0 .043u   100 u  40. The gradient of the functionG  r 3 sin 2  sin 2  sin 
(B) 0 .043u   100 u  at point P ( 12 , 1
2
, 1
2
) is
(C) 110 u   0.043u  (A) 1.41u   3u z (B) u x  u y  u z

(D) 0 .043u   100 u  (C) 3.46 u r  9.3u  (D) All

36. The circulation of F  x 2 u x  xzu y  y 2 u z around the 41. The directional derivative of function

path shown in fig. P8.1.36 is   xy  yz  zx at point P(3, 3,  3) in the direction


z toward point Q(4, 1, 1) is
(A) 3 (B) 1
1
(C) 2 (D) 0

42. The temperature in a auditorium is given by


T  2 x 2  y 2  2 z 2 . A mosquito located at (2, 2, 1) in the
y auditorium desires to fly in such a direction that it will
1
get warm as soon as possible. The direction, in that it
1
x
must fly is
Fig. P8.1.36 (A) 8 u x  8 u y  4 u z
1 1 (B) 2 u x  2 u y  u z
(A)  (B)
3 6
(C) 4 u x  4 u y  4 u z
1 1
(C)  (D) (D) (2 u x  2 u y  u z )
6 3

37. The circulation of A   cos  u   z sin  u z around 43. The angle between the normal to the surface
the edge L of the wedge shown in Fig. P8.1.37 is x2 y  z  3 and x ln z  y 2  4 at the point of
y intersection (1, 2, 1) is
(A) 73.4 (B) 36.3
(C) 16.6 (D) 53.7
L
44. The divergence of vector A  yzu x  4 xyu y  yu z at
60o point P(1, 2, 3) is
x
0 2 (A) 2 (B) -2
Fig. P8.1.37
(C) 0 (D) 4
(A) 1 (B) 1
(C) 0 (D) 3 45. The divergence of the vector
A  2 r cos  cos  u r  r u  at point P(1, 30 , 60 ) is
1 2

38. The gradient of field f  y 2 x  xyz is


(A) 2.6 (B) 1.5
(A) y( y  z) u x  x(2 y  z) u y  xyu z
(C) 4.5 (D) -4.5
(B) y(2 x  z) u x  x( x  z) u y  xyu z
(C) y 2 u x  2 yxu y  xyu z 46. The divergence of the vector
(D) y(2 y  z) u x  x(2 y  z) u y  xyu z A  z 2 cos  u   z sin 2  u z is
(A) 2z 2 cos  u   sin 2  u z
39. The gradient of the field f   z cos 2  at point 2
(B) 2z 2 cos  u   sin 2  u z
(1, 45 , 2) is
(C) 2 z 2 cos  u   sin 2  u z
(A) 4u  (B) 4 2u 

(D) z sin 2 u   2z cos  u   z 2 sin  u z
(C) 4u  (D) 4 2u  
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
460
ForVector
E-books/Materials/Notes-PDFs|PPTs
Analysis Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 8.1

47. The flux of D   2 cos 2  u   3 sin  u  over the 54. If V  x 2 y 2 z 2 , the laplacian of the field V is
closed surface of the cylinder 0  z  3,   3 is (A) 2( xy 2  yz 2  zx 2 )
(A) 324 (B) 81
(B) 2( x 2 y 2  y 2 z 2  z 2 x 2 )
(C) 81 (D) 64
(C) ( x 2 y 2  y 2 z 2  z 2 x 2 )

48. The curl of vector A  e xyu x  sin xy u y  cos 2 xz u z (D) 0

is
55. The value of  2 V at point P(3, 60 , 2) is if
(A) y e xyu x  x cos xy u y  2 x sin 2 xz u z
V   2 z(cos   sin )
(B) z sin 2 xy u y  ( y cos xy  xe xy) u z
(A) 8.2 (B) 12.3
(C) z sin 2 xy u y  ( x cos xy  xe xy) u z
(C) 12.3 (D) 0
(D) xy e xyu x  xy cos xy u y  2 xz sin 2 xz u z

56. If the scalar field V  r 2 (1  cos  sin ) then  2 V is


49. The curl of vector field
(A) 1  2(1  r 2 ) cos  sin 
A  z sin  u   3z 2 cos  u  at point (5, 90 , 1) is
(B) 6  4 cos  sin   cot  cosec  sin 
(A) 0 (B) 12u 
(C) 2  2(1  r 2 ) cos  sin 
(C) 6u r (D) 5u 
(D) 0
50. The curl of vector field
1 57.  ln  is equal to
A  r cos  u r  sin  u   2 r 2 sin  u  is
r (A)   ( u z ) (B)   ( zu )
1
(A) cos  u r  cos  u 
r (C)   (u ) (D)   (u z )
 1 
(B) 2 r 2 cos  u r  4 r sin  u    2 sin   r sin 
u 
 r 58. If r  xu x  yu y  zu x then ( r   ) r 2 is equal to

(C) 4 r cos  u r  6 r sin  u   sin  u  (A) 2 r 2 (B) 3r 2

(D) 0 (C) 4 r 2 (D) 0

51. If A  ( 3 y 2  2 z) u x  2 x 2 z u y  ( x  2 y) u z , the value 59. If r  xu x  yu y  zu x is the position vector of point


of     A at P(2, 3, 1) is P( x, y, z) and r |r| then   r n r is equal to
(A) ( 6 u x  4 u y) (B) 8 ( u x  u y) (A) nr n (B) ( n  3) r n
(C) 8( u x  u y) (D) 0 (C) ( n  2) r n (D) 0

52. The grad    A of a vector field


60. If F  x 2 yu x  yu y, the circulation of vector field F
A  x 2 yu x  y 2 zu y  2 xzu z is around closed path shown in fig. P8.1.60 is,
(A) 2 xy  2 yz  2 x
y
(B) x 2 y  y 2 z  2 xz
1
(C) 2 x 2 y  2 y 2 z  2 xz
(D) 0 S L

53. If V  xy  x 2 y  y 2 z 2 , the value of the div grad V x


0 1 2
is
Fig. P8.1.60
(A) 0
7 7
(B) z  x 2  2 y 2 z (A) (B) 
3 6
(C) 2 y( z 2  yz  x) 7 7
(C) (D) 
(D) 2( z  y  y)
2 2
6 3
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
461
For E-books/Materials/Notes-PDFs|PPTs
UNIT 8 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Electromagnetics

61. If A   sin  u    2 u  , and L is the contour of fig.


P8.1.61, then circulation  A. dL is
L
SOLUTIONS
y 1. (D) d  ( x1  x2 ) 2  ( y1  y2 ) 2  ( z1  z 2 ) 2
2
 ( 4  2) 2  ( 6  3) 2  ( 3  ( 1)) 2  4  81  16  101
L
1
2. (A) R AB  R B  R A
 ( 3u x  0 u y  2 u z )  (5 u x  u y  0 u z )
-2 -1 1 2
x
 2 u x  u y  2 u z
Fig. P8.1.61 R AB  22  1  22  3
(A) 7  2 (B) 7  2 uR  
2 1 2
ux  u y  uz
3 3 3
(C) 7 (D) 0

62. The surface integral of vector 3. (C) The component of F parallel to G is

F  2 2 z 2 u    cos 2  u z F G (10,  6, 5)  (0.1, 0.2, 0.3)


 G  (0.1, 0.2, 0.3)
G 2
0.12  0.2 2  0.32
over the region defined by 2    5, 1  z  1,
0    2 is  9.3(0.1, 0.2, 0.3)  (0.93, 1.86, 2.79)
(A) 44 (B) 176
4. (C) The vector component of F perpendicular to G is
(C) 88 (D) 352
F G ( 3, 2, 1)  ( 4, 4,  2)
F  G  ( 3, 2, 1)  ( 4, 4,  2)
G2 42  42  22
63. If D  xyu x  yzu y  zxu z , then the value of  A  dS
is, where S is the surface of the cube defined by  (3, 2, 1) (2, 2,  1)  (1, 0, 2)  u x  2 u z

0  x  1, 0  y  1, 0  z  1
5. (C) R  3u x  4 M  N
(A) 0.5 (B) 3
 3u x  4(2 u x  3u y  4 u z ) ( 4 u x  4 u y  3u z )
(C) 0 (D) 1.5
 15 u x  8 u y  19 u z
64. If D  2zu   3z sin  u   4 cos  u z and S is the R  15 2  8 2  19 2  25.5  25.5
surface of the wedge 0    2,     45 , 0  z  5, then
the surface integral of D is 6. (B) R   M  2N
(A) 24.89 (B) 131.57   ( 8 u x  4 u y  8 u z )  2( 8 u x  6 u y  2 u z )
(C) 63.26 (D) 0  8u x  8u y  4u z
8u x  8u y  4u z
uR 
65. If the vector field 82  82  42
F  ( xy  z 3) u x  ( 3 x 2  z) u y  ( 3 xz 2  y) u z 2 2 1 2 2 1
 ux  u y  uz   , ,

is irrotational, the value of  ,  and  is 3 3 3  3 3 3


(A)       1 (B)     1,   0 M  2N  ( 8, 4,  8)  2( 8, 6,  2)  (8, 8, 4)
(C)   0,    1 (D)       0 2 2 1
( 8, 8, 4)
uR   , ,

8 8 4
2 2
 3 3 3
2

************** 2 2 1
uR  ux  u y  uz
3 3 3

 1  7 6  2 4  0 
7. (C) Mid point is  , ,
= (4, 4, 2)
 2` 2 2
( 4,  4, 2) 2 2 1
uR   ,  ,

4  4 2
2 2 2
 3 3 3
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
462
ForVector
E-books/Materials/Notes-PDFs|PPTs
Analysis Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 8.1

2 2 1  34 u x  84 u y  2 u z
 ux  u y  uz
3 3 3 34 u x  84 u y  u z
uR 
34 2  84 2  2 2
8. (A) G  24(1)(2) u x  12(1  2) u y  18( 1) 2 u z
  0.37 u x  0.92 u y  0.02 u z
 48 u x  36 u y  18 u z
16. (A) At P(3, 4, 2)
2 2 1
9. (A) A  ( 6,  2,  4), B  k  ,  ,
25
3 3 3 G 2 ( 3u x  4 u y)  3u x  4 u y
3  42
|B  A | 10 3u x  4 u y
2 2 2 uG   0.6 u x  0.8 u y
 2   2   1  32  4 2
 6  k
  2  k
  4  k
 100
 3  3  3
k2  8 k  44  0  k  1175
. , 17. (B) F  u y  Fy  yz
2 2 1
B  1175
.  , ,
4 2 4
2  4

3 3 3 I   yzdzdx  0  0 yzdz
dx   2 ydx  2( 4) y  8 y

0 0  0
 (7.83, 7.83, 3.92)
At y  7, I  8(7)  56
13
10. (D) G  ( 3u x  4 u y  2 u z )
( 2)  ( 3) 2
2 u x u y u z 
1
3 2 !
1
18. (B) Area  R1  R 2  4
 3u x  4 u y  2 u z 2 2 !
 3 4 6 !
11. (A) Let  be the angle between F and u x ,  u x ( 18  8)  u y( 24  6)  u z ( 16  9)
Magnitude of F is |F| y  z  x 2 2 2
 26 u x  18 u y  25 u z

F  u x  (F)(1) cos   y R1  R 2  26 2  18 2  25 2  40.31


y 2 1 40.31
cos     area   20.15
y  z 2  x2
2
2 2
2 2
2 2
  45
19. (B) R BA  (0, 1, 0)  (0.5 3 , 0.5, 0)  ( 0.5 3 , 0.5, 0)
12. (D) |G| 60  0.5 2   1 2 
R BC   , 0.5,
 (0.5 3 , 0.5, 0)

   , 0,

 3 3  3 3
(12 xy) 2  ( 6( x 2  2)) 2  (18 z 2 ) 2  60
 144 x 2 y 2  36( x 4  4 x 2  4)  324 z 4  3600  
 ux uy uz !
 4 x y  ( x  4 x  4)  9 z  100  0.5 3 0.5 0 !
2 2 4 2 4
R BA  R BC
 !
 4 x 2 y 2  x 4  9 z 4  4 x 2  96  1 2!
0
 3 3 !
13. (D) For E y  0, 2 y sin 2 x  0  y 0 2 0.5
 u x 0.5  u y (0.5 2 )  u z
3 3 3
sin 2 x  0,  2 x  0, , 3 ,  x  0,
2  0.41u x  0.71u y  0.29 u z
Hence (D) is correct. The required unit vector is
0.41u x  0.71u y  0.29 u z
14. (A) 
0.412  0.712  0.29 2
E  y( 6 zy cos 2 x u x  4 x sin 2 x u y  y sin 2 x u z )
Hence in plane y  0, E  0.  0.47 u x  0.81u y  0.33u z

15. (C) R  F  G 20. (A) The non-unit vector in the required direction is
1
 ( 10 u x  20 x( y  1) u y)  (2 x 2 yu x  4 u y  2 u z )  ( u AN  u AM )
2
At P(2, 3,  4) , ( 10, 8, 15)
u AN   ( 0.507, 0.406, 0.761)
R  F  G  ( 10 u x  80 u y)  (24 u x  4 u y  2 u z ) 100  64  225
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
463
For E-books/Materials/Notes-PDFs|PPTs
UNIT 8 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Electromagnetics

(20, 18,  10) 26. (A) A(  3,   100 , z  3)  A( 0.52, 2.95, 3)


u AM   (0.697, 0.627, 0.348)
400  324  100
B(  5,   130 , z  4.5)  B( 321
. , 3.83, 4.5)
1
( u AM  u AN ) length  B  A
2
1 B  A  ( 321
. , 3.83, 4.5)  ( 0.52, 2.95, 3)
 "(0.697, 0.627,  0.348)  ( 0.507, 0.406, 0.761) #
2  ( 2.69, 0.88, 15
. )
 (0.095, 0.516, 0.207) B  A  ( 2.69, . )  2.69 2  0.88 2  15
0.88, 15 . 2  3.21
(0.095, 0.516, 0.207)
u bis   (0.168, 0.915, 0.367)
0.095 2  0.516 2  0.2612  
27. (C) At P  2, , 0
, H  0.5 u   8 u z
Hence (A) is correct.  3
1
u x  cos  u   sin  u   ( u   3u )
21. (D) In cartesian coordinates 2
A (5 cos 70 , 5 sin 70 ,  3)  A(171
. , 4.70,  3)  3 
H  u x  (0.5) 
 0.433

B (2 cos ( 30 ), 2 sin ( 30 ),  3)  B(173


. ,  1, 1)  2
R AB  R B  R A  (173
. ,  1, 1)  (171
. , 4.70,  3)
 (0.02,  5.70, 4)  A   cos  sin  $   Ax 
(0.02,  5.70, 4) 28. (A)  A !   sin  cos  $ !  A y !
u AB   (0.003, 0.82, 0.57)  !  ! !
0.02 2  5.70 2  4 2  Az !  $ $ 1 !  Az !

At P (2, 6, 3)
22. (A) x   cos  , y   sin 
 6 
 cos  u x   sin  u y 1 A  6 u x  u y ,   tan 1 
 108.43
D 2  (cos  u x  sin  u y)  2
 cos 2    2 sin 2  
cos    0.316, sin   0.948
1
D  D  u   [cos  ( u x  u )  sin  ( u y  u )]  A  0.316 0.948 0  6 
  A !   0.948 0.316 0 ! 1 !
1 1  !  ! !
 [cos 2   sin 2 ]   Az !  0 0 1 ! 0 !
 
A  6 ( 0.316)  0.948  0.949,
1
D  D  u   [cos  ( u x  u )  sin  ( u y  u)]
 A  6( 0.948)  0.316  6.008, Az  0
1 Hence (A) is correct option.
 [cos  (  sin )  sin  (cos )]  0

29.(B) At P (3, 4, 0)
1
Therefore D  u
 r  x 2  y 2  z 2  32  4 2  0 2  5

x2  y2
23. (B) A( 4 cos 40 , 4 sin 40 ,  2)  A( 306
. , 2.57,  2)   tan 1 
z 2
B (5 cos ( 110 ), 5 sin ( 110 ), 2)  B ( 171
. ,  4.7, 2) y 4
  tan 1  tan 1  126.87
R AB  R B  R A  ( 171
. ,  4.7, 2)  ( 306
. , 2.57,  2) x 3
 ( 4.77,  7.3, 4) B  2u r  u 
Bx  sin  cos  cos  cos   sin   Br 
4 .5 130 5
B !  sin  sin  cos  cos  cos  ! B !
24. (D) Vol     dddz  6.28  y!  !  !
3 100 3  Bz !  cos   sin  1 ! B !

25. (C) Area is 0.6 0 0.8  2 


  0.8 0 0.6 ! 0 !
 ! !
135 4 4 135 4 135 4 4
 0 1 0 ! 1 !
2   dd    2 ddz    4 ddz  2   ddz
45 2 3 45 3 45 3 2 Bx  2( 0.6)  0.8  2
4
   
2
  B y  2(0.2)  0.6  1
 2  ! 
 (2)(1)
 32.27
 2 2 2 2 Bz  0
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
464
For E-books/Materials/Notes-PDFs|PPTs
UNIT 8 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Electromagnetics

2
% & Ax & A y & Az
Along 3, C3    cos  d   1 44. (D)   A    0  4x  0
0
2 &x &y &z
60

At P (1, 2, 3),   A  4


 A  dL
L
 C1  C2  C3  1

45. (A)
&f &f &f 1 &(r 2 Ar ) 1 &(sin  A) 1 &(sin  A)
38. (A) f  u x  uy  uz  A   
&x &y &z r2 &r r sin  & r sin  &

 y( y  z) u x  x(2 y  z) u y  xyu z 1
 ( 6 r 2 cos  cos )  0  0
r2
&f 1 &f &f At P (1, 30 , 60 ),   A  6(1)(cos 30 )(cos 60 )  2.6
39. (C) f  u   u  uz
&x  &y &z
 2  2 z cos 2  u   2z sin 2  u    2 cos 2  u z 1 &(A) 1 &( A) &( Az )
46. (C)   A   
At P (1, 45 , 2), f   4u   &  & &z
1 &(z 2 cos ) &( z sin 2 )
   2 z 2 cos   sin 2 
40. (B) r sin  cos   x , r sin  sin   y , r cos   z  & &z

G  r 3 sin 2  sin 2  sin 


47. (B) The flux is  D  dS , By divergence theorem
 r 3(2 sin  cos )(2 sin  cos ) sin 
S

 4( r sin  cos )( r sin  sin )( r cos )  4 xyz


&( 4 xyz) &( 4 xyz) &( 4 xyz)
 D  dS
S
    D dv
v
G  u x  uy  uz
&x &x &z
1 &( 2 cos 2 ) 1 &( z sin ) 3
 4 yzu x  4 xzu y  4 xyu z  D    3 cos 2   sin 
 &  & 
1 1 1
At P  , ,
, G  u x  u y  u z  z 
   D dv    3 cos  

ddzd
2
2 2 2 sin
v v 
3 3 2 3 3 2
41. (C)   ( y  z) u x  ( x  z) u y  ( x  y) u z   dz  z 2 d  cos 2  d   zdz  d  sin  d  81
0 0 0 0 0 0
At P(3, 3,  3),
  6u x , R PQ  ( 4,  1,  1)  ( 3,  3,  3)  (1, 2, 2)
u x uy u z  u x uy uz 
( 6 u x )  ( u x  2 u y  2 u z ) &
  u R   2 & &! & & & !
3 48. (B)   A   !  !
 &x &y &z !  &x &y &z !
 Ax Ay Az !  e xy sin xy cos 2 xz !
&T &T &T
42. (C) T  u x  uy  uz
&x &y &z u x (0  0)  u y(2 cos xz (  sin xz) z)  u z ( y cos xy  xexy)
 2 xu x  2 yu z  4 z u z  z sin 2 xy u y  ( y cos xy  xe xy) u z
At P(2, 2, 1), T  4 u x  4 u y  4 u z
u  u uz 
43. (A) Let f  x 2 y  z  3, g  x ln z  y 2  4 1 & & &!
49. (D)   A   !
  & & &z !
f  2 xyu x  x 2 u y  u z
 A A Az !
x
g  ln z u x  2 yu y  uz
z  u u uz 
At point P (1, 2, 1) 1  & & &!
  !
4 u x  u y  u z 4 u y  u z   & & &z !
uf  , ug  z sin  2 2 z 2 cos  0 !
18 17
5 1 1
cos   ' u f  u g  '  0.286  u  (6 2 z cos )  u  ( sin ) u z (6z 2 cos   z cos )
18  17  
1
  cos 0.28  73.4 At point P(5, 90 , 1),   A  5 u 
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
466
ForVector
E-books/Materials/Notes-PDFs|PPTs
Analysis Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 8.1

u r ru  r sin u   56.(B)
1 & & & ! 1 &  2 &V  1 &  &V  1 &2 V
50. (C)   A  2  ! 2 V  r
 2  sin 
 2
r sin   &r & & ! r &r 
2
&r r sin  &  & r sin  &2
2

 Ar rA r sin  A !
2 sin  cos  sin 
 6(1  cos  sin )  cos  sin  
 ur ru  r sin  u   sin  sin 2 
1  & & & !
 2  !  6  4 cos  sin   cot  cosec  sin 
r sin   &r & & !
r cos   sin  2 r sin  !
3 2
57. (A)   x 2  y 2
1 1 1
 u r ( 2r 3 sin   0)  u  ( 6r 2 sin 2   0)  u  ( 0  r sin ) & ln  & ln  & ln  x y
r 2 sin  r sin  r  ln   u x  uy  uz  2 ux  2 u y
&x &y &z  
 4 r cos  u r  6 r sin  u   sin  u 
 
u x uy uz !
 ux uy uz 
& & & !
 & & & !    u z    tan 1
y
uz  
51. (A)   A   !
!
&x &y &z ! x  &x &y &z !

 y!
( 3 y  2 z) ( 2 x z) ( x  2 y) !
2 2
0 0 tan 1
 x!
 u x (2  2 x 2 )  u y(1  ( 2))  u z ( 4 xz  6 y) x y x y
 ux  2 u y  ux  u y
 ux uy uz  x y
2 2
x y 2
 
 & & & !
   A  !
 &x &y &z !  & & &  2
(2  2 x2 ) 3 ( 4 xz  6 y) ! 58. (A) ( r   ) r 2   x y z

( x  y 2  z 2 )
 & x & y & z
 u x ( 6)  u y( 4 z)  u z (0)  6 u x  4 zu y
 x(2 x)  y(2 y)  z(2 z)  2( x 2  y 2  z 2 )  2 r 2
At P(2, 3, 1),
    A  6 u x  4 u y  ( 6 u x  4 u y) &( xr n ) &( yr n ) &( zr n )
59. (B)   r n r   
&x &y &z
 ux uy uz  n
 & & & ! where r n  ( x 2  y 2  z 2 ) 2
52. (D)   A   !
 &x &y &z !
 n 1
n
2
 x y y2 z 2 xz !   r n r  2 x 2 
( x 2  y 2  z 2 ) 2
2
  y 2 u x  2 zu y  x 2 u z n n
 n 1  n 1
 2 y 2 
( x 2  y 2  z 2 ) 2  2 z 2 
( x 2  y 2  z 2 ) 2
 (  A)  0 2 2

&V &V &V  rn  rn  rn


53. (D) V  u x  uy  uz n
1
&x &y &z  n( x 2  y 2  z 2 )( x 2  y 2  z 2 ) 2  3r n
 ( z  2 xy) u x  (2 yz  x ) u y  ( x  2 y z) u z
2 2 2
 nr n  3r n  ( n  3) r n
&( z  2 xy) &(2 yz  x ) &( x  2 y z) 2 2 2
  (V )   
&x &y &z 60. (C) By Stokes theorem  F  dL 
L
 (  F)  dS
S
 2 y  2 z  2 y  2( z  y  y)
2 2 2 2

  F  x uz 2

&2V &2V &2V dS  dxdy ( u z )


54. (B)  2 V   
&x 2 &y 2 &z 2
 (  F)  dS    (  x ) dxdy
2

S
 2( y z  x z  x y )  2( x y  y z  z x )
2 2 2 2 2 2 2 2 2 2 2 2
1 x 2 x  2 1 2
   x dydx    x dydx   x dx   x (2  x) dx
2 2 3 2

&  &V  1 &2V &2V 0 0 1 0 0 1


55. (A)  V  2
 

 2 
&  &  &
2
&z 2 1 2
 x4  2 1 
  !   x3  x4 !
 4 z(cos   sin )  z(cos   sin )  0  3z(cos   sin )  4 0  3 4 1

1 3 1 16  2 1  1 14 1 7
At P(3, 60 , 2),  2 V  3( 2) 
 8.2    4!    !   4 
2 2
4  3 3 4 4 3 4 6
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
467
For E-books/Materials/Notes-PDFs|PPTs
UNIT 8 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Electromagnetics

61. (C)  A  dL   



     


A  dL  D  dS   (  D)dv
S v
C ab bc cd da
 3z 
y  D  dS      4 z 
 
cos 

dddz

2
2 5 45 2 5 45

L  4  d  zdz  d  3 d  zdz  cos  d


1 0 0 0 0 0 0

 4  25    25  1 
 4


 3(2)

 13157
.
c a
 2  2  4  2  2
d b
x
-2 -1 1 2

Fig. S8.1.61  ux uy uz 
 & & & !
65. (A)   F   !
Along ab, d  0,   0,  & x &y &z !
b  x  z 2 3 x 2  z 3 xz 2  y!
A  dL  0,  A  dL
a
0
 ( 1  ) u x  ( 3z 2  3z 2 ) u y  ( 6 x  x) u z
Along bc, d  0, A  dL   3d If F is irrotational,   F  0
c i.e.   1    .
 A  dL    d  (2) 3  8
3

b 0

Along cd, d  0,   , A  dL  0,
d

 A  dL 0 *******
c

Along da, d  0, A  dL   3d


a 0

 A  dL
d
  3  d  (1) 3(  )  

 A  dL  0  8  0   7
62. (B) Using divergence theorem

 F  dS  
v
  Fdv

1 &
 F  (2 2 z 2 )  4 z 2  4 z 2
 &
1 5 2

   F dv   4 z dddz  4  z dz  d  d  176


2 2

v 1 2 0

63. (D)  A  dS   (  A)dv


S v

&( xy) &( yz) &( zx)


 A    x yz x
&x &y &z
1 1 1

 A  dS    
S 0 0 0
( y  z  x) dxdydz

1 1 1
 1
 3  xdx 0 0 dz

 3 2
 15.
dy
0

1 &(D) 1 &( D) &( Dz )


64. (B)   D   
 &  & &z
3
 4z  z cos 

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
468
For E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com

CHAPTER

8.2
ELECTROSTATICS

1. Let Q1  4 C be located at P1 (3, 11, 8) while 6. A 2 mC point charge is located at A (4, 3, 5) in free
Q2  5 C is at P2 (6, 15, 8). The force F2 on Q2 will be space. The electric field at P(8, 12, 2) is
(A) ( 4.32 u x  5.76 u y) N (B) 4.32 u x  5.76 u y N (A) 131.1u   159.7 u f  49.4 u z
(C) ( 4.32 u x  5.76 u y) mN (D) 4.32 u x  5.76 u y mN (B) 159.7 u r + 27.4 u f - 49.4 u z
. u r + 27.4 u f - 49.4 u z
(C) 1311
2. Four 5 nC positive charge are located in the z  0
plane at the corners of a square 8 mm on a side. A fifth (D) 159.7 u r + 137.1u f - 49.4 u z

5 nC positive charge is located at a point 8 mm distant


from each of the other charge. The magnitude of the 7. A point charge of -10 nC is located at P1 (0, 0, 0.5)
total force on this fifth charge is and a charge of 2 mC at the origin. The E at P(0, 2, 1) is
(A) 2 10 4 N (B) 4 10 4 N (A) 68.83u r + 14.85 u f (B) 68.83u r + 64.01u f
(C) 0.014 N (D) 0.01 N (C) 68.83u r - 14.85 u f (D) 68.83u r - 64.01u f

3. Four 40 nC are located at A(1, 0, 0), B(1, 0, 0), C(0, 8. Charges of 20 nC and -20nC are located at (3, 0, 0)
1, 0) and D(0, 1, 0) in free space. The total force on the and (-3, 0, 0) and (-3, 0, 0), respectively. The magnitude
charge at A is of E at y axis is
(A) 24.6u x mN (B) 24.6u x mN 1080 1080
(C) 13.6u x mN (D) 13.76u x mN (A) (B)
(9 + y 2 ) 3 2 (9 + y 2 ) 3

4. Let a point charge 41 nC be located at P1 (4, 2, 7) and 108 108


(C) (D)
(9 + y 2 ) 3 2 (9 + y 2 ) 3
a charge 45 nC be at P2 (3, 4, 2). The electric field E at
P3(1, 2, 3) will be
9. A charge Q0 located at the origin in free space
(A) 0.13u x  0.33u y  0.12 u z
produces a field for which E2 = 1 kV m at point P(2, 2,
(B) 0.13u x  0.33u y  0.12 u z
1). The charge Q0 is
. u x  2.93u y  109
(C) 115 . uz
(A) 2 mC (B) -3 mC
(D) 115
. u x  2.93u y  109
. uz
(C) 3 mC (D) -2 mC

5. Let a point charge 25 nC be located at P1 (4, 2, 7) and


10. The volume charge density r n = r oe -|x|-| y|-|z| exist over
a charge 60 nC be at P2 (3, 4, 2). The point, at which on
all free space. The total charge present is
the y axis, is Ex  0, is
(A) 2r o (B) 4r o
(A) 7.46 (B) 22.11
(C) 6.89 (D) (B) and (C) (C) 8r o (D) 3r o
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
469
For E-books/Materials/Notes-PDFs|PPTs
UNIT 8 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Electromagnetics

11. A uniform volume charge density of 0.2 mC m 2 is 18. Two identical uniform charges with r l = 80 nC m are
present throughout the spherical shell extending from located in free space at x = 0, y = 3 m. The force per
r  3 cm to r  5 cm. If r = 0 elsewhere, the total charge unit length acting on the line at positive y arising from
present throughout the shell will be the charge at negative y is
(A) 41.05 pC (B) 257.92 pC (A) 9.375u y mN (B) 37.5u y mN
(C) 82.1 pC (D) 129.0 pC (C) 19.17u y mN (D) 75u y mN

12. If r v = 1
z 2 + 10
5 e -0 .1 r( p-|f|) mC m 3 in the region 19. A uniform surface charge density of 10 nC m 2 is
0 r 10, -p < f < p and all z, and r v = 0 elsewhere, present in the region x = 0, - 2 < y < 2 and all z if e = e o
the total charge present is , the electric field at P(3, 0, 0) has
(A) 1.29 mC (B) 2.58 mC (A) x component only
(C) 0.645 mC (D) 0
(B) y component only

13. The region in which 4 < r < 5, 0 < q < 25 , and (C) x and y component
0.9 p < f < 11
. p contains the volume charge density of (D) x, y and z component
f
r v = 10 ( r - 4) ( r - 5) sin q sin . Outside the region,
2

r v = 0. The charge within the region is 20. The surface charge density is r s = 5 nC m 2 , in the
(A) 0.57 C (B) 0.68 C region r < 0.2 , z = 0, and is zero elsewhere. The electric
field E at A(r = 0, z = 0.5) is
(C) 0.46 C (D) 0.23 C
(A) 5.4 V m (B) 10.1 V m
14. A uniform line charge of 5 nC m is located along the (C) 10.5 V m (D) 20.2 V m
line defined by y = -2, z = 5. The electric field E at P(1,
2, 3) is 21. Three infinite charge sheet are positioned as
(A) -9 u y + 4.5 u z (B) 9 u y - 4.5 u z follows: 10 nC m 2 at x = -3, - 40 nC m 2 at y = 4 and 50
(C) -18 u y + 9 u z (D) 18 u y - 9 u z nC m 2 at z = 2. The E at (4, 3, -2) is
(A) 0.56 u x + 2.23u y - 2.8 u z kV m
15. A uniform line charge of 6.25 nC m is located along
(B) 0.56 u x - 2.23u y + 2.8 u z kV m
the line defined by y = -2, z = 5. The E at that point in
(C) 0.56 u x + 2.23u y + 2.8 u z kV m
the z = 0 plane where the direction of E is given by
( 1
u y - 23 u z ), is (D) -0.56 u x - 2.23u y + 2.8 u z kV m
3

(A) 4.5 u y + 9 u z (B) 4.5 u y - 9 u z


22. Let E = 5 x 3u x - 15 x 2 yu y . The equation of the
(C) 9 u y - 18 u z (D) 18 u y - 36 u z
stream line that passes through P(4, 2, 1) is
128 128
16. Uniform line charge of 20 nC m and -20 nC m are (A) y = (B) x =
x3 y3
located in the x = 0 plane at y = 3 and y = -3 m
respectively. The E at P(6, 0, 6) will be 64 64
(C) y = (D) x =
x2 y2
(A) -24u y V m (B) 48u y V m
(C) -48u y V m (D) 24u y V m
23. A point charge 10 nC is located at origin. Four
17. Uniform line charges of 100 nC m lie along the uniform line charge are located in the x = 0 plane as
entire extent of the three coordinate axes. The E at follows : 40 nC m at y = 1 and -5 m, -60 nC m at
P(-3, 2, -1) is y = -2 and - 4 m. The D at P(0, -3, 4) is
(A) -192
. u x + 2 u y - 108
. u z kV m (A) -19.1u y + 25.5 u z pC m 2

(B) -0.96 u x + u y - 0.54 u z kV m (B) 19.1u y - 25.5 u z pC m 2


(C) 0.96 u x - u y + 0.54 u z kV m (C) -16.4 u y + 219
. u z pC m 2
. u x - 2 u y + 108
(D) 192 . u z kV m (D) 16.4 u y - 219
. u z pC m 2
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
470
ForElectrostatics
E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 8.2

24. A point charge 20 nC is located at origin. Four 31. A spherical surface of radius of 3 mm is centered at
uniform line charge are located as follows 40 nC m at P(4, 1, 5) in free space. If D = xu x C m 2 the net electric
y = 1 and 50 nC m at y = 2. The electric flux that flux leaving the spherical surface is
leaves the surface of a sphere, 4 m in radius, centered
(A) 113.1 mC (B) 339.3 nC
at origin is
(C) 113.1 nC (D) 452.4 nC
(A) 1.33 nC (B) 1.89 mC
(C) 1.33 mC (D) 1.89 mC
32. The electric flux density is

25. The cylindrical surface r = 8 C contains the surface 1


D= [10 xyzu x + 5 x 2 zu y + (2 z 3 - 5 x 2 y) u z ]
charge density, r s = 5 e -20|z| 2
nC m . The flux that leaves z2
the surface r = 8 cm, 1 cm < z < 5 cm 30 < f < 90 is The volume charge density r v at (-2, 3, 5) is
(A) 270.7 nC (B) 9.45 nC
(A) 6.43 (B) 8.96
(C) 270.7 pC (D) 9.45 pC
(C) 10.4 (D) 7.86
26. Let D = 4 xy u x + 2( x 2 + z 2 ) u y + 4 yzu z C m 2 . The
total charge enclosed in the rectangular parallelepiped 33. If D = 2ru r C m 2 , the total electric flux leaving the
0 < x < 2, 0 < y < 3, 0 < z < 5 m is surface of the cube, 0 < x , y, z < 0.4 is
(A) 360 C (B) 180 C (A) 0.32 (B) 0.34
(C) 100 C (D) 560 C (C) 0.38 (D) 0.36

27. Volume charge density is located in free space as 34. If E = 4 u x - 3u y + 5 u z in the neighborhood of point
rv = 2e -1000 r
nC m 3
for 0 < r < 1 mm and rv = 0 P(6, 2, -3). The incremental work done in moving 5 C
elsewhere. The value of Dr on the surface r = 1 mm is charge a distance of 2 m in the direction u x + u y + u z is
(A) 1.28 pC m 2 (B) 0.28 pC m 2 (A) -60 J (B) 34.64 J
(C) 0.78 pC m 2 (D) 0.32 pC m 2 (C) -34.64 J (D) 60 JJ

28. Spherical surfaces at r = 2 and 4 carry uniform 35. If E = 100 u r V m , the incremental amount of work
charge densities of 20 nC m and -4 nC m . The Dr at
2 2
done in moving a 60 mC charge a distance of 2 mm from
2 < r < 4 is P(1, 2, 3) toward Q(2, 1, 4) is
16 16 (A) -5.4 mJ (B) 3.1 mJ
(A) - nC m 2 (B) nC m 2
r2 r2
(C) -31
. mJ (D) 0
80 80
(C) 2 nC m 2 (D) - 2 nC m 2
r r 36. A 10 C charge is moved from the origin to P(3, 1, -1)
in the field E = 2 xu x - 3 y 2 u y + 4 u z V m along the
29. Given the electric flux density, D = 2 xyu x + x 2 u y
straight line path x = -3 y, y = x + 2 z. The amount of
+ 6 z 3u z C m 2 . The total charge enclosed in the volume
energy required is
0 < x, y , z < a is
(A) -40 J (B) 20 J
5 4
(A) 6 a 5 + a (B) a 5 + 6 a 4
3 (C) -20 J (D) 40 J
5 5
(C) 6 a 5 + a 4 (D) a + 6a4 37. A uniform surface charge density of 30 nC m 2 is
3
present on the spherical surface r = 6 mm in free space.
30. Let D = 5 x y z u y . The flux enclosed by volume
4 4 4
The V AB between A ( r = 2 cm, q = 35 , f = 55 ) and B
x = 3 and 3.1, y = 1 and 1.1, and z = 2 and 2.1 is ( r = 3 cm, q = 40 , f = 90 ) is
(A) 49.6 (B) 24.8 (A) 2.03 V (B) 10.17 V
(C) 35.4 (D) 36.4 (C) 4.07 mV (D) -10.17 V
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
471
For E-books/Materials/Notes-PDFs|PPTs
UNIT 8 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Electromagnetics

38. A point charge is located at the origin in free space. (A) -4.94 nC (B) -4.86 nC
The work done in carrying a charge 10 C from point A (C) -5.56 nC (D) -3.68 nC
( r  4, q  p 6 , f = p 4) to B( r = 4, q = p 3 , p 6) is
(A) 0.45 mJ (B) 0.32 mJ 46. A dipole having
(C) -0.45 mJ (D) 0 Qd
= 100 V m 2
4 pe o
39. Let a uniform surface charge density of 5 nC m 2 be
is located at the origin in free space and aligned so
present at the z = 0 plane, a uniform line charge density
that its moment is in the u z direction. The E at point
of 8 nC m be located at x = 0, z = 4 and a point charge
( r = 1, 45 , f = 0) is
of 2 mC be present at P(2, 0, 0). If V = 0 at A(0, 0, 5),
the V at B(1, 2, 3) is (A) 158.11 V m (B) 194.21 V m
(A) 10.46 kV (B) 1.98 kV (C) 146.21 V m (D) 167.37 V m
(C) 0.96 kV (D) 3.78 kV
47. A dipole located at the origin in free space has a
40. A non uniform linear charge density, r L = 6 ( z + 1) 2
moment p = 2 10 -9 u z C.m. The points at which | E|q = 1
nC m lies along the z axis. The potential at P(r = 1, 0, 0) mV m on line y = z, x = 0 are
in free space is ( V = 0) (A) y = z = 23.35 (B) y = z = 16.5, x = 0
(A) 0 V (B) 216 V (C) y = z = 16.5 (D) y = 0, z = 23.35, x = 0
(C) 144 V (D) 108 V
48. A dipole having a moment p = 3u x - 5 u y + 10 u z
41. The annular surface, 1 cm < r < 3 cm carries the nC.m is located at P(1, 2, -4) in free space. The V at Q
nonuniform surface charge density r s = 5r nC m . The V 2
(2, 3, 4) is
at P(0, 0, 2 cm) is
(A) 1.31 V (B) 1.26 V
(A) 81 mV (B) 90 mV
(C) 2.62 V (D) 2.52 V
(C) 63 mV (D) 76 mV

49. A potential field in free space is expressed as


42. If V = 2 xy 2 z 3 + 3 ln( x 2 + 2 y 2 + 3z 2 ) in free space the
V = 40 xyz . The total energy stored within the cube
magnitude of electric field E at P (3, 2, -1) is
1 < x, y, z < 2 is
(A) 72.6 V/m (B) 79.6 V/m
(A) 1548 pJ (B) 0
(C) 75 V/m (D) 70.4 V/m
(C) 774 pJ (D) 387 pJ

43. It is known that the potential is given by V = 70 r 0 .6


V. The volume charge density at r = 0.6 m is 50. Four 1.2 nC point charge are located in free space at

(A) 1.79 nC m 3 (B) -1.79 nC m 3 the corners of a square 4 cm on a side. The total
potential energy stored is
(C) 1.22 nC m 3 (D) -1.22 nC m 3
(A) 1.75 mJ (B) 2 mJ
44. The potential field V = 80 r 2 cos q V. The volume (C) 3.5 mJ (D) 0
charge density at point P(2.5, q = 30 , f = 60 ) in free
space is 51. Given the current density
(A) -2.45 nC m 3 (B) 1.42 nC m 3
J = 10 5[sin (2 x) e -2 yu x + cos (2 x) e -2 yu y ] kA m 2
(C) -1.42 nC m 3
(D) 2.45 nC m 3

The total current crossing the plane y = 1 in the


45. Within the cylinder r = 2, 0 < z < 1 the potential is u y direction in the region 0 < x < 1, 0 < z < 2 is
given by V = 100 + 50r + 150r sin f V. The charge lies (A) 0 (B) 12.3 mA
within the cylinder is (C) 24.6 mA (D) 6.15 mA
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
472
For E-books/Materials/Notes-PDFs|PPTs
UNIT 8 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Electromagnetics

64. In a certain region where the relative permitivity is 69. A potential field exists in a region where e = f ( x). If
2.4, D  2 u x  4 u y  5 u z nC m 2 . The polarization is r v = 0, the 2 V is
(A) 2.8 u x  5.6 u y  7 u z nC m 2 1 dF V df V
(A) - (B) f ( x)
f ( x) dx x dx x
(B) 3.4 u x  6.9 u y  8.6 u z nC m 2
1 df V df V
(C) 1.2 u x  2.3u y  2.9 u z nC m 2 (C) (D) -f ( x)
f ( x) dx x dx x
(D) 3.89 u x  6.43u y  8.96 u z nC m 2
70. If V ( x, y) = 4 e 2 x + f ( x) - 3 y 2 in a region of free space
65. Medium 1 has the electrical permitivity e1 = 15
. eo where r v = 0. It is know that both Ex and V are zero at
and occupies the region to the left of x = 0 plane. the origin. The V ( x, y) is
Medium 2 has the electrical permitivity e 2 = 2.5 e o and (A) 3( x 2 - y 2 ) (B) 3( y 2 - x 2 )
occupies the region to the right of x = 0 plane. If E1 in
(C) 4 x 2 - 3 y 2 (D) 4 y 2 - 3 x 2
medium 1 is E1 = (2 u x - 3u y + 1u z ) V m then E2 in
medium 2 is
(A) (2.0 u x - 1.8 u x + 0.6 u z ) V m
(B) (1.67 u x - 3u y + u z ) V m
(C) (1.2 u x - 3u y + u z ) V m
(D) (1.2 u x - 1.8 u y + 0.6 u z ) V m
*********

66. Two perfect dielectrics have relative permitivities


e r1 = 2 and e r 2 = 8. The planner interface between them
is the surface x - y + 2 z = 5. The origin lies in region 1.
If E1 = 100 u x + 200 u y - 50 u z V m then E2 is
(A) 400 u x + 800 u y - 200 u z V m
(B) 400 u x + 200 u y - 50 u z V m
(C) 25 u x + 200 u y - 50 u z V m
(D) 125 u x + 175 u z V m

67. The two spherical surfaces r = 4 cm and r = 9 cm are


separated by two perfect dielectric shells, e r1 = 2 for
4 < r < 6 and e r 2 = 5 for 6 < r < 9. If E1 = 1000
r2
u r then E2
is
5000 400
(A) ur V m (B) ur V m
r2 r2
2500 2000
(C) ur V m (D) ur V m
r2 r2

68. The surface x = 0 separate two perfect dielectric. For


x > 0, let e r1 = 3, while er 2 = 5 where x < 0. If
E1 = 80 u x - 60 u y - 40 u z V m then E2 is
(A) (133.3u x - 100 u z - 66.7 u z ) V m
(B) (133.3u x - 60 u z - 40 u z ) V m
(C) ( 48 u x - 36 u y - 24 u z ) V m
(D) ( 48 u x - 60 u y - 40 u z ) V m
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
474
ForElectrostatics
E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 8.2

2 10 -6 4 u x + 9 u y - 3u z
SOLUTIONS =
4 pe o
(106) 3 / 2

= 65.9 u x + 148.3u y - 49.4 u z
Q1Q2 R12
1. (C) F2  Then at point P, r = 8 2 + 12 2 = 14.4,
4 pe o |R12|3
12
( 4 10 -6 )( -5 10 -6 ) ( 3u x + 4 u y) f = tan -1 = 56.3, and z = 2
= 8
4 pe o 53
Er = Ep u p = 65.9( u x u r) + 148.3 ( u y u r)
= ( 4.32 u x + 5.76 u y) mN
= 65.9 cos 56.3 + 148.3 sin 56.3 = 159.7
Ef = E r u f = 65.9( u x u f) + 148.3 ( u y u f)
2. (D) Arranging the charge in the xy plane at location
= -65.9 sin 56.3 + 148.3 cos 56.3 = 27.4 ,
(4, 4), (-4, 4), (4, -4), (-4, 4), the fifth charge will be on
Ez = -49.4
the z-axis at location z = 4 2. By symmetry, the force on
the fifth charge will be z directed, and will be four 2 10 -8 R1 2R 2
7. (C) Ep = - |R |3 + |R |3
times the z component 4 pe o 1 2

4 q2 R1 = (0, 2, 1) -(0, 0, 0.5) =(0, 2, 0.5)


F=
2 4 pe o d 2 R 2 = (0, 2, 1) - (0, 0, 0) =(0, 2, 1)
4 (5 10 -9) 2 - (2 u y + 0.5 u z ) 2(2 u y + u z )
= = 10 -2 N Ep = 9 10 9 10 -8 +
2 4 p ( 8.85 10 -12 )( 8 10 -3) 2 ( 4.25) 3 2 ( 5)3 2
E p = 54.9 u y + 44.1u z
3. (D) The force will be 1
At P, r = 5 , q = cos -1 = 63.4 and f = 90
( 40 10 -9) 2 R CA R DA R BA 5
F= + + 3
4 pe o 3 3
|R CA| |R DA| |R BA| So Er = E p u r = 54.9[ u y u r ] + 44.1[ u z u r ]
where R CA = u x - u y , R DA = u x + u y , R BA = 2 u x = 54.9 sin q sin f + 44.1 cos q = 68.83
|R CA|=|R DA|= 2, |R BA|= 2 Eq = E r u q = 54.9[ u y u q ] + 44.1[ u z u q ]

( 40 10 -9) 2 u x - u y u x + u y 2u x = 54.9 cos q sin f + 44.1 ( - sin q) = -14.85


F= -9
+ +
4 p ( 8.85 10 ) 2 2 2 2 8 Ef = Er u f = 54.9( u y u f) + 44.1( u z u f) =54.9 cos f = 0

= 1376
. u x mN 8. (A) Let a point on y axis be P(0, y, 0)
20 10 -8 R1 R2
10 -9 41R13 45R 23 Ep = -|R |3 - |R |3
4. (C) E = + 4 pe o
4 pe o |R13|2
|R 23|2 1 2

R1 = (0, y, 0) - (3, 0, 0) =(-3, y, 0)


R13 = -3u x + 4 u y - 4 u z , R 23 = 4 u x - 2 u y + 5 u z
41( -3u x + 4u y - 4u z 45( 4u x + -2u y + 5u z
R 2 = (0, y 0) - (-3, 0, 0) = (3, y, 0),
E = 9 10 9 10 -9 +
( 41) 3 / 2 ( 45) 3 / 2 |R1 | = |R 2 | = 9 + y2
= 1152
. u x + 2.93u y + 1089
. uz -3u x + yu y 3u x + yu y
Ep = 20 10 -9 9 10 9 +
( 9 + y ) ( 9 + y 2 ) 3
2 3

5. (D) The point is P3(0, y, 0)


-1080 u x 1080
R13 = -4 u x + ( y + 2) u y - 7 u z , = , |E| = =
(9 + y )
2 32
(9 + y 2 ) 3 2
R12 = 3u x + ( y - 4) u y + 2 u z
10 -9 25 ( -4) 60 3 9. (B) The field at P will be
Ex = +
4 pe o [ 65 + ( y + 2) 2 ]3 2 [13 + ( y - 4) 2 ]3 2 Q -2 u x + 2 u y - u z
Ep = 0 , Ez = 1 kV m
To obtain Ex = 0, 0.48 y 2 + 1392
. y + 7312
. =0 4 pe o 93 2
which yields the two value y = -6.89, - 22.11 Q0 = -4 pe o 9 3 2 10 3 = -3 m C

2 10 -6 R AP 10. (C) This will be 8 times the integral of r n over the


6. (B) Ep =
4 pe o |R AP|3 first octant
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
475
For E-books/Materials/Notes-PDFs|PPTs
UNIT 8 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Electromagnetics

  
6 u x - 3u y 6 u x + 3u y
Q  8" " " r oe  x  y z dxdydz = 8ro EP = 20nC 2 9 10 9 -
0 0 0 36 + 9 36 + 9

2p p 0 .05
= -48u y V m
11. (C) Q = 0.2 r sin q drd qdf
2

0 0 0 .03 rL R xp R yp R zp
17. (B) EP = + + 3
r3
0 .05
2 pe o 3 3
|R xp| |R yp| |R zp|
= 4 p(0.2) = 82.1 pC
3 0 .03 R xp = ( -3, 0, - 1, ) - ( -3, 0, 0, ) = (0, 2, -1)
Similarly R yp = (-3, 0, -1), R zp = (-3, 2, 0)
- p 10
5 e -0 .1 r( p-|f|)
12. (A) Q = z 2 + 10
Ep = 100 10 -9 2 9 10 -9
-p 0 2u y - u z -3u x - u z -3ux + 2u y
E p = 100 10 -9 2 9 10 -9 + +
10 5 10 13
e -0 .1 r
2p
( -0.1 - 1) ( p - f) dz
=5
(0.1) 2
( -0.101)
0
2
- 0 z + 10
2
= -0.96 u x + u y - 0.54 u z kV m

p2 dz rL
Q = 5 26.4 18. (C) At y = 4, E = uy ,
- z + 10
2
2 pe o

1 z dF = dqE = r L dzE
= 5 26.4 p2 tan -1 = 129
. mC
10 10 - 1
r 2L dzu y
F=
0
2 pe o
( 6) = 18.75 u y mN
13. (A)
1 .1 p 25 5
f r s dS R - R
f = 10 ( r - 4)( r - 5) sin q sin 2 r sin q drdqdf 19. (A) E =
2

0 .9p 0 4
4 pe o |R - R|3

r 5 9 r 4 20 r 3 1 1
5
f
25 1 .1 p
where R = 3u x and R = yu y + zu z ,
= 10 - + 2 q - 4 sin 2 q -2 cos 2
5 4 3 4 0 .9p r
2
3u x - yu y - zuz
0 EPA = L dydz
4 pe o - -2 (9 + y 2 + z 2 ) 3 2
= 10 [ -3.39 ][0.0266 ][0.626 ] = 0.57 C
Due to odd function
r Rp rL
2
3u x dydz
14. (D) Ep = L , EPA =
2 pe o |R p|2 4 pe o - -2
(9 + y 2 + z 2 ) 3 2
R p = (1, 2, 3) - (1, -2, 5) = (0, 4, -2) So there is only x component.
|R |
2
p = 20,
20. (D) There will be z component of E only
5 10 -9 4u y - 2u z
Ep = = 18 u y - 9 u z R = zu z , R = ru r , R - R = zu z - ru z
2 pe o 20 2 p 0 .2
rs zrdrdf
Ez , Pa =
4 pe o (z
0 0
2
+ r 2)3 2
15. (C) With z = 0, the general field is 0 .2
2pr s z 1 rz 1 1
r L ( y + 2) u y - 5 u z = 2 = s 2 -
Ez = 0 = 4 pe o z + r eo z
2 pe o ( y + 2) 2 + 25 z + 0.04
2 2
0

we require |E2 | = |2E y| rs z


Ez = 1 - , at z = 0.5, Ez = 20.2 V m
1 eo z + 0.04
2

So 2 ( y + 2) = 5 y=
2
6.25 2.5 u y - 5 u z 21. (A) Since charge sheet are infinite, the field
E= = 9 u y - 18 u z
2 pe o 6.25 + 25 magnitude associated with each one will be r s 2 e o ,
which is position independent. The field direction will
r R+ Q R- Q depend on which side of a given sheet one is positioned.
16. (C) Ep = L - 2
2 pe o 2
|R + Q| |R - Q| 10 10 -9 40 10 -9 50 10 -9
EA = ux - uy - uz
R+ Q = (6, 0, 6) - (0, 3, 6) = (6, -3, 0) 2 eo 2 eo 2 eo
R- Q = (6, 0, 6) - (0, -3, 6) = (6, 3, 0) = 0.56 u x + 2.23u y - 2.8 u z
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
476
ForElectrostatics
E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 8.2

dy E y 15 x 2 y 3 y a a a a
22. (A)    29. (C) Q = D n dS = 2 aydydz + -2(0) dydz
dx Ex 5 x3 x S 0 0 0 0
1 4 24 3 1 44244 3
dy 3dx C1 Front Back
$  $ ln y  3 ln x ln C y  , a a a a a a a a
y 3 x3 + -xdxdz + x 2 dxdz + -6(0) 3 dxdy + 6a 3dxdy
2

C1 128 0 0 0 0 0 0 0 0
At P, 2  $ C1  128 $ y 
1 4 42443 1 4243 1 442443 1 44244 3
Left Right Botoom Top
43 x3
4 4
a a
= a4 + 0 - + + 0 + 6a5 = a4 + 6a5
23. (A) This point lies in the center of a symmetric 3 3
arrangement of line charges, whose field will all cancel
D y
at that point. Thus D arises from the point charge alone 30. (C) D = = 20 x 4 y 3z 4
y
10 10 9 ( 3u y  4 u z )
D , Center of cube =(3.05 1.05 2.05) and Volume
4
( 32  4 2 )1 .5
V = (0.1) 3 = 0.001
D  19.1u y  25.5 u z pC m 2
f = 20 ( 305
. ) 4 (105
. ) 3 (2.05) 3 (2.05) 4 0.001 =35.4

24. (C) h1  2 4 2  1  7.75,


31. (C) F = ( D ) Dv
h2  2 4 2  1  6.93 ( x) 4 3
= p(0.003) = 1131
. nC
QT = 2 7.75 40n + 2 6.93 50 + 20n =1.33 mC x 3

0 .05 90
10 y 2 + 10 x 2 y
25. (D) Q = 5 e -20 z (0.08) dfdz nC 32. (B) r v = D = + 0 +
z3
=8.96
0 .01 30 z ( -2 , 3, 5)
0 .05
p p 1 -20 z
= - (5)(0.08) - e
2 6 20 1 d 2
0 .01 33. (C) D = ( r 2 r) = 6 ,
r 2 dr
= 9.45 10 -3 nC = 9.45 pC
D dv = 6 (0.4) = 0.38
3

V
26. (A) Out of the 6 surface only 2 will contribute to the
net outward flux. The y component of D will penetrate 34. (C) dW = - qE dL
the surface y = 0 and y = z and net flux will be zero. At ( u x + u y + u z )(2)
= -5( 4 u x - 3u y + 5 u z )
x = 0 plane Dx = 0 and at z = 0 plane Dz = 0. 3
This leaves the 2 remaining surfaces at x = 2 and z = 5. 10
=- ( 4 - 3 + 5) = -34.64 J
The net outward flux become 3
5 3 3 2
f = D x = 2 u x dydz + D x =2
u z dzdy
0 0 0 0
35. (B) The vector in this direction is
3 3 (2, 1, 4) - (7, 2, 3) = (1, -1, 1)
= 5 4(2) ydy + 2 4(5) ydy = 360 C. ux - u y + uz
0 0 u PQ = , dW = - qE dL
3
2p p
( u x - u y + u z )(2 10 -3)
0 .001

2e
-1000 r
27. (D) Q = r 2 sin q drdqdf = -( 60 10 -6 ) 100 u r
3
0 0 0
-r e 2 -1000 r
0 .001
2 e -1000 r ( -1000 r - 1)
0 .001
10 -6
Q = 8 p + = - 12 ( u r u x - u r u y)
1000 0
1000(1000) 2 0 3
2
Q = 4 10 -9nC, At r , f = tan -1 = 63.4
1
Q 4.0 10 -9
Dr = = = 0.32 C m 2 u r u x = cos 63.4 = 0.447,
4 pr 2
4 p (0.001) 2
u r u y = sin 63.4 = 0.894
28. (C) 4 pr Dr = 4 p(2 ) 20 10
2 2 -9 2
Cm , dW = 31
. mJ
80
Dr = nC m 2 36. (A) W = - q E dL
r2
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
477
For E-books/Materials/Notes-PDFs|PPTs
UNIT 8 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Electromagnetics

 10 " (2 xu x  3 y 2 u y  4 u z ) ( dxu x  dyu y  dzu z ) 18 z


-6 xy 2 z 2 + 2 2
uz
3 1 1 x + 2 y 2
+ 3z
 10 " 2 xdx  10 " 3 y 2 dy  10 " 4 dz  40 J
0 0 0
Ep = 7.1u x + 22.8 u y - 711
. u z V m,
|E|= 75 V m
37. (D) rA  2 cm, rB  3 cm
dV
Q 4 pa 2r s ( 6 10 -3) 2 30 10 -9 43. (D) E = -V = - u r = -(0.6)(70) r -0 .4 u r
V ( r)   = dr
4 pe o r 4 pe o r 8.85 10 -12 r
= -42 r -0 .4 u r V m,
0.122 0.122 0.122
V ( r) = , V AB = V A - VB = = = 2.03 D = e oE = -42 r -0 .4 e o u r C m 2
r 0.02 0.03
1 d 2 1 d e
rv = D = 2 ( r Dr ) = 2 ( -42 e o r 1 .6 )= - 67.2 1o.4
rB r dr r dr r
Q1Q2 dr Q1Q2 1 1
38. (D) W = -
4 pe o
rA
=
r 2 4 pe o r - r
B A
At r = 0.6 m,
67.2 8.85 10 -12
rA = rB , W = 0 rv = - = -1.22 nC m 3
(0.6)1 .4
Q
39. (B) VP ( s) = , V 1 V
4pe o r 44. (A) E = -V = - ur - uq
r r q
r L dr r
Vl (r) = - + C1 = - L ln r + C1 = -160 r cos q u r + 80 r sin q u q V m
2 pe or 2 pe o
D = e oE = -80 e o (2 r cos q u r - r sin q u q)
rs rz
Vs ( z) = - dz + C2 = s + C2 1 2 2 1
2 eo 2 eo rv = D = 2 ( r Dr ) + ( Dr sin q)
r r r sin q q
Q r r
V = - L ln r - s z + C 1
4 pe o r 2 pe o 2 pe o 12 sin q cos q
r v = -80 e o 2 3r 2 cos q -
r r sin q
Here r , r , z are the scalar distance from the charge.
r V = -320 e o cos q = -2.45 nC m 3
r = 2 2 + 5 2 = 29 , r = (5 - 4) 2 = 1 , z = 5
By putting these value. C = -193
. 10 3 V 1 V
45. (C) E = -V = - ur - uf
At point N, r = (2 - 1) + 2 + z = 14 2 2 2 r r f

r = 12 ` + 12 = 2 , z = 3, VB = 198
. kV = -(50 + 150 sin f) u r - (150 cos f) u f ,
D = e o E, r v = D = e o E

r L dz

6 10 -9 dz 1 1
r v = eo (rEr) +
40. (D) Vr = 4 pe
- oR
=
- 4 pe o ( z + 1)
2 32
= 108 V
r r
Ef
r f
(50 + 150 sin f) 150 sin f 50e o
r s dS = e o - + =- C m2
41. (A) Vr = , r r r
4 pe o|R - R| 1 2p 2
50 e o
R = zu z , R = ru r, dS = rdrdf, Q = rdrdfdz = - 2 p(50) e o 2 = -5.56 nC
0 0 0 r
2 p 0 .03
(5 10 -9)r 2 drdf
Vr =
0 0 .01 4 pe o r 2 + z 2
,
Qd cos q 100 cos q
46. (A) V = = ,
0 .03 4 pe o r 2 r2
5 10 -9 r z2
Vp = r 2
+ z 2
- ln (r + r 2 + z 2 )
2 eo V 1 V
2 2 0 .01 E = -V = - ur + uq
r r q
At z = 0.02, Vp = 0.081 V
100
= (2 cos q u r + sin q u q),
r3
42. (C) E = -V
5
6x |E| = 100( 4 cos 2 q + sin 2 q)1 2 = 100 = 158.11 V m
= - 2 y 2 z 2 + 2 2
ux 2
x + 2 y + 3z
2

12 y 2 10 -9
- 4 xyz 3 + 2 2
uy 47. (A) E = [2 cos q u r + sin q u q ],
x + 2 y + 3z
2
4 pe o r 3
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
478
ForElectrostatics
E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 8.2

y  z lies at q = 45 55. (A) I = J n z = 0 .2 dS


2 10 -9 1 S
Eq = = 10 -3 (required) 2 p 0 .4 0 .4
4 pe o r 3 2 40 40
= r + (0.1)
2 2
rdrdf =
2
log[r 2 + (0.1) 2 ] (2 p)
r 3 = 12.73 10 3, r = 23.35 0 0 0

= 40 p log 17 = 356 A
P (R - R)
48. (A) V =
4 pe o|R - R|3 J al J st sac
56. (B) So Eal = Est = = J al = J st
sal sst sst
where R - R = Q - P = (1, 1, 8)
( 3u x - 5 u y + 10 u z ) ( u x + u y + 8 u z ) 10 -9 I = p(2 10 -3) J st + p[( 4 10 -3) 2 - (2 10 -3) 2 ]J al = 80
So VP = Solving J st = 3.2 10 5 A m 2
4 pe o (1 + 1 + 8 2 )1 .5
=1.31 V 4
57. (B) J = u r A m2,
2prl
1 1 1
49. (A) E = -V = 40 2 u x + uy + uz J 4 12.73
x yz xy 2
z xyz 2 E= = = ur V m
s 2 prls rl
eo
E Edv
3 5
We = 12.73 12.73 5 6.51
2 V = - E dL = u r u rdr = ln = V
5 3 r l l 3 l
2 2 2
1 1 1
We = 800 e o x 4 y 2 z 2 + x 2 y 4 z 2 + x 2 y 2 z 4 dxdydz V 6.51 1.63
R= = = W
1 1 1
I 4l r
= 1548 pJ
V 1 V V
1 4 58. (D) E = -V = - ur + uf + u z
50. (A) W = qn Vn r r f z
2 n =1
(r + 1) 2
q 1 1 1 = -z 2 cos f u r + z sin f u f - 2(r + 1) z cos f u z
V1 = V21 + V31 + V41 = + + r

4 pe o 0.04 0.04 0.04 2
E = -1.82 u f + 14.5 u f - 2.67 u z V m
V1 = V2 = V3 = V4 E E
r s = eo E n s = eo
1 2 (1.2 10 -9) 2 1 |E|
W = ( 4) q1 V1 = 2 + 2 = 175
. mJ
2 4 pe o (0.04) r s = e o 1.82 2 + 14.5 2 + 2.67 2 = 1315
. pC m 2
2 1
51. (B) I = J n dS = J u y dxdz p p
y =1 y =1 40 cos sin
S 0 0
59. (C) V = 3 2 = 2.5 V
2 1 23
= 10 cos (2 x) 2 -2 y dxdz = 12307 kA = 12.3 MA
5
So the equation of the surface is
0 0
40 cos q sin f
= 2.5, 16 cos q sin f = r 3
r2
52. (C) I = J n dS
S
2 p 0 .3p 60. (A) E = -V, D = eE = - e oV
800 sin q
= (0 .80) 2
+ 4
(0.80) 2 sin q dqdf =154.8 A
x 200 x
0 0 .1 p = -e o 200 z ux + 2 u z C m2
x ( x 2
+ 4) x + 4
53. (D) F = ma = qE, 200 e o x
D (z= 0 ) = - 2 u z C m2,
qE ( -1.602 10 -19)( -4 106 ) x +4
a= = u z = 7.0 1017 u z m s 2 ,
m 9.11 10 -3 - 200 e o x
r s = D u z z= 0 = C m2,
x2 + 4
v = at = 7.0 10 tu z m s 17

0 2 2
- 200 e o x 1
r V 1 J z
Q= x2 + 4
dxdy = -( 3)(200) e o ln[ x 2 + 4 ]
2
54. (D) = - J = (rJ r) + -3 0 0
t r r z
= - 300 ln 2 = - 1.84 nC
1 -20
= (25) + =0
r r z r 2 + 0.01
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
479
For E-books/Materials/Notes-PDFs|PPTs
UNIT 8 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Electromagnetics

61. (B) E  V , 3 xy 2 z 3 satisfy this equation. Since E is normal to the surface
e 2 1000 400
En 2 = r1 En 1 = ur = 2 ur V m
62. (D) The plane can be replaced by 60 nC at Q (2, 5, er 2 5 r2 r
6).
R  (5, 3, 1)  (2, 4, 6)  (3, 1, 5) 68. (D) D n 1 = D n 2 and Et1 = Et 2 , D = eE

R%  (5, 3, 1)(2, 4, 6)  (3, 1, 7), D n 1 = 80 3e o = D n 2 = 5 e oEn 1 , En1 = 48


|R | 35 , |R%| 59 E2 = 48 u x - 60 u y - 40 u z

q q q  1 1 
VP     69. (A) D = eE = - f ( x)V ,

4 pe o R 4 pe o R% 4 pe o  35 59 !
D = r v = 0 = ( - f ( x)V )
-9 -9 1 1
Vp = 60 10 9 10 35 - 59 = 21 V 0 = ( - f ( x)V )
dF V 2V 2V 2V
=- + f ( x) 2 + f ( x) 2 + f ( x) 2
63. (A) Using method of images dx x x y z

rL Final Distance from the charge dF V


Vp - V0 = - ln =- + f ( x) 2 V
2 pe o Initial Distance from the charge dx x
1 dF V
V0 = 0, 2V = -
1 f ( x) dx x
rL 12 + (2 - 1) 2 12 + (2 - (-2)) 2 12 + 32
Vp = - ln + ln - ln - ln
2p eo 2 1 1 1
70. (A) r v = 0 2 V = 0,
= 2.40 kV
2 f
2 V = 16 e2 x + - 6 =0
D D x 2
64. (C) P = D - e oE = D - = ( e r - 1)
er er f f
= -16 e 2 x + 6 = - 8 e 2 x + 6 x + C1
(2.4 - 1) 10 -9 x x
P = (2 u x - 4 u y + 5 u z ) V f
2.4 Ex = = 8 e2 x +
x x
P = 12
. u x - 2.3u y + 2.9 u z nC m 2
f f
Ex (0) = 8 + =0 = -8
65. (C) D n 1 = D n 2 e1E N1 = e 2E N 2 x x = 0 x x = 0

. e o = 2.5 e o En 2
= 2 15 En 2 = 12
. It follow that C1 = 0

Et1 = Et 2 , E2 = 1.2 u x - 3u y + 1u z Integrating again


f ( x1 ) = -4 e 2 x + 3 x 2 + C, f (0, 1) = -4 + C2
66. (D) The unit vector that is normal to the surface is V (0, 0) = 0 = 4 + f (0) C2 = 0. f (0) = -4
F u x - u y + 2u z f ( x) = -4 e 2x
+ 3x , 2
uN = = ,
|F| 6 V ( x, y) = 4 e 2x
- 4 e2 x + 3 x 2 - 3 y 2 = 3 ( x 2 - y 2 )
1
En 1 = E1 u N = [100 - 200 - 100 ] = -817
. Vm
6
1
En 1 = - 817
. [u x - u y + 2u z ]
6
= -33.33u x + 33.33u y - 66.67 u z V m *********

Et1 = E1 - En 1 = 133.3u x + 166.7 u z + 16.67 u z


Et1 = Et 2 and D n 1 = D n 2
e r1 e oEn 1 = e r 2 e oEn 2
e 1 1
En 2 = r1 En 1 = E n 1 , E2 = Et 2 + En 1
er 2 4 4
= 133.3 u x + 166.7 u y + 16.67 u z - 8.33 u x + 8.33 u y - 16.67 u z
= 125 u x + 175 u y V m

67. (B) D n 1 = D n 2 , e r1 e oEn 1 = e r 2 e oEn 2


www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
480
For E-books/Materials/Notes-PDFs|PPTs
UNIT 8 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Electromagnetics

Statement for Q.911: Statement for Q.1516:

An infinite filament on the z-axis carries 10 mA in In the cylindrical region


the u z direction. Three uniform cylindrical current 2 r
Hf = + for r 0.6
sheets are also present at 400 mA m at r = 1 cm, -250 r 2
mA m at r = 2 cm and 300 mA m at r = 3 cm. 3
Hf = for r > 0.6
r
9. The magnetic field H f at r = 0.5 cm is
(A) 0.32 A m (B) 0.64 A m 15. The current density J for r < 0.6 mm is

(C) 1.36 mA m (D) 0 (A) 2u z A m (B) -2u z A m


(C) u z A m (D) 0
10. The magnetic field H f at r = 15
. cm is
(A) 1.63 A m (B) 0.37 A m 16. The current density J for r > 0.6 mm is
(C) 2.64 A m (D) 0 (A) 2u z A m (B) -3u z A m
(C) 3u z A m (D) 0
11. The magnetic field H f at r = 3.5 cm is
(A) 0.14 A m (B) 0.56 A m 17. An electron with velocity
(C) 0.27 A m (D) 0.96 A m v = ( 3u x + 12 u y - 4 u z ) 10 5
m s experiences no net
forces at a point in a magnetic field B = u x + 2 u y + 3u z
Statement for Q.1214: mWb m 2 . The electric field E at that point is

In the fig. P8.3.1214 The region 0 z 2 is filled (A) -4.4 u x + 1.3u y + 0.6 u z kV m
with an infinite slab of magnetic material (m r = 2.5). The (B) 4.4 u x - 1.3u y - 0.6 u z kV m
surface of the slab at z = 0 and z = 2, respectively, carry (C) -4.4 u x + 1.3u y + 0.6 u z kV m
surface current 30u x A m and -40u x as shown in fig.
(D) 4.4 u x - 1.3u y - 0.6 u z kV m
z

18. A point charge of 2 10 -16 C and 5 10 -26 kg is


mo
z=2 -40ux A/m moving in the combined fields B = - 3u x + 2 u y - u z mT
and E = 100 u x - 200 u y + 300 u z V m. If the charge
mr = 2.5 velocity at t = 0 is v(0) = (2 u x - 3u y - 4 u z ) 10 5 m s, the
x acceleration of charge at t = 0 is
mo z=0 30ux A/m
(A) 600[ 3u x + 2 u y - 3u z ]10 9 m s 2
Fig. P8.3.1214
(B) 400[ 6 u x + 6 u y - 3u z ]10 9 m s 2
12. In the region 0 < z < 2 the H is (C) 400[ 6 u x - 6 u y + 3u z ]10 9 m s 2
(A) -35u y A m (B) 35u y A m
(D) 800[ 6 u x + 6 u y - u z ]10 9 m s 2
(C) -5u y A m (D) 5u y A m
19. An electron is moving at velocity v = 4.5 10 7 u y
13. In the region z < 0 the H is m s along the negative y-axis. At the origin, it
(A) 5u y A m (B) -5u y A m encounters the uniform magnetic field B = 2 .5 u z mT,
and remains in it up to y = 2.5 cm. If we assume that the
(C) 10u y A m (D) -10u y A m
electron remains on the y axis while it is in the
magnetic field, at y = 50 cm the x and z coordinate are
14. In the region z > 2 the H is
respectively
(A) 5u y A m (B) -5u y A m
(A) 1.23 m, 0.23 m (B) -1.23 m, -0.23 m
(C) 35u y A m (D) -35u y A m (C) -11.7 cm, 0 (D) 11.7 cm, 0
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
482
ForMagnetostatics
E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 8.3

Statement for Q.2022: 25. If the current filament is located at y = 0.5, z = 0,

A rectangular loop of wire in free space joins points and u L = u x , then F is


A(1, 0, 1) to B(3, 0, 1) to C(3, 0, 4) to D(1, 0, 4) to A. The (A) 35 .2 u y nN m (B) 68.3u x nN m
wire carries a current of 6 mA flowing in the u z (C) 105.6 u z nN m (D) 0
direction from B to C. A filamentary current of 15 A
26. Two infinitely long parallel filaments each carry 100
flows along the entire z, axis in the u z directions.
A in the u z direction. If the filaments lie in the plane
y = 0 at x = 0 and x = 5 mm, the force on the filament
20. The force on side BC is
passing through the origin is
(A) 18u x nN (B) 18u x nN
(A) 0.4 u x N m (B) -0.4 u x N m
(C) 3.6 u x nN (D) 3.6 u x nN
(C) 4u x mN m (D) -4u x mN m

21. The force on side AB is


Statement for Q.2728:
(A) 23.4 u z N (B) 16.4 u z N
A conducting current strip carrying K = 6 u z A m
(C) 19.8 u z nN (D) 26.3u z nN
lies in the x = 0 plane between y = 0.5 and y = 15 . m.
There is also a current filament of I = 5 A in the u z
22. The total force on the loop is
direction on the z axis.
(A) 36u x nN (B) 36u x nN
(C) 54u x nN (D) 54u x nN 27. The force exerted on the filament by the current
strip is
23. Consider the rectangular loop on z = 0 plane shown
(A) 12.2 u y mN m (B) 6.6 u y mN m
in fig. P8.3.23. The magnetic flux density is
(C) -12.2 u y mN m (D) -6.6 u y mN m
B = 6 xu x - 9 yu y + 3zu z Wb m 2 . The total force
experienced by the rectangular loop is
28. The force exerted on the strip by the filament is
y (A) -6.6 u y mN m (B) 6.6 u y mN m
(C) 2.4 u x mN m (D) -2.4 u x mN m
2
5A
Statement for Q.2932:
1
In a certain material for which m r = 6.5,
x
0 1 2 3 H = 10 u x + 25 u y - 40 u z A m
Fig. P8.3.23
29. The magnetic susceptibility c m of the material is
(A) 30u z N (B) -30u z N
(A) 5.5 (B) 6.5
(C) 36u z N (D) -36u z N (C) 7.5 (D) None of the above

Statement for Q.2425: 30. The magnetic flux density B is


(A) 82 u x + 204 u y - 327 u z mWb m 2
Three uniform current sheets are located in free
space as follows: 8u z A m at y = 0, -4u z A m at y = 1 (B) 82 u x + 204 u y - 327 u z mA m

and -4u z A m at y = -1. Let F be the vector force per (C) 82 u x + 204 u y - 327 u z mT
meter length exerted on a current filament carrying 7 (D) 82 u x + 204 u y - 327 u z mA m
mA in the u L direction.
31. The magnetization M is
24. If the current filament is located at x = 0, y = 0.5 (A) 75 u x + 187.5 u y - 300 u z A m 2
and u L = u z , then F is (B) 75 u x + 187.5 u y - 300 u z A m 2
(A) 35 .2 u y nN m (B) -35 .2 u y nN m (C) 55 u x + 137.5 u y - 220 u z A m 2
(C) 105.6 u y nN m (D) 0 (D) 55 u x + 137.5 u y - 220 u z A m 2
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
483
For E-books/Materials/Notes-PDFs|PPTs
UNIT 8 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Electromagnetics

32. The magnetic energy density is If H increases from 0 to 210 A m, the energy
(A) 19 mJ m 2
(B) 9.5 mJ m 2
stored per unit volume in the alloy is

(C) 16.3 mJ m 2
(D) 32.6 mJ m 2 (A) 6.2 MJ m 3 (B) 1.3 MJ m 3
(C) 2.3 kJ m 3 (D) 2.9 kJ m 3
Statement for Q.3334:
40. If magnetization is given by H = 6a ( - yu x + xu y) in a
For a given material magnetic susceptibility
cube of size a, the magnetization volume current
 m = 31
. and within which B = 0.4 yu z T.
density is
33. The magnetic field H is 12 6
(A) uz (B) ( x - y)
a a
(A) 986.8 yu z kA m (B) 151.6 yu z kA m
6 3
(C) 102.7 yu z kA m (D) 77.6 yu z kA m (C) uz (D) ( x - y)
a a

34. The magnetization M is 41. The point P(2, 3, 1) lies on the planner boundary
(A) 241yu z kA m (B) 318.2 yu z kA m separating region 1 from region 2. The unit vector
(C) 163yu z kA m (D) None of the above u N12 = 0.6 u x + 0.48 u y + 0.64 u z is directed from region 1
to region 2. If m r1 = 2, m r2 = 8 and
35. In a material the magnetic field intensity is H1 = 100 u x - 300 u y + 200 u z A m, then H 2 is
H = 1200 A m when B = 2 Wb m 2 . When H is reduced to (A) 40.3u x + 48.3u y - 178.9 u z A m
400 A m, B = 1.4 Wb m 2 . The change in the
(B) 80.2 u x - 315.8 u y + 178.9 u z A m
magnetization M is
(C) 40.3u x - 315.8 u y - 178.9 u z A m
(A) 164 kA m (B) 326 kA m
(D) 80.2 u x + 48.3u y + 178.9 u z A m
(C) 476 kA m (D) 238 kA m
42. The plane separates air ( z > 0, m r = 1) from iron
36. A particular material has 2.7  10 29 atoms m 3 and
( z 0, m r = 20). In air magnetic field intensity is
each atom has a dipole moment of 2.6  10 30 u y A  m 2 .
H = 10 u x + 15 u y - 3u z A m. The magnetic flux density
The H in material is ( r = 4.2)
in iron is
(A) 2.94 u y A m (B) 0.22 u y A m
(A) 5.02 u x + 7.5 u y - 0.076 u z mWb m 2
(C) 0.17 u y A m (D) 2.24 u y A m
(B) 12.6 u x + 18.9 u y - 75.4 u z mWb m 2

37. In a material magnetic flux density is 0.02 Wb m 2 . u z mWb m 2


(C) 251u x + 377 u y - 377

and the magnetic susceptibility is 0.003. The magnitude (D) 251u x + 377 u y - 1508 u z mWb m 2
of the magnetization is
43. The plane 2 x + 3 y - 4 z = 1 separates two regions.
(A) 47.6 A m (B) 23.4 A m
Let m r1 = 2 in region 1 defined by 2 x + 3 y - 4 z > 1, while
(C) 16.3 A m (D) 8.4 A m
m r 2 = 5 in region 2 where 2 x + 3 y - 4 z < 1. In region
H1 = 50 u x - 30 u y + 20 u z A m. In region 2, H 2 will be
38. A uniform field H = - 600 u y A m exist in free space.
(A) 63.4 u x + 4318
. u y - 19.4 u z A m
The total energy stored in spherical region 1 cm in
radius centered at the origin in free space is (B) 52.9 u x - 25.66 u y + 14.2 u z A m

(A) 0.226 J m 3
(B) 1.452 J m 3 (C) 48.6 u x - 16.4 u y - 46.3u z A m

(C) 1.68 J m 3 (D) 0.84 J m 3 (D) None of the above

39. The magnetization curve for an iron alloy is


approximately given by ********

1
B= H + H 2 mWb m 2
3
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
484
ForMagnetostatics
E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 8.3

-(1 + x) u x + 3u y + 2 u z
uR =
SOLUTIONS R
R = (1 + x) + 32 + 2 2 = x 2 + 2 x + 14
2


IdL  u R
4 dxu x [ -(1 + x) u x + 3u y + 2 u z ]
1. (C) H = 4 pR 2 H=
-
- 4 p ( x 2 + 2 x + 14) 3 2
I

-u ydy[2 u x + ( 3 - y) u y ]
(12 u z - 8 u y) dx 2(12 u z - 8 u y)
=
4p [2 + ( 3 - y) ]
2 2 32
= = 4 p( x =
0
-
2
+ 2 x + 14) 3/2
4 p13

I 2 u z dy = 0.147 u z - 0.098 u y A m
=
4 p 0 [2 + ( 3 - y) 2 ]3 2
2

3 - y = 2 tan q, -dy = 2 sec 2 q, I


a
Idzu z (ru r - zu z )
5. (B) H =
2 pr
uf - 4 p(r 2 + z 2 ) 3 2
q1 = 56.31, q 2 = -90 -a

I
56 .31
2 u z dq I
a
rIdzu f
H=
4p 2 sec q
=
2 pr
uf -
-a 4 p(r 2 + z 2 ) 3 2
-90
a
I a
rIdzu f rIu f z Iau f
= u z [sin q ] -5690.31 = 145.8 u z mA m - a 4 p(r 2 + z 2 ) 3 2 = 4 p r 2 (r 2 + z 2 ) 3 2 =
4p -a
2 pr(r 2 + z 2 ) 3 2

Iz I a
u A m
2. (A) H = H y + H z , H z = uf = 1-
2pr 2 pr (r + a 2 )
2 f

r = ( -3) 2 + ( 4) 2 = 5 At r = 1, H =
I
- u z ( -3u x + 4 u y)
3u y + 4 u x 2pr
uf = = a 1
5 5 1- =
24 ( 4 u x + 3u y) 1+ a 2 2
Hz = = 0.611u x + 0.458 u y mA m
2 p(5) 5 1
a= = 0.577 m
Iy 3
Hy = u f , r = ( -3) + (5) = 34 2 2

2pr
IdL u R
( -3u x + 5 u z ) 3u z - 5 u x 6. (A) H =
uf = u y = 4 pR 2
34 34 2p
Idfu f ( - ur ) I
Hy =
12 ( -5 u x + 3u z ) =
0
4 pa
=
2a
uz A m
2 p 34 34
I = 3 A, a = 0.5 m, H = 3u z A m
= - 0.281u x + 0.168 u z mA m
H = H y + H z = 0.331u x + 0.458 u y + 0.168 u z mA m K u R dxdy
7. (D) H =
4 pR 2
I
4
Idzu z (ru r - zu z ) 2
4 u x ( - xu x - yu y - 3u z ) dx dy
3. (A) H =
2 pr
uf - 4 p(r 2 + z 2 ) 3 2 =
-4
-2 - 4 p( x 2 + y 2 + 9) 3 2
4
I I rdz 2
4 ( - yu z - 3u y) dx dy
2 pr
uf -
4 p -4 4 p(r + z 2 ) 3 2
2
uf =
-2 - 4 p( x 2 + y 2 + 9) 3 2
I I 8 2
12 u ydx dy
= uf - uf
2 pr 4 p r (r 2 + 16) = 4 p( x
-2 -
2
+ y 2 + 9) 3 2
I 2
4 u 3 2
=
2 pr
1- f
=- uy dy
(r 2 + 16) p -2
y2 + 9
2
At r = - 3, f = 60 , I = 3p, 6 1 y
- u y tan -1 = -0.75 u y A/m
H = 0.1u f A m p 3 3 -2

IdL u R
4. (B) H = , IdL = 4 dxu x
4 pR 2
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
485
For E-books/Materials/Notes-PDFs|PPTs
UNIT 8 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Electromagnetics

IdL  u R u x uy uz
8. (D) H = 
4 pR 2 1 2 3 10 5 10 -3


-Idzu z ( -zu z + u y)
Idxu x ( - xu x + u y) 3 12 -4
= +
4 p(1 + z ) 2 32
4 p(1 + x )
2 32
0 0
= [ u x ( -8 - 36) - u y( -4 - 9) + u z (12 - 6)] 10 2 V m

Idzu x Idxu z = -4.4 u x + 1.3u y + 0.6 u z kV m
= +
0 4 p(1 + z 2 ) 3 2 0 4 p(1 + x 2 ) 3 2
0
0
18. (D) v(0) B = (2 u x - 3u y - 4 u z )10 5
I zu x xu z
= +
4 p (1 + z 2 )
(1 + x 2 ) ( -3u x + 2 u y - u z )10 -3

= 1100 u x + 1400 u y - 500 u z
I
= ( u x + u z ) = 0.8 ( u x + u z ) mA m F(0) = Q [E + v B]
4p
= 2 10 -16 [1200 u x + 1200 u y - 200 u z ]

9. (A) Using Amperes circuital law = 4 10 -14 [ 6 u x + 6 u y - u z ]


F 4 10 -14
H dL = 2prHf = I encl F = ma a= =
m 5 10 -26
[6u x + 6u y - u z ]

At r = 0.5 cm, I encl = 10 mA


= 800[ 6 u x + 6 u y - u z ] 10 9 m s 2
-3
2 p(5 10 ) H f = 10, H f = 0.32 A m
19. (C) F = e v B
10. (B) At r = 15
. cm enclosed current
= - (1.6 10 -19)( 4.5 10 7 u y)(2.5 10 -3 u z )
I encl = 10 + 2 p(0.01)( 400) = 35.13 mA = -1.8 10 -14 u x N
-3
2 p(0.015) H f = 35.13 10 H f = 0.37 A m This force will be constant during the time the electron
travels the field. It establishes a negative x directed
11. (C) The enclosed current is
velocity as it leaves the field, given by the acceleration
I encl = 10 + 2 p(0.01) 400 - 2 p(0.02)250 + 2 p(0.03) 300
times the transit time tt ,
= 60.3 mA m
Ftt -1.8 10 -14 2.5 10 -2
vx = = = -11
. 10 7 m s
2 p(0.035) H f = 60.3 M H f = 0.27 A m m 9.1 10 -31 4.5 10 7
0.5 - 0.025
1 t50 = . 10 -8 s
= 106
12. (A) H = ( -30 - 40) u x ( -u z ) = -35 u y A m 4.5 10 7
2
In that time, the electron moves to an x coordinate
1 given by
13. (B) H = K u n
2 x = vx t50 = -(11 . 10 -8 ) = -0.117 m
. 10 7)(106
1 x = -117
. cm, z = 0
= ( 30 - 40) u x ( -u z ) = -5 u y A m
2
C

1 20. (A) FBC = I loop dL Bfrom wire at BC


14. (A) H = ( -30 + 40) u x + ( -u z ) = 5 u y A m B
2 4
15m o
= ( 6 10 -3) dzu z u y = -1.8 10 -8 u x = -18 u x nN
1 (rH f) 1 2 p( 3)
15. (C) J = H = uz
r r
21. (C) The field from the long wire now varies with
1 r2
= 2 + u z = u z A m position along the loop segment.
r r 2 3
15m o
( 6 10
-3
FAB = ) dxu x uy
2 px
1 3 1
16. (D) J = r = 0
r r r =
45 10 -3
m o ln 3u z = 19.8 u z nN
p

17. (A) F = e(E + v B)


If F = 0, E = -v B = B v
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
486
ForMagnetostatics
E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 8.3

1 1 .5
22. (A) This will be the vector sum of the forces on the -5m o u x
four sides. By symmetry, the forces on sides AB and CD
28. (A) F = K BdS =
area
6u
0 0 .5
z
2 py
dy

will be equal and opposite, and so will cancel. This 15m o 15 .


=- ln u y = -6.6 u y mN m
leaves the sum of forces on side BC and DA p 0.5
4
15m o
-( 6 10
-3
FDA = ) dxu z u y = 54 u x nN
1 2 p(1) 29. (A) c m + 1 = m r , c m + 1 = 6.5 , c m = 5.5
Ftotal = FDA + FBC = (54 - 18) u x = 36 u x nN
30. (A) B = mH = m om rH
23. (A) F = IdL R = 4 p 10 -7 6.5(10 u x + 25 u y - 40 u z )
2 2 3 1
= 82 u x + 204 u y - 327 u z mWb m 2
= I dxu x B + I dyu y B + I dxu x B + I dyu y B
1 1 1 2

u x B = u x [ 6 xu x - 9 yu y + 3zu z ] = 3zu y - 9 yu z 31. (C) M = c m H = 55 u x + 137.5 u y - 220 u z A m


u y B = u y[ 6 xu x - 9 yu y + 3zu z ] = 3zu x - 6 xu z
z = 0 for all element 1 1
3 2 1 1
32. (B) W = H B = mH 2
F = I dx (-9 yu z) y=1 + I dy(-6 xu z) x=3 + I dx (-9 yu z) y=2 + I dy(-6 xu z) x=1
2 2
1 1 3 2
1
= 6.5 4 p 10 -7 (100 + 625 + 1600) = 9.5 mJ m 2
= I ( -18 - 18 + 36 + 6) u z = 5 6 u z = 30 u z N 2

24. (B) Within the region -1 < y < 1, the magnetic fields 33. (D) m r = c m + 1 = 31
. + 1 = 4.1, m = m om r = 4.1m o
from the two outer sheets (carrying -4u z A m) cancel, B 0.4 yu z
H= = = 77.6 yu z kA m
leaving only the field from the center sheet. Therefore m 4.1 4 p 10 -7
H = -4 u x A m (0 < y < 1) and H = 4 u x A m ( -1 < y < 0).
Outside (y > 1 and y < - 1) the fields from all three sheet 34. (A) M = c m H = ( 31
. )(77.6) yu z = 241 yu z kA m
cancel, leaving H = 0 ( y > 1, y < - 1). So at x = 0, y = 0.5
F B1 2
= Iu z B = (7 10 -3) u z - 4m o u x = -35.2 u y nN m 35. (C) For case 1, m = =
m H 1200
m 1 1
m r1 = = = 1326.3
F m o 600 4 p 10 -7
25. (D) = Iu x ( -4m o u x ) = 0
m
c m = m r - 1 = 1325.3
1 M1 = c m H1 = 1590
. 106 A m
26. (A) F = IdL B
0
B
For case 2, m = 2 =
1.4
H 2 400
1
-100 m o u y
= 100 dzu z = 0.4 u x N m 1.4
0 2 p (5 10 -3) m r1 = = 2785.2
400 4 p 10 -7

27. (B) The field from the current strip at the filament c m = 2784.2 2784.2
location M 2 = c m H 2 = 1114
. 106 A m
1 .5
6m o u x 3m o 15
. DM = (1590
. - 1114
. ) 106 = 476 kA m
B=
0 .5
2 p y
dy =
p
ln u x
.5
0
= 1.32 10 -6 u x Wb m 2 36. (B) M = Nm = (2.7 10 29)(2.6 10 -30 u y)
1
F = IdL B = 0.7 u y A m
0
M 0.7 u y
1 H= = = 0.22 u y A m
= 5 dzu z 1.32 10 -6 u x dz = 6.6 u y mN m m r - 1 4.2 - 1
0

-1
B 1
37. (A) M = + 1
mo cm
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
487
For E-books/Materials/Notes-PDFs|PPTs
UNIT 8 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Electromagnetics

1
0.02  1  The normal component of H1 is
=   1  = 47.6 A m
4 p  10 7  0.003  H N1 = (H1 u N 21 ) u N 21
H1 u N 21 = (50 u x - 30 u y + 20 u z ) (0.37 u x + 0.56 u y - 0.74u z )
1 1 = 18.5 - 16.8 - 14.8 = -131
.
38. (A) W = H B = m o H 2
2 2 (H1 u N 21 ) u N 21 = ( -131
. )(0.37 u x + 0.56 u y - 0.74 u z )
1 = -4.83u x - 7.24 u y + 9.66 u z A m
= ( 4 p 10 -7)( 600) 2 = 0.226 J m 3
2
Tangential component of H1 at the boundary
Ho Ho
1 HT1 = H1 - H N1
39. (A) W = H. dB = H 3 + 2 H dH
0 0
= (50 u x - 30 u y + 20 u z ) - ( -4.83u x - 7.24 u y + 9.66 u z )

H 2
2H 3 = 54.83u x - 22.76 u y + 10.34 u z A m
= +
o
= 6.2 MJ m 3
o

6 3 H T 2 = H T1
m 2
H N 2 = r1 H N1 = ( -4.83u x - 7.24 u y + 9.66 u z )
12 m r2 5
40. (A) J b = M = uz
a = -193
. u x - 2.90 u y + 3.86 u z A m
H 2 = H T 2 + H N 2 = (54.83u x - 22.76 u y + 10.34 u z )
41. (B) B1 = 200m o u x - 600m o u y + 400m o u z
+ ( -193
. u x - 2.9 u y + 3.86 u z )
Its normal component at the boundary is = 52.9 u x - 25.66 u y + 14.2 u z
B1 N = (B1 u N12 ) u N12
********
= (52.8 u x + 42.24 u y + 56.32 u z ) m o = B2 N
B
H 2 N = 2 N = 6.60 u x + 5.28 u y + 7.04 u z
8m o
B1 N
H1 N = = 26.40 u x + 2112
. u y + 28.16 u z
12m o
H1 T = H1 - H1 N = (100 u x - 300 u y + 200 u z )
-(26.4 u x + 2112
. u y + 28.16 u z )
= 73.6 u x - 32112
. u y + 171.84 u z
H1 T = H 2 T
H 2 = H 2 N + H 2 T = 80.2 u x - 315.8 u y + 178.9 u z A m

42. (C) H N1 = - 3u z , H T1 = 10 u x + 15 u y

H T 2 = H T1 = 10 u x + 15 u y
m 1
H N 2 = 1 H N1 = ( -3u z ) = 0.15 u z
m2 20
H 2 = H N 2 + H T 2 = 10 u x + 15 u y - 0.15 u z
B2 = m 2H 2 = 20 4 p 10 -7(10 u x + 15 u y - 0.15 u z )
= 251u x + 377 u y - 377
. u z mWb m 2

43. (B) At the boundary normal unit vector


(2 x + 3 y - 4 z) 2 u x + 3u y - 4 u z
un = =
| (2 x + 3 y - 4 z)| 29
= 0.37 u x + 0.56 u y - 0.74 u z
Since this vector is found through the gradient, it will
point in the direction of increasing values of
2 x + 3 y - 4 z, and so will be directed into region 1. Thus
u n = u n 21 .
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
488
For E-books/Materials/Notes-PDFs|PPTs
UNIT 8 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Electromagnetics

Statement for Q.89: Statement for Q.1213:


The location of the sliding bar in fig. P8.4.89 is Consider the fig. P8.4.1213. The rails have a
given by x = 5 t + 4 t . The separation of the two rails is
3
resistance of 2  m. The bar moves to the right at a
30 cm. Let B = x u z T.2
constant speed of 9 m s in a uniform magnetic field of
0.8 T. The bar is at x  2 m at t  0.
y B
B B
z a

VM v
0.2 cm
I
v
x
b

Fig. P8.4.89.
8. The voltmeter reading at t = 0.5 s is 16 cm
(A) 21.6 V (B) 21.6 V Fig. P8.41214
(C) 6.3 V (D) 6.3 V
12. If 6  resistor is present across the left-end with the
9. The voltmeter reading at x  0.6 m is right end open-circuited, then at t  0.5 sec the current
(A) 1.68 V (B) 1.68 V I is

(C) 0.933 V (D) 0.933 V (A) 45 mA (B) 45 mA


(C) 60 mA (D)60 mA
Statement for Q.1011:
A perfectly conducting filament containing a 250W 13. If 6  resistor is present across each end, then I at

resistor is formed into a square as shown in fig. 0.5 sec is

P8.4.10-11. (A) 12.3 mA (B) 12.3 mA


y
(C) 7.77 mA (D) 77.7 mA
I(t)

Statement for Q.1415:

0.5 cm B 250 
The internal dimension of a coaxial capacitor is
a  1.2 cm, b  4 cm and c  40 cm. The homogeneous
x material inside the capacitor has the parameter
Fig. P8.4.1011  10 11 F m,
 10 5 H m and  10 5 S m.The electric
7
field intensity is E  10 cos (10 5 t) u p V m.
10. If B  6 cos (120 pt  30 ) u z T, then the value of I ( t)
is
14. The current density J is
(A) 2.26 sin (120 pt  30 ) A 200
(A) sin (10 5 t) u  A m 2
(B) 2.26 cos (120 t  30 ) A 
(C) 2.26 sin (120 t  30 ) A 400
(B) sin (10 5 t) u  A m 2
(D) 2.26 cos (120 t  30 ) A 
100
11. If B  2 cos ( ct  y) u z
T, where c is the velocity of (C) cos (10 5 t) u  A m 2

light, then I ( t) is
(D) None of the above
(A) 1.2(cos ct  sin ct)
A
(B) 1.2(cos ct  sin ct) mA 15. The quality factor of the capacitor is
(C) 1.2(sin ct  sin ct)
A (A) 0.1 (B) 10
(D) 1.2(sin ct  sin ct) mA (C) 0.2 (D) 20
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
490
ForMaxwells
E-books/Materials/Notes-PDFs|PPTs
Equations Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 8.4

16. The following fields exist in charge free regions (B) 4 a sin (15
.  108 t  ax) u z mA m

P = 60 sin ( wt + 10 x) u z .  108 t  ax) u z


A m
(C) 4 a sin (15

Q  10 cos ( t  2) u  .  108 t  ax) u z mA m


(D) 4 a sin (15

R = 3 2 cot  u   1 cos u  21. The value of a is


S 1
r
sin  sin ( t  6 r) u  (A) 4.3 (B) 2.25

The possible electromagnetic fields are (C) 5 (D) 6


(A) P, Q (B) R, S
Statement for Q.2223:
(C) P, R (D) Q, S
Let H  2 cos (1010 t  x) u z A m,
 3  10 5 H m,
17. A parallel-plate capacitor with plate area of 5 cm 2  1.2  10 10 F m and  0 everywhere.
and plate separation of 3 mm has a voltage 50 sin (10 3 t)
22. The electric flux density D is
V applied to its plates. If r  2, the displacement
(A) 120 cos (1010 t  x) nC m 2
current is
(A) 148 cos (1010 t) nA (B) 261 cos (1010 t)
A (B) 120 cos (1010 t  x) nC m 2

(C) 261 cos (1010 t) nA (D) 148 cos (1010 t)


A (C) 120 cos (1010 t  x) nC m 2
(D) None of the above
18. In a coaxial transmission line ( r  1), the electric
field intensity is given by 23. The magnetic flux density B is
100 (A) 6.67  10 4 cos (1010 t  x) T
E cos (10 9 t  6 z) u  V m.
 (B) 6.67  10 4 cos (1010 t  x)
The displacement current density is (C) 6  10 5 cos (1010 t  x) T
100 (D) 6  10 5 cos (1010 t  x) T
(A)  sin (10 9 t  6 z) u  A m 2

116 Statement for Q.2425:
(B) sin (10 9 t  6 z) u  A m 2
 A material has  0 and r  1. The magnetic field

(C) 
0.9
sin (10 9 t  6 z) u  A m 2 intensity is H  4 cos (106 t  0.01z) u y A m.

216 24. The electric field intensity E is
(D)  cos (10 9 t  6 z) u  A m 2
 (A) 4.52 sin (106 t  0.01z) kV m
(B) 4.52 sin (106 t  0.01z) V m
Statement for Q.1921: (C) 4.52 cos (106 t  0.01z) V m
Consider the region defined by |x|,|y| and |z| 1. (D) 4.52 cos (106 t  0.01z) kV m
Let  5  ,
 4
 , and  0 the displacement current
density J d  20 cos (15
.  108 t  ax) u y
A m 2 . Assume 25. The value of
r is
no DC fields are present. (A) 2 (B) 3
(C) 4 (D) 16
19. The electric field intensity E is
.  108 t  ax) u y mV m
(A) 6 sin (15 26. The surface   3 and 10 mm, and z  0 and 25 cm
.  10 t  ax) u y mV m
(B) 6 cos (15 8 are perfect conductors. The region enclosed by these

.  108 t  ax) u y mV m
(C) 3 cos (15 surface has
 2.5  10 6 H m,  4  10 11 F m and
 0. If H  2 cos 8 z cos t u  A m, then the value of
.  108 t  ax) u y mV m
(D) 3 sin (15
is
20. The magnetic field intensity is (A) 2   106 rad s (B) 8   106 rad s
.  108 t  ax) u z
A m
(A) 4 a sin (15 (C) 2   108 rad s (D) 8   108 rad s
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
491
For E-books/Materials/Notes-PDFs|PPTs
UNIT 8 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Electromagnetics

27. For distilled water



 ,  81  , and  2  10 3
S m, the ratio of conduction current density to SOLUTIONS
displacement current density at 1 GHz is
d d
dt 
.  10 5
(A) 111 (B) 4.44  10 4 1. (B) emf    B  dS
dt
(C) 2.68  10 6 (D) 1.68  10 7
 2 (0.2) 2 (20)( 377) sin 377 t mV  0.95 cos 377 t V
28. A conductor with cross-sectional area of 10 cm 2
1 1
carrier a conductor current 2 sin (10 9 t) mA. If 2. (A) emf  B L2  ( 4)(2)(2) 2  16 V
2 2
 2.5  106 S m and r  4.6, the magnitude of the
displacement current density is 3. (C) Since B is constant over the loop area, the flux is
(A) 48.4
A m 2
(B) 8.11 nA m 2
  (0.1) 2 B  0.31 cos (120 t)
(C) 32.6 nA m 2 (D) 16.4
A m 2 d
emf  Vba ( t)  
dt
29. In a certain region  0.31(120 ) sin (120 t)  118.43 sin(120 t)
J = ( 4 yu x + 2 xzu y + z u z ) sin (10 t) A m
3 4
Vab   118.43 sin (120 t)
If volume charge density  v in z  0 plane is zero,
Vab 118.43 sin (120 t)
then  v is 4. (D) I    0.47 sin (120 t)
R 250
(A) 3z 2 cos (10 4 t) mC m 3
(B) 0.3z 2 cos (10 4 t) mC m 3 d d
5. (A) emf  
dt

dt  B  u dz
z

(C) 3z cos (10 t) mC m


2 4 3 loop area

(D) 0.3z 2 cos (10 4 t) mC m 3 d


 ( 3)( 4)( 6) cos 5000 t  360000 sin 5000 t
dt
30. In a charge-free region (  0,   r ,

 ) emf 360000 sin 5000 t
I    0.4 sin 5000 t A
magnetic field intensity is H  10 cos (10 t  4 y) u z
11 R 900  10 3
A m. The displacement current density is
1 1
(A) 40 sin (10 9 t  4 y) u y A m 6. (C)     20

0 0
 cos ( 3  108 t  y) dx dy
(B) 40 sin (10 9 t  4 y) u y A m
 [20
 sin ( 3  108 t  y)]10
(C) 40 sin(10 3 t  4 y) u x A m
 20
 [sin ( 3  108 t  1)  sin ( 3  108 t)] Wb
(D) 40 sin (10 9 t  4 y) u x A m
d
Emf  
31. In a nonmagnetic medium ( r  6.25) the magnetic dt
  20  (4  10 7)(3  108 )  [cos (3  108 t  1)  cos (3  108 t)]
field of an EM wave is H  6 cos x cos (108 t) u z A m.
The corresponding electric field is  7540[cos ( 3  108 t)  cos ( 3  108 t  1)] V
(A) 903 sin (0.83 x) sin (108 t) V m
8
7. (D) In this case   [20
 (2 ) sin ( 3  108 t  y)]20   0
. x) sin (10 t) V m
(B) 903 sin (12
(C) 903 sin (0.83 x) cos (108 t) V m 0 .3 x
8. (A)    B  dS     ddy
2
. x) cos (108 t) V m
(D) 903 sin (12
area 0 0

32. In a nonmagnetic medium  0.1 x 3  0.1(5 t  4 t 3) 3 Wb


d
E  5 cos(10 9 t  8 x) u x  4 sin(10 9 t  8 x) u z V m. emf    0.1( 3)(5 t  4 t 3) 2 (5  12 t 2 )
dt
The dielectric constant of the medium is
At t  0.5 s, emf  0.1( 3)(2.5  0.5) 2 (5  3)  21.6 V
(A) 3.39 (B) 1.84
(C) 5.76 (D) 2.4 9. (C) At x  0.6 m, 0.6  5 t  4 t 3  t  0.119 s

*********** At t  0.119 s, emf  0.933 V


www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
492
ForMaxwells
E-books/Materials/Notes-PDFs|PPTs
Equations Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 8.4

10. (A)    B  dS  6(0.5) cos (120 pt  30 ) Wb I d  2 lJ d   2 l(10) sin (10 5 t)   8 sin (10 5 t) A
2

area
Id 8
dF Quality factor   0.1
emf    6(0.5) 2 (120 p) sin (120 pt  30 ) Ic 80
dt
emf 6(0.5) 2 (120 p)
The current is  sin (120 pt  30 ) A $Pz
R 250 16. (A) %  P  0, %  P   uy & 0
$x
 2.26 sin (120 pt  30 ) A
P is a possible EM field
0 .5 1 $
%  Q  0, %  Q  [10 cos ( t  2)]u z & 0
11. (D)    B  dS  (0.5)(2)  cos( py  pct) dy
area 0
 $
Q is a possible EM field
1 p 1
 sin pct    sin pct  [  cos pct  sin pct ] mWb 1 $ sin 
p  2 p % R  ( 3 2 cot )  & 0, R is not an EM field.
 $ 
dF
emf    c [cos pct  sin pct ] mV 1 $(sin 2 )
dt % S  sin ( t  6 r) & 0
r sin 
2
$r
emf 3  108
I ( t)   [cos pct  sin pct ] mA S is not an EM field. Hence (A) is correct.
R 250
 12
. [cos pct  sin pct ] A
V dD dV
17. (A) D  E   Jd  
d dt d dt
12. (A) The flux in the left-hand closed loop is
S dV 2  5  10 4
Fl  B  area = (0.8)(0.2)(2 + 9t) Id  J  S   10 3  50 cos (10 3 t)
d dt 3  10 3
dF L
emfl     (0.16)(9)  1.44 V
dt  148 cos (1010 t) nA
While the bar in motion, the loop resistance is
18. (C)
increasing with time,
$D $E 100
Rl = 6 + 2[2 (2 + 9 t)]W, At t = 0.5, Rl = 32 W Jd     [  sin (10 9 t  6 z)] 10 9 u  A m 2
$t $t 
1.44
Il     45 mA 0.9
32  sin (10 9 t  6 z) u  A m 2

13. (C) In this case, there will be contribution to the
20  10 6
current from the right loop, which is now closed. The 19. (D) D   J d dt  C1  .  108  ax) u y
sin (15
.  108
15
flux in the right loop, whose area decreases with time,
is Fr  (0.8)(0.2)(16  2  9 t)  1.33  10 13 sin (15
.  108 t  ax) u y C m 3
dF R C1 is set to zero since no DC fields are present.
emfR    1.44 V
dt D 1.33  10 13
E  .  108  ax) u y
sin (15
Rr = 6 + 2 (2 (14  9 t)), At 0.5 s, Rr  44 W 5 
The contribution to the current from the right loop  3  10 3 sin (15
.  108 t  ax) V m
144
Ir =  032.7 mA
44 $E y $B
20. (D) %  E  uz  
The total current  32.7  45  77.7 mA $x $t
$B
 a( 3  10 3) cos (15
.  108 t  ax) u z  
100 $t
14. (C) J  E  cos (10 5 t) u  A m 2
 a( 3  10 3)
B .  108 t  ax) u z
sin (15
.  108
15
15. (A) Total conduction current B 2  10 11
100 H  .  108 t  ax) u z A m
sin (15
I C   J  dS  2lJ  2 l cos (10 5 t) u  A m 2
4  4   10 7

 4  10 6 a sin (15
.  108 t  ax) u z mA m
 80 cos (10 5 t) A
$D $ E 10 $H z
Jd    sin (10 5 t) A m 2 21. (B) %  H   u y  Jd
$t $t  $x
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
493
For E-books/Materials/Notes-PDFs|PPTs
UNIT 8 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Electromagnetics

 a 2 ( 4  10 6 ) cos (15
.  108 t  ax)  J D Jc E
27.(B) At high frequency  
Comparing the result J d E

a 2 4  10 6  20  10 6 , a  5  2.25 2  10 3
  4.44  10 4
2   10 9  81  
$H z $D
22. (B) %  H   uy  Ic Ic
$x $t 28. (C) J c   E  E
S S
$D
 2 sin (1010 t  x) u y $E $I c
$t  Jd  
$t S $t
2
D  cos (1010 t  x) u y C m 2 4.6  (10 9)
1010  Jd  2 cos (10 9 t) 10 3
2.5  106  10  10 4
w
  1010
 1010 3  10 5  12
.  10 10  600
v 'J d '  32.6 nA m 2
D  120 cos (1010 t  x) u y nC m 2
8
29. (B) %  J  (0  0  3z 2 ) sin (10 4 t)  
$t
23. (D) B 
H  6  10 5 cos (1010 t  x) u z T
3z 2 cos (10 4 t)
v   C1
10 4
$H y
24. (A) %  H   ux At z  0,  v  0, C1  0
$z
 v  0.3z 2 cos (10 4 t) mC m 3
$E
 %  H  0.04 cos (10 t  0.01z) u x  
6

$t $H z
30. (D) J d  %  H  ux
0.04 sin (106 t  0.01z) u x $y
E
106 
 40 sin (10 9 t  4 y) u y A m
 4.52 sin (10 t  0.01z) u x kV m
6

$H z $E
31. (A) %  H   uz 
$Ex $H $y $t
25. (B) %  E  uy  

$z $t  6 sin (x) cos (108 t) u y


0.04(0.01) $H 1
cos (106 t  0.01z) u y  
r
 E   6 sin (x) cos (108 t) u y dt
106  $t
0.04(0.01) 6
H sin (106 t  0.013) u y  sin (x) sin (108 t) u y
(106 )(106 )
r
  1010
0.04(0.01) (0.04)(0.01) 108
4 
r   ( 3  108 ) 2  9  6.25  ,    r  6.25  0.833
1012
r
  4(1012 ) v c 3  108
6(0.833)
E sin (x) sin (108 t) u y V m
$H  6.25   108
26. (D) %  H   u
$z  903 sin (0.83 x) sin (108 t) u y V m
16  $E
 sin ( 8 z) cos ( t) u  
 $t 32. (C) For nonmagnetic medium
r  1
16 
E sin ( 8 z) sin ( t) u    r ,  10 9,   8,
 v c
$E (16 )( 8 ) $H 10 9
% E u  cos ( 8 z) sin ( t) u   
8  108 r  r  5.76
$z  $t 3

128 2
H cos ( 8 z) cos ( t) u 
 2
**********
This result must be equal to the given H field. Thus
128 2 2 8 8
   

2 
4  10 11
 2.5  10 6

 8   108 rad s
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
494
For E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com

CHAPTER

8.5
ELECTROMAGNETIC WAVE PROPAGATION

Statement for Q.13: (A) 6.43 106 m s (B) 2.2 10 7 m s


A y-polarized uniform plane wave with a frequency (C) 1.4 108 m s (D) None of the above
of 100 MHz propagates in air in the  x direction and
impinges normally on a perfectly conducting plane at Statement for Q.56:
x = 0. The amplitude of incident E-field is 6 mV m. A uniform plane wave in free space has electric
field Es = (2 u z + 3u y) e - jbx V m.
1. The phasor H s of the incident wave in air is
2 2p
j x -j x
(A) 16 e 3
uz m A m (B) -16 e 3
uz m A m 5. The magnetic field phasor H s is
(A) ( -5.3u y - 8 u z ) e - jbx m A m
2p 2p
-j x -j x
(C) 16 e 3
ux m A m (D) -16 e 3
ux m A m (B) (5.3u y - 8 u z ) e - jbx m A m
(C) ( -5.3u y + 8 u z ) e - jbx m A m
2. The E-field of total wave in air is (D) (5.3u y + 8 u z ) e - jbx m A m
2p
(A) j12 sin x u y mV m
3 6. The average power density in the wave is
2p (A) 34 mW m 2 (B) 17 mW m 2
(B) - j12 sin x u y mV m
3 (C) 22 mW m 2 (D) 44 mW m 2
2p
(C) 12 cos x u y mV m
3 7. The electric field of a uniform plane wave in free
space is given by Es = 12 p( u y + ju z ) e - j15x . The magnetic
2p
(D) -12 cos x u y mV m field phasor H s is
3
(A) 12
ho
( -u z + ju y) e - j15x (B) 12
ho
( u z + ju y) e - j15x
3. The location in air nearest to the conducting plane, (C) 12
ho
( -u z - ju y) e - j15x (D) 12
ho
( u z - ju y) e - j15x
where total E-field is zero, is
(A) x = 15
. m (B) x = -15
. m Statement for Q.89:

(C) x = 3 m (D) x = - 3 m A lossy material has m = 5m o , e = 2 e o . The phase


constant is 10 rad m at 5 MHz.
4. The phasor magnetic field intensity for a 400 MHz
uniform plane wave propagating in a certain lossless 8. The loss tangent is
- j18 x
material is ( 6 u y - j5 u z ) e A m . The phase velocity (A) 2913 (B) 1823
vp is (C) 2468 (D) 1374
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
495
For E-books/Materials/Notes-PDFs|PPTs
UNIT 8 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Electromagnetics

9. The attenuation constant a is 16. A 60 m long aluminium ( s = 35


. 10 7 S m,
(A) 4.43 (B) 9.99 m r = 1, e 2 = 1) pipe with inner and outer radii 9 mm and
(C) 5.57 (D) None of the above 12 mm carries a total current of 16 sin (106 pt) A. The
effective resistance of the pipe is
Statement for Q.1011: (A) 0.19 W (B) 3.48 W
At 50 MHz a lossy dielectric material is (C) 1.46 W (D) 2.43 W
characterized by m = 2.1m o , e = 3.6 e o and s = 0.08 S m.
The electric field is Es = 6 e - jgx u z V m. 17. Silver plated brass wave guide is operating at 12
GHz. If at least the thickness of silver ( s = 6.1 10 7 S m,
10. The propagation constant g is m r = e r = 1) is 5d, the minimum thickness required for
(A) 7.43 + j2.46 per meter wave-guide is
(B) 2.46 + j7.43 per meter (A) 6.41 mm (B) 3.86 mm

(C) 6.13 + j5.41 per meter (C) 5.21 mm (D) 2.94 mm

(D) 5.41 + j 6.13 per meter


Statement for Q.1819:

11. The impedance h is A uniform plane wave in a lossy nonmagnetic


(A) 101.4 W (B) 167.4 W media has
(C) 98.3 W (D) 67.3 W Es = (5 u x + 12 u y) e - gz , g = 0.2 + j 3.4 m -1

Statement for Q.1213: 18. The magnitude of the wave at z = 4 m and t = T 8


A non magnetic medium has an intrinsic is
impedance 360 30 W. (A) 10.34 (B) 5.66
(C) 4.36 (D) 12.60
12. The loss tangent is
(A) 0.866 (B) 0.5 19. The loss suffered by the wave in the interval
(C) 1.732 (D) 0.577 0 < z < 3 m is
(A) 4.12 dB (B) 8.24 dB
13. The Dielectric constant is (C) 10.42 dB (D) 5.21 dB
(A) 1.634 (B) 1.234
(C) 0.936 (D) 0.548 Statement for Q.2022:
The plane wave E = 42 cos ( wt - z) u x V m in air
Statement for Q.1415: normally hits a lossless medium (m r = 1, e r = 4) at z = 0.
The amplitude of a wave traveling through a lossy
nonmagnetic medium reduces by 18% every meter. The 20. The SWR s is

wave operates at 10 MHz and the electric field leads the (A) 2 (B) 1

magnetic field by 24. 1


(C) (D) None of the above
2
14. The propagation constant is
21. The transmission coefficient t is
(A) 0.198 + j0.448 per meter
2 4
(B) 0.346 + j0.713 per meter (A) (B)
3 3
(C) 0.448 + j0.198 per meter 1
(C) (D) 3
(D) 0.713 + j0.346 per meter 3

15. The skin depth is 22. The reflected electric field is


(A) 2.52 m (B) 5.05 m (A) -14 cos ( wt - z) u x V m

(C) 8.46 m (D) 4.23 m (B) -14 cos ( wt + z) u x V m


www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
496
For E-books/Materials/Notes-PDFs|PPTs
UNIT 8 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Electromagnetics

33. The region z < 0 is characterized by e r = m r = 1 and Region 2 (0 < z < 6 cm): m 2 = 2 mH m, e 2 = 25 pF m
s = 0. The total electric field here is given Region 3 (z > 6 cm): m 3 = 4 mH m, e 3 = 10 pF m
Es = 150 e - j10 z u x + 50 20 e j10 z u x V m. The intrinsic
impedance of the region z > 0 is 39. The lowest frequency, at which a uniform plane
(A) 692 + j176 W (B) 193 - j 49 W wave incident from region 1 onto the boundary at z = 0
(C) 176 + j 692 W (D) 49 - j193 W will have no reflection, is
(A) 2.96 GHz (B) 4.38 GHz
Statement for Q.3435: (C) 1.18 GHz (D) 590 MHz
Region 1, z < 0 and region 2, z > 0, are both perfect
40. If frequency is 50 MHz, the SWR in region 1 is
dielectrics. A uniform plane wave traveling in the u z
(A) 0.64 (B) 1.27
direction has a frequency of 3 1010 rad s. Its
wavelength in the two region are l1 = 5 cm and l2 = 3 (C) 2.38 (D) 4.16
cm.
41. A uniform plane wave in air is normally incident
34. On the boundary the reflected energy is onto a lossless dielectric plate of thickness l 8 , and of
(A) 6.25% (B) 12.5% intrinsic impedance h = 260 W. The SWR in front of the
plate is
(C) 25% (D) 50%
(A) 1.12 (B) 1.34
35. The SWR is (C) 1.70 (D) 1.93
(A) 1.67 (B) 0.6
42. The E-field of a uniform plane wave propagating in
(C) 2 (D) 1.16
a dielectric medium is given by
36. A uniform plane wave is incident from region 1 z 8 z
E = 2 cos 108 t - u x - sin 10 t - uy V m
(m r = 1, s = 0) to free space. If the amplitude of incident 3 3
wave is one-half that of reflected wave in region, then
The dielectric constant of medium is
the value of e r is
(A) 3 (B) 9
(A) 4 (B) 3
(C) 6 (D) 6
(C) 16 (D) 9
43. An electromagnetic wave from an under water
37. A 150 MHz uniform plane wave is normally incident
source with perpendicular polarization is incident on a
from air onto a material. Measurements yield a SWR of
water-air interface at angle 20 with normal to surface.
3 and the appearance of an electric field minimum at
For water assume e r = 81, m r = 1. The critical angle q c is
0.3l in front of the interface. The impedance of material
(A) 83.62 (B) 6.38
is
(C) 42.6 (D) None of the above
(A) 502 - j 641 W (B) 641 - j502 W
(C) 641 + j502 W (D) 502 + j 641 W ***********

38. A plane wave is normally incident from air onto a


semi-infinite slab of perfect dielectric ( e r = 3.45). The
fraction of transmitted power is
(A) 0.91 (B) 0.3
(C) 0.7 (D) 0.49

Statement for Q.3940:

Consider three lossless region :


Region 1 (z < 0): m 1 = 4 mH m, e1 = 10 pF m
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
498
ForElectromagnetic
E-books/Materials/Notes-PDFs|PPTs
Wave Propagation Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 8.5

s
8. (B) Loss tangent =x
SOLUTIONS we

me
2
s
1. (A) w = 2 p 10 8
rad s b=w 1+ + 1
2 we

w 2 p 10 2p 8
b= = = rad m
c 3 108
2p
3 10 =
2 p 5 106
3 108
52
2
[ 1+ x 2
+1]
-j x
Es = 6 e 3
u y mV m s
x= = 1823
uE u H = ux , u y u H = ux , u H = uz we
2p 2p
6 -j x -j x
Hs = e 3
uz = 16 e 3
uz m A m
120 p a 1 + x2 - 1
9. (B) =
b 1 + x2 + 1
2. (B) For conducting plane G = -1,
a 1822
j
2p
x =
Er = -6 e 3
u y mV m, b 1824
- j 2 px 2p
-j x a = 10 0.999 = 9.99
E = Ei + Er = 6 e 3 u y - 6 e 3 u y mV m

me
2
2p s
= - j12 sin x u y mV m 10. (D) a = w 1+ - 1
3 2 we

s 0.08
3. (B) The electric field vanish at the surface of the = =8
we 3.6 50 106 2 pe o
conducting plane at x = 0. In air the first null occur at
2 p 50 106 (2.1)( 3.6)
l p 3 a= ( 65 - 1) = 5.41
x =- 1 =- =- m 3 108 2
2 b1 2
me
2
s
b=w 1+ + 1
w 2 p 400 10 6
2 we
4. (C) vp = = = 1.4 108 m s
b 18
2 p 50 106 (2.1)( 3.6)
= ( 65 + 1) = 6.13
5. (C) The wave is propagating in forward x direction. 3 108 2
Therefore u E u H = u x . g = a + jb = 5.41 + j 6.13 per meter.
For u E = u z , u z u H = u x uH = - uy
m 2.1
For u E = u y , u y u H = u x u H = u z 120 p
11. (A) |h| = e = 3.6 = 101.4
1
Hs = ( -2 u y + 3u z ) e - jbx = ( -5.3u y + 8 u z ) e - jbx mA m 1 1

120 p 2

1 + s
4
64 4

we

1
6. (B) Pavg = Re {Es H *s }
2
s
1 12. (C) = tan 2 q n = tan 60 = 1732
.
{(5.3) u x + 3( 8) u x } 10 -3 = 17.3u x mW m 2 we
2
m
7. (D) Since Pointing vector is in the positive x
13. (D) |h| = e
direction, therefore u E u H = u x . 1

2 4
For u E = u y , u y u H = u x u H = uz 1 + s
we
For u E = u z , u z u H = u x u H = -u y ,
12 120p
Hs = ( u z - ju y) e - j 15x
ho er
360 = 1
e r = 0.548
(1 + 1732
. 2 4
)

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
499
For E-books/Materials/Notes-PDFs|PPTs
UNIT 8 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Electromagnetics

14. (A) ,E, = Eo e - az ho


- ho
h2 - h1 1
Eo e - a1 = (1 - 0.18) Eo G= = 2 =-
h2 + h1 ho 3
+ ho
1 2
e - a1 = 0.82 a = ln = 0.198
0.82 1
s 1 + |G| 1 + 3
q n = 24 tan 2 q n = = 1111
. s= = =2
we 1 - |G| 1 - 1
2 3
s
1+ -1
a we h
= 2 o
b 2 2h2 2 =2
s 21. (A) t = =
1+ +1 h2 + h1 ho + 2 3
we 2
0.198 234 - 1
= b = 0.448 1
b 234 + 1 22. (A) Eor = GEoi = - ( 42) = -14
3
g = a + jb = 0.198 + j0.448 Er = - 14 cos ( wt - z) u x V m

1 1
15. (B) d = = = 5.05 m ho ho
a 0.198 23. (C) h1 = ho , h2 = = =
e er 2

16. (A) w = p106 f = 5 10 5 Hz, ho


- ho
h2 - h1 1
1 1 G= = 2 =-
d= = = 120 mm h2 + h1 1 ho 3
pfsm + ho
p 5 10 35
. 10 7 m o
5
2
l
Rac =
sdw mr mr
24. (D) h1 = ho , h2 = ho = ho
Since d is very small, w = 2pr outer er 12.5
60 Eor h - h1
Rac = = 0.19 W =G = 2
. 10 120 10 -6 2 p 12 10 -3
35 7
Eoi h2 + h1
But Eor = h1 H or = GEoi
5
17. (D) t = 5 d = h - h1 h - h1 18
pfms h1 H or = 2 Eoi h1 = 2 -3
h2 + h1 h2 + h1 6 10
5
= = 2.94 mm h - ho
p 12 10 m o 6.1 10 7
9
h1 = ho ho = 2 3000
h2 + ho

18. (B) E = Re{E s e jwt } = (5 u x + 12 u y) e -0 .2 z cos ( wt - 3.4 z) 377 h - 377 mr


= 2 h2 = 485.37 = ho
3000 h 2 + 377 er
T
At z = 4 m, t =
8 e r = 12.5, m r = 20.75
p
E = (5 u x + 12 u y) e -0 .8 cos - 13.6 m r ho
4 25. (A) h1 = ho , h2 = ho =
er 2
p
|E|= 13e -0 .8 cos - 13.6 = 5.66 h2 - h1 1
4 G= =-
h2 + h1 3
19. (D) Loss = aDz = 0.2 3 = 0.6 Np 1 10
Eor = - (10) = -
3 3
1 Np = 8.686 DB, 0.6 Np = 5. 21 dB.
Eor 10
H or = = = 8.8 10 -3
ho 3 377
mr h
20. (A) h1 = ho , h2 = ho = o uE u H = uk , -u y u H = -u z u H = -u x
er 2
H r = -8.8 cos ( wt - z) u x mA m
w w
b =1 = = m r er
v c
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
500
ForElectromagnetic
E-books/Materials/Notes-PDFs|PPTs
Wave Propagation Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 8.5

3 108 Er 50 20 e j 20
w= = 0.5 108 rad s. 33.(A) G = = =
12 3 Ei 150 3
h2 - h1
G= , h1 = ho ,
m r ho h2 + h1
26. (D) h1 = ho , h2 = ho = = 0.58ho
er 3
e j 20
h - h1 0.58ho - ho 1 +
1 + G 3 = 692 + j176 W
G= 2 = = -0. 266 h2 = ho = 377
h2 + h1 0.58h o + h o 1-G e j 20
1-
t = 1 + G = 0.734, Eot = tEoi = 7.34 3
Et = 7.34 cos ( wt - z) u y V m 2 2 2
2 pc 2 pc e r1 l2
34. (A) e r1 = , e r 2 = =
27. (B) ETotal = Ei + Er , Eor = GEoi = -2.66 l1 w l2 w e r 2 l1
ETotal = 10 cos ( wt - z) u y - 2.66 cos ( wt + z) u y V m ho ho e r1 l2
- -1 -1
h2 - h1 er 2 e r1 er 2 l
G= = = = 1
28. (B) m o = m 1 = m 2 h2 + h1 ho ho e r1 l2
+ +1 +1
er 2 e r1 er 2 l1
eo 1
sin q t1 = sin q i sin q t1 = sin 45 = 0.333
e1 4.5 l2 - l1 3 - 5 1
G= = =-
q t1 = 19.47 l2 + l1 3 + 5 4
The fraction of the incident energy that is reflected is
e 4.5 1
29. (B) sin q t 2 = 1 sin q t1 = (0.333) = 0.47 G2 = = 6.25%.
e2 2.25 16
q t2 = sin -1 0.47 = 28
1
1 + |G| 1+
35. (A) s = = 4 = 5
30. (A) Since both media are non magnetic 1 - |G| 1 3
1-
e1 2.6 e o 4
tan q B = = = 2.6
e2 eo
h1 h mr h
But cos q t = cos q B = o cos 58.2 = 2.6 cos 58.2 36. (D) h2 = ho , h1 = ho = o
h2 ho er er
2.6
q t = 31.8 Ei 1 h2 - h1
G= = =
Er 2 h2 + h1
mr h ho
31. (A) h1 = ho , h2 = ho = o = 0.447ho ho -
er 5 er 1
= er = 9
h - h1 ho 2
G= 2 = - 0.38, t = 1 + G = 0.62 ho +
h2 + h1 er
Et = tEi = 92.7 cos ( wt - 8 y) u z V m
( f + p)
37. (C) At minimum = 0.3l ,
32. (B) |G| = 0.2 ,
2
G = 0.447 2b
2p
m r2 m r1 m r2 m r1 b= f = 0.2 p
ho - ho - l
h2 - h1 er 2 e r1 m r32 m 3r1 m r1 - m r 2
G= = = = s -1 3 -1 1
h2 + h1 m r2 m r1 m r2 m r1 m r1 + m r 2 |G| = = =
ho + ho + s+1 3+1 2
er 2 e r1 m r32 m r31
h - ho
G = 0.5 e j 0 .2 p = 2
m r 2 1 + 0.447 h2 + ho
= = 0.382, 2.62
m r1 1 0.447
1 + 0.5 e j 0 .2 p
3 h2 = ho = 641 + j502 W
j 0 .2 p
e r1 m r 2 1 - 0.5 e
= = 0.056, 17.9
e r 2 m r1

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
501
For E-books/Materials/Notes-PDFs|PPTs
UNIT 8 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Electromagnetics

mr ho 3 108 108
38. (A) h1 = ho , h2 = ho = = e r = 3.
er 3.45 er 1 3
ho
- ho
h - h1 3.45 er 2 1
G= 2 = = -0.3 43. (B) q c = sin -1 = sin -1 = 6.38
h2 + h1 ho e r1 81
+ ho
3.45 ********

The transmitted fraction is 1 - |G| = 1 - 0.09 = 0.91.


2

39. (C) This frequency gives the condition b2 d = p


Where d = 6 cm, b2 = w m 2 e 2
p
w m 2 e2 =
0.06
1
f = = 118
. GHz
2 0.06 2 10 -6 25 10 -12

40. (B) At 50 MHz,

b2 = w m 2 e2 = 2 p 50 10 6 2 10 -6 25 10 -12 = 2. 2
b2 d = 2.22(0.06) = 0.133
m1 4 10 -6
h1 = = = 632 W
e1 10 -11
h3 = 632 W
m2 2 10 -6
h2 = = = 283 W
e2 25 10 -12
The input impedance at the first interface is
h + jh2 tan (b2 d) 632 + j283(0.134)
hin = h2 3 = 283
h2 + jh3 tan (b2 d) 283 + j 632(0.134)
= 590 - j138
h - h1 590 - j138 - 632
G = in = = 0.12 - 100.5
h in + h1 590 - j138 + 632
1 + |G| 1 + 0.12
s= = = 1.27
1 - |G| 1 - 0.12

2p l p p
41. (C) bd = = , tan =1
l 8 4 4
h2 = 260, h1 = h3 = ho
h + jh2 tan (b2 d) 377 + j260
hin = h2 3 = 260
h
2 + jh 3 tan (b 2 d ) 260 + j 377
= 243 - j92 W
h - ho 243 - j92 - 377
G = in = = 0.26 - 137
hin + ho 243 - j92 + 377
1 + |G| .
126
s= = = 170
.
1 - |G| 0.74

1 c w
42. (A) w = 108 rad s, b = rad m, v = =
3 er b
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
502
For E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com

CHAPTER

8.7
WAVEGUIDES

Statement for Q.13: Statement for Q.67:

A 2 cm by 3 cm rectangular waveguide is filled In an air-filled rectangular waveguide the cutoff


with a dielectric material with  r  6. The waveguide is frequencies for TM11 and TE03 modes are both equal to
operating at 20 GHz with TM11 mode. 12 GHz.

1. The cutoff frequency is 6. The dominant mode is


(A) 3.68 GHz (B) 22.09 GHz (A) TM10 (B) TM 01
(C) 9.02 GHz (D) 16.04 GHz (C) TE01 (D) TE10

2. The phase constant is


7. At dominant mode the cutoff frequency is
(A) 816 rad m (B) 412 rad m
(A) 11.4 GHz (B) 4 GHz
(C) 1009 rad m (D) 168 rad m
(C) 5 GHz (D) 8 GHz
3. The phase velocity is
(A) 1.24  108 m s .  106 m s
(B) 154 8. For an air-filled rectangular waveguide given that
.  10 m s
(C) 305 8
(D) 7.48  10 m s
8
 2 x   3 y 
Ez  10 sin 
sin 
cos (10 t z) V m
12

 a  b
4. In an an-filled rectangular wave guide, the cutoff
frequency of a TE10 mode is 5 GHz where as that of TE01 If the waveguide has cross-sectional dimension
mode is 12 GHz. The dimensions of the guide is a  6 cm and b  3 cm, then the intrinsic impedance of
(A) 3 cm by 1.25 cm (B) 1.25 cm by 3 cm this mode is
(C) 6 cm by 2.5 cm (D) 2.5 cm by 6 cm (A) 373.2  (B) 378.9 

(C) 375.1  (D) 380.0 


5. Consider a 150 m long air-filled hollow rectangular
waveguide with cutoff frequency 6.5 GHz. If a short
pulse of 7.2 GHz is introduced into the input end of the Statement for Q.910:

guide, the time taken by the pulse to return the input In an air-filled waveguide, a TE mode operating at
end is 6 GHz has
(A) 920 ns (B) 460 ns  2 x   y 
E y  15 sin 
cos 
sin ( t 12 z) V m
(C) 230 ns (D) 430 ns  a  b
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
511
For E-books/Materials/Notes-PDFs|PPTs
UNIT 8 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Electromagnetics

9. The cutoff frequency is 16. The cross section of a waveguide is shown in fig.
(A) 4.189 GHz (B) 5.973 GHz P8.7.16. It has dielectric discontinuity as shown in fig.
(C) 8.438 GHz (D) 7.946 GHz P8.7.16. If the guide operate at 8 GHz in the dominant
mode, the standing wave ratio is
y
10. The intrinsic impedance is
(A) 35.72  (B) 3978 
2.5 cm
(C) 1989  (D) 71.44 
,  , 2.25

x z
Statement for Q.1112. 5 cm

Consider an air-filled rectangular wave guide with Fig. P8.7.16

a  2.286 cm and b  1016


. cm. The y-component of the (A) 3.911 (B) 2.468
TE mode is (C) 1.564 (D) 4.389
 2 x   3 y 
E y  12 sin 
cos 
sin (10  10 t z) V m
10

 a  b Statement for Q.1719:


Consider the rectangular cavity as shown in fig.
11. The propagation constant  is P8.7.1719.
(A) j4094.2 (B) j400.7 y

(C) j2733.3 (D) j276.4


z
12. The intrinsic impedance is
b c
(A) 743  (B) 168 
(C) 986  (D) 144 
x
a 0

Fig. P8.7.1719
Statement for Q.1314:

Consider a air-filled waveguide operating in the 17. If a  b  c, the dominant mode is


TE12 mode at a frequency 20% higher than the cutoff (A) TE011 (B) TM110
frequency.
(C) TE101 (D) TM101

13. The phase velocity is


18. If a  b  c, then the dominant mode is
(A) 1.66  108 m s (B) 5.42  108 m s
(A) TE011 (B) TM110
(C) 2.46  108 m s (D) 9.43  108 m s
(C) TE101 (D) TM101

14. The group velocity is


(A) 1.66  108 m s (B) 4.42  108 m s 19. If a  c  b, then the dominant mode is
(A) TE011 (B) TM110
(C) 2.46  108 m s (D) 9.43  108 m s
(C) TE101 (D) TM101
15. A rectangular waveguide is filled with a
polyethylene (  r  2.25) and operates at 24 GHz. The 20. The air filled cavity resonator has dimension a  3
cutoff frequency of a certain mode is 16 GHz. The cm, b  2 cm, c  4 cm. The resonant frequency for the
intrinsic impedance of this mode is TM110 mode is
(A) 2248  (B) 337.2  (A) 5 GHz (B) 6.4 GHz

(C) 421.4  (D) 632.2  (C) 16.2 GHz (D) 9 GHz


www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
512
For E-books/Materials/Notes-PDFs|PPTs
UNIT 8 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Electromagnetics

frequency, the TM1 mode propagates through the guide


without suffering any reflective loss at the dielectric SOLUTIONS
interface. This frequency is
2 2
v m  n
1. (A) fc  


r1 = 4 Incident wave r2 = 2.1 1 cm


2  a  b
2 2
3  108 1 1
z  
 
 3.68 GHz
2 6  10 2 2  3
Fig. P8.7.34
(A) 8.6 GHz (B) 12.8 GHz
2 2
(C) 4.3 GHz (D) 7.5 GHz f   f 
2. (C) p  1  c

 1  c

f
 v  f
Statement for Q.3536: 2
2  20  10 9 6  3.68 
 p  1 
 1009 rad m
A 6 cm 4 cm rectangular wave guide is filled with 3  108  20
dielectric of refractive index 1.25.
 2  20  10 9
35. The range of frequencies over which single mode 3. (A) vp    1.24  108 m s
p 1009
operation will occur is
(A) 2.24 GHz  f  3.33 GHz v
4. (A) For TE10 mode fc  ,
(B) 2 GHz  f  3 GHz 2a
v 3  108
(C) 4.48 GHz  f 7.70 GHz a   3 cm
2 fc 2  5  10 9
(D) 4 GHz  f  6 GHz
v
For TE01 mode fc  ,
2b
36. The range of frequencies, over which guide support
v 3  108
both TE10 and TE01 modes and no other, is b   1.25 cm
2 fc 2  12  10 9
(A) 3.35 GHz  f  GHz

(B) 2.5 GHz  f  3.6 GHz c 3  108


5. (D) v    6.975  108 ms
2 2
(C) 3 GHz  f  3.6 GHz f   6.5 
1  c

1 

 f  7.2
(D) 2.5 GHz  f  4.02 GHz
2l 2  150
t   430 ns
37. Two identical rectangular waveguide are joined end v 6.975  108
to end where a  2 b. One guide is air filled and other is
2
filled with a lossless dielectric of  r . it is found that up 3  108  3
6. (C) 12  10 9  0 
 b  375
. cm
to a certain frequency single mode operation can be 2  b
simultaneously ensured in both guide. For this 3  108  1   1
2

2

.  10 9 
12 

 a  1.32 cm
frequency range, the maximum allowable value of  r is 2 a .  10 2
 375
(A) 4 (B) 2
Since a  b, the dominant mode is TE01 .
(C) 1 (D) 6
v 3  108
7. (B) fc 01    4 GHz
38. A parallel-plate guide operates in the TEM mode .  10 2
2 b 2  375
only over the frequency range 0  f  3 GHz. The
dielectric between the plates is teflon (  r  2.1). The 8. (C) Ez  0, this must be TM 23 mode ( m  2, n  3)
maximum allowable plate separation b is 2 2
3  108 2   3
(A) 3.4 cm (B) 6.8 cm fc  
 
 15.81 GHz
2  10 2 6  3
(C) 4.3 cm (D) 8.6 cm  1012
f    159.2 GHz
************* 2 2
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
514
ForWaveguides
E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 8.7

2 2  377
f   15.81  1    406.7 
TM  377 1  c

 377 1 
 375.1  2 2
f
  159.2 f   3
1  c

1 

 f 8
9. (B) m  2, n  1, p  12, f  6 GHz In dielectric medium
2 2 c 3  108
 f  2  6  10 9 f  fc    2 GHz
p  1  c

 12  1  c
2 a r 2  0.05 2.25
v  f 3  10 8
6
 377 251.33
 fc  5.973 GHz    251.33 , 2   259.23 
r 2.25 2 
2

1 

377 377 8
10. (B) TE    3978 
2 2
f   5.973  2 1 259.23 406.7
1  c

1 
   0.22
 f  6 2  1 259.23  406.7
1  || 1  0.22
s   1564
.
11. (B) m  2, n  3, 1 || 1 0.22
2 2 2 2
c m  n 3  108  2   3 
fc  

 

 
v m  n  p
2 2 2

2  a  b 2  10 2  2. 286  1016
. 17. (A) fr  



2  a 
b c
 46.2 GHz
where for TM mode to z
10  1010
f   50 GHz m  1, 2, 3...... ,
2
2 2 n  1, 2, 3...... ,
 f  2  50  10 9  46.2 
p  1  c

 1 
p  0, 1, 2 ......
v  f 3  108  50
For TE mode to z
 400.7 m 1 ,   j p  j 400.7
m  1, 2, 3.....,
377 377 n  1, 2, 3.....
12. (C) TE    986 
f 
2
 46.2 
2
p  1, 2, 3...... ,
1  c

1 

 f  50 1 1 1
if a  b  c, then  
a b c
13. (A) v  c, f  1.2 fc The lowest TM mode is TM110 with
2 2
v 1 1
fr1  


v 3  10 8
2 a  b
vp    5. 42  108 m s
2 2
f   f  The lowest TE mode is TE011 with
1  c

1  c

 f  1.2 f c 2 2
v 1 1
fr 2  


2 2
2  b  c
f   f 
14. (A) v g  v 1  c

 c 1  c

 1.66  108 m s fr 2  fr1 , Hence the dominant mode is TE011


 f . fc
 12
1 1 1
377 377 18. (B) If a  b  c then  
15. (B)     251.33  a b c
r .
15
The lowest TM mode is TM110 with
 251.33
TE    337.2  v 1 1
2 2

f 
2
 16 
2
fr1  


1  c

1 
2 a  b
 f  24
The lowest TE mode is TE101 with
2 2
16. (C) Since a  b, the dominant mode is TE10 . v 1 1
fr 2  


2 a  c
c 3  108
In free space fc    3 GHz
2 a 2  0.05 fr 2  fr1 Hence the dominant mode is TM110 .
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
515
For E-books/Materials/Notes-PDFs|PPTs
UNIT 8 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Electromagnetics

1 1 1 Mode TE10 TE01 TE11 TE20


19. (C) If a  c  b, then  
a c b
c (cm) 14.4 6.8 6.15 7.21
The lowest TM mode is TM110 with
2 2
v 1 1
fr1  

  c . Hence TE10 mode can be used.
2 a  b
The lowest TE mode is TE101 with 26. (C) Let a  kb , 1  k  2
2 2
v 1 1 2 2
fr 2  

c m  n .  108
15
2 a  c fcmn  

 m 2  k2 n2
2  a  b a
fr2  fr1 Hence the dominant mode is TE101 .
.  108
15
Dominant mode is TE10 , fc10 
2 2 2
a
v m  n  p
20. (D) fr  


.  15
12 .  108
2  a  b c 3 GHz  12
. fc  3  10 9 
a
2 2
3  108  1  1  a  6 cm
 
 
 9 GHz
2  0.01  3 2 .  108
15
The next higher mode is TE01 , fc 01  ,
b
21. (A) m  n  1, p  0, a  b  c, fr  2 Ghz, 0.8  15
.  108
3 GHz  0.8 fc 01  3  10 9 
2 2 2 b
v m  n  p
fr  


 b  4 cm, Thus (C) is correct option.
2  a  b c
3  108 2 c 3  108
2  10 9   a  10.6 cm 27. (C) fc    2.3 GHz
2 a 2 a 2  0.065

mc 2  3  108 c 3  108
22. (A) fc    10  10 9
 r  9 vp    4.7  108 m s
2 2
2 b r 2  0.01  r f   2.3 
1  c

1 

 f  3

23. (A) For a propagating mode f  fcm ,


28. (B) For TE10 mode
mc mc 2 fb  r
fcm  , f   m
2 b r 2 b r c 1 f  9  10 9  4  10 7
Rs     0.0568
c  c .  10 7
11
2  30  10 9  0.01 2.5
m  m  316
.
3  108  2 b  fc  

2
 .  3.876  

2  15
2

Rs  1  

0.0568 1  

 a  f
 2.4  9

The maximum allowed m is 3. The propagating mode c    
2 2
will be TM1 , TE1 , TM 2 , TE2 , TM 3 , TE3 and TEM f   3.876 
b 1  c

.  10 2  233.8 1 
15

Thus total 7 modes.  f  9

 0.022
mc
24. (B) fcm  , fc 2  2 fc1  15 GHz
2 b r d 10 15 10 15
29. (B)  
c 3  108  2  9  10 9  2.6  8.85  10 12 1.3
f    20 GHz
 0.015
d 1 c 377
2 2  1, hence v   ,   233.8
f   15    2.6 2.6
vg2  c 1  c

 3  108 1 
 2  10 m s
8

 f  20
s 3  108
fc   3.876 GHz
2  2.4  10 2 2.6
2 2
c m  n 2
25. (A) fc  
 
, c  d 10 15  233.8
2  a 
b m
2
 n
2 d    1.3  10 13 Np m



2 2
f   3.876 
 a  b 1  c

2 1 

 f  9
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
516
ForWaveguides
E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 8.7

2 2 2 2
30. (D) Dominant mode is TE10 mode  m   n      2  1.5  1.875 
 
 

 


c 3 108  a  b   0.08  3  108


fc = = = 2.08 GHz
2 a 2 0.072  j439
.
7
f  3 10 4 109
Rs = =  1.429  10 2  34. (B) The ray angle is such that the wave is interface
c 5.8 10 7
2.1
For TE10 mode at Brewsters angle B  tan 1  35.9 !.
4
 2 b  fc  

Rs  1  

2  2  3.4  2.08   The ray angle  90 ! 35.9 !  54.1!


 a  f
1.429  10  1  7.2  3

3  1010
c     c
fc1    7.5 GHz
f 
2
 2.08 
2
2 b  r1 2  1 2
b 1  c

377  0.034 1 

 f  3 fc1 7.5
f    12.8 GHz
3 cos cos 54.1!
 2.25  10 Np m
1 1
e  c z   z ln 2  308 m c m
2
 n
2
2 c 35. (A) fc  


2 r  a  b
31. (B) c 3  108
fc10    2 GHz
2 2 2 2 a2  r 2  125
.  0.06
c m  n 3  108  m   n 
fcmn  

 


2  a  b 2  0.01  8  10 c 3  108
fc 01    3 GHz
2 2 b2  r 2  125
.  0.04
m  n 
 15 
 
GHz
 8  10 2 GHz  f  3 GHz

fc10  1.875 GHz 2 2


3  108 1 1
fc 01  15
. GHz, fc11  2.4 GHz 36. (C) fc11  
 
 3.6 GHz
.  10 2
2  125 
6 4
fc 20  375
. GHz , fc 02  3 GHz,
3 GHz  f  3.6 GHz
fc 21  4.04 GHz, fc12  354
. GHz,
fc 30  5.625 GHz , fc 03  4.5 GHz 2 2
c m  n
If fc  f , then mode will be transmit. Hence six mode 37. (A) fc  
 
, In guide 1  r  1
2 r  2b  b
will be transmitted.
c
lowest cutoff frequency fc10 
2(2 b)
32. (C) For dominant mode (m  1, n  0)
c
2 2 Next lowest cutoff frequency fc 20 
c m  n 3  108 2b
fc  

  375
. GHz
2  a  b 2  0.04 c
In guide 2 lowest cutoff frequency fc"10 
Since given frequency is below the cutoff frequency, 3 2  r 2 (2 b)
GHz will not be propagated and get attenuated c
Next lowest cutoff frequency fc"20 
2 2 2 2  r 2 ( b)
 m   n    
    j  



 a  b  For single mode fc"10  f  fc"10


 0, Since wave is attenuated, c c
 f   r  4
2 2 2 2 2(2 b) 2  r ( b)
 m        2  3  10
9

 

 


 47.1
 0.04  3  10
8
 a 
c v 3  108
38. (A) f  fc  f  
2 b 2  b  2.1
2 2
c m  n
33. (B) fc  

3  108
2  a  b  3  10 9   b  3.4 cm
2  b  2.1
c 3  108
fc10    1.875 GHz
2 a 2  0.08 *******************
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
517
For E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com

CHAPTER

8.8
ANTENNAS

1. A Hertizian dipole at the origin in free space has 5. The time-average poynting vector at 50 km is
dl  10 cm and I = 20 cos (2 p 10 t) A. The | E| at the
7
(A) 6.36u r mW m 2 (B) 4.78u r mW m 2
distant point (100, 0, 0) is (C) 9.55u r mW m 2 (D) 12.73u r mW m 2
(A) 0.252 V m (B) 0.126 V m
(C) 0.04 V m (D) 0.08 V m 6. The maximum electric field at that location is
(A) 24 mV m (B) 85 mV m
Statement for Q.23: (C) 109 mV m (D) 12 mV m
A 25 A source operating at 300 MHz feeds a
7. In free space, an antenna has a far-zone field given
Hertizian dipole of length 4 mm situated at the origin.
Consider the point P(10, 30, 90). by E = 1
r
10 sin 2 q e - jbr u q V m. The radiated power is
(A) 0.23 W (B) 0.89 W
2. The H at point P is (C) 1.68 W (D) 1.23 W
(A) j0.25 mA m (B) 94.25 mA m
(C) j0.5 mA m (D) 188.5 mA m 8. At the far field, an antenna produces
Pave = 1
r2
cos q cos f u r W m , where2
0<q<p and
3. The E at point P is 0 < f < . The directive gain of the antenna is
p
2

(A) j0.25 mV m (B) j0.5 mV m (A) cos q cos f (B) 2 sin q cos f
(C) j94.25 mV m (D) j188.5 mV m (C) 8 cos q sin f (D) 8 sin q cos f

4. An antenna can be modeled as an electric dipole of Statement for Q.910:


length 4 m at 3 MHz. If current is uniform over its The radiation intensity of antennas has been
length, then radiation resistance of the antenna is given. Determine the directivity of antenna.
(A) 1.974 W (B) 1.263 W
(C) 2.186 W (D) 2.693 W 9. U( q, f) = sin 2 q, 0 < q < p , 0 < f < 2p
(A) 1.875 (B) 2.468
Statement for Q.56: (C) 3.943 (D) 6.743
A antenna located on the surface of a flat earth
transmit an average power of 150 kW. Assume that all 10. U( q, f) = 4 sin 2 q sin 2 f , 0 < q < p, 0 <f<p

the power is radiated uniformly over the surface of (A) 15 (B) 12


hemisphere with the antenna at the center. (C) 3 (D) 6
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
518
ForAntennas
E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 8.8

11. The radiation intensity of a antenna is given by 19. Two identical antenna separated by 12 m are
U( q, f) = 8 sin q cos f , where 0 < q < p and 0 < f < p. The
2 2 oriented for maximum directive gain. At a frequency of
5 GHz, the power received by one is 30 dB down from
directive gain is
the transmitted by the other. The gain of antenna is
(A) 6 sin 2 q cos 2 f (B) 3 sin 2 q cos 2 f
(A) 22 dB (B) 16 dB
(C) 3 sin 2 f cos 2 q (D) 6 sin 2 f cos 2 q
(C) 19 dB (D) 13 dB
Statement for Q.1213:
Statement for Q.2021:
At the far field, an antenna radiates a field
An L-band pulse radar has common transmitting
0.4 cos 2 q - jbr and receiving antenna. The antenna having directive
Ef = e kV m
4 pr gain of 36 dB operates at 1.5 GHz and transmits 200
kW. The object is 120 km from the radar and its
12. The total radiated power is scattering cross section is 8 m 2 .
(A) 1.36 W (B) 2.14 W
(C) 0.844 W (D) 3.38 W 20. The magnitude of the incident electric field
intensity of the object is
13. The directive gain at q = p 3 is (A) 1.82 V m (B) 2.46 V m
(A) 0.3125 (B) 0.625 (C) 0.34 V m (D) 0.17 V m
(C) 1.963 (D) 3.927
21. The magnitude of the scattered electric field at the
14. An antenna has directivity of 100 and operates at radar is
150 MHz. The maximum effective aperture is (A) 18 mW (B) 12 mW
(A) 31.8 m 2 (B) 62.4 m 2 (C) 17 mW (D) 126 mW
(C) 26.4 m 2 (D) 13.2 m 2
22. A transmitting antenna with a 300 MHz carrier
15. Two half wave dipole antenna are operated at 100 frequency produces 2 kW of power. If both antennas has
MHz and separated by 1 km. If 100 W is transmitted by unity power gain, the power received by another
one, the power received by the other is (D = 1.68) antenna at a distance of 1 km is

(A) 12 mW (B) 10 mW (A) 11.8 mW (B) 18.4 mW


(C) 18 mW (D) 16 mW (C) 18.4 mW (D) 12.7 mW

16. The electric field strength impressed on a half wave 23. A bistatic radar system shown in fig. P8.7.23 has

dipole is 6 mV m at 60 MHz. The maximum power following parameters: f = 5 GHz, Gdt = 34 dB, Gdr =

received by the antenna is (D = 1.68) 22 dB. To obtain a return power of 8 pW the minimum

(A) 159 nW (B) 230 nW necessary radiated power is


Target s = 2.4m2
(C) 196 mW (D) 318 mW
Scattered wave
17. The power transmitted by a synchronous orbit Incident 4 km
wave
satellite antenna is 480 W. The antenna has a gain of
40 dB at 15 GHz. The earth station is located at
Transmitting
distance of 24, 567 km. If the antenna of earth station Receiving
antenna
3 km
has a gain of 32 dB, the power received is antenna
Fig. P8.7.23
(A) 32 pW (B) 3.2 fW (A) 1.394 kW (B) 2.046 kW
(C) 10.2 pW (D) 1.3 fW (C) 1.038 kW (D) 3.46 kW

18. The directive gain of an antenna is 36 dB. If the 24. The radiation resistance of an antenna is 63 W and
antenna radiates 15 kW at a distance of 60 km, the loss resistance 7 W. If antenna has power gain of 16,
time average power density at that distance is then directivity is
(A) 9.42 mW m 2 (B) 6.83 mW m 2 (A) 48.26 dB (B) 12.5 dB
(C) 1.32 mW m 2 (D) 10.46 mW m 2 (C) 38.96 dB (D) 24.7 dB
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
519
For E-books/Materials/Notes-PDFs|PPTs
UNIT 8 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Electromagnetics

25. An antenna is desired to operate on a frequency of


40 MHz whose quality factor is 50. The bandwidth of SOLUTIONS
antenna is
w 2 p 10 7 2 p
(A) 5.03 MHz (B) 800 kHz 1. (B) b = = =
(C) 127 kHz (D) None of the above c 3 108 30
hI obdl
At far field | Eq| = sin q
26. A thin dipole antenna is l 15 long. If its loss 4 pr
resistance is 1.2 W, the efficiency is h = 120 p = 377, I o = 20, dl = 10 cm
(A) 41.1% (B) 59% p
At (100 cm, 0, 0), q =
2
(C) 74.5% (D) 25.5%
120 p 20 0.1 2 p
| Eq| = = 0.126 V m
4 p 100 30
Statement for Q.2729:
An array comprises of two dipoles that are w 2 p 300 106
separated by the wavelength. The dipoles are fed by 2. (A) b = = = 2p
c 3 108
currents of the same magnitude and phase.
r = 10 m, q = 30 , f = 90
jI bdl
27. The array factor is At far field H = H f = o sin q e - jbr
4 pr
(A) 2 cos ( p cos q + 45 ) (B) 2 cos ( p sin q )
(C) 2 cos ( p sin q + 45 ) (D) 2 cos ( p cos q ) j(2.5)(2 p) ( 4 10 -3) - j 2 p10
Hf = e = j0.25 mA m
4 p(10)
28. The nulls of the pattern occur when q is
3. (C) E = Eq = hH f = 377 H f = j94.25 mV m
(A) 30, 150 (B) 60, 120
(C) 45, 135 (D) 0, 180
c 3 108
4. (B) l = = = 100
f 3 106
29. The maximum of the pattern occur at
dl 4 1 1
(A) q = 45 , 135 (B) q = 0, 90 , 180 = = <
l 100 25 10
(C) q = 30 , 150 (D) q = 60 , 150 2
dl 80 p2
Rrad = 80 p2 = = 1263
. W
30. An array comprises two dipoles that are separated l 625
by half wavelength. If the dipoles are fed by currents,
that are 180 out of phase with each other, then array 5. (C) Prad = Pave dS = Pave 2 pr 2
factor is Prad 150 10 3
Pave = = = 9.55 mW m 2
(A) sin ( 4p cos q + p
4) (B) cos ( 4p cos q + )p
2
2 pr 2 2 p(50 10 3) 2
(C) cos ( 2p cos q + 2p ) (D) sin ( 2p cos q + 2p ) P = 9.55 u r mW m 2

31. An antenna consists of 4 identical Hertizian dipoles ( Emax ) 2


6. (B) Pave = Emax = 2hPave
uniformly located along the zaxis and polarized in the 2h
z-direction. The spacing between the dipole is l / 4 . The Emax = 2 377 9.55 10 -6 = 85 mV m
group pattern function is
(A) 4 cos ( 4p cos q) cos ( 2p cos q) |E|2
7. (B) Pave =
(B) 4 cos ( 4p cos q) cos (8p cos q) 2h
(C) 4 cos ( 4p cos q) sin ( 2p cos q) Prad =
100 sin 2 2 q
sin q dq df
2h
(D) 4 cos ( 4p cos q) sin (8p cos q)
p
100
2 120 p 0
= (2 p)(2 sin q cos q) 2 sin q dq

p
10
3 0
= sin 3 q cos 2 qdq = 0.89 W
***********
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
520
For E-books/Materials/Notes-PDFs|PPTs
UNIT 8 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Electromagnetics

4 p(12) 1 2p
Gd   79.48  19 dB 27. (D) bd = l = 2p , a = 0
0.06 10 3 l
bd cos q + a
AF = 2 cos = 2 cos ( p cos q)= 2 cos ( p cos q)
20. (A) Gd  36 dB  3981 2
4 pr 2 Pi Gd Prad |E|2
Gd   Pi   28. (B) cos ( p cos q) = 0
Prad 4 pr 2 2h
p 3p
(240 p) Gd Prad p cos q = ,
 |E| 2 2
4 pr 2
1
cos q = q = 60 , 120
( 60)( 3981)(200 10 3) 2

(120 10 3) 2
d( AF )
= 1.82 V m 29. (B) Maxima occur when =0
dq

|Er|2 s |Ei| s sin ( p cos q) p sin q = 0 q = 0, 90 , 180


21. (B) |Es|= =
4 pr 2 r 4p
2p l
30. (B) bd = =p , a =p
1.82 8 l 2
= = 12 mW
120 10 3 4p bd cos q + a p p
AF = 2 cos = cos cos q +
2 4 2
c 3 108
22. (D) l = = =1 m
f 300 106 Nf
sin
l
2
1
2
31. (A) ( AF ) N = 2
Pr = Gdr Gdt P = (1)(1) 3
2000 = 12.7 mW y
4 pr 4 p10 sin
2

Gdr Gdt l
2 y = bd cos q + a , N = 4
23. (C) Pr = sPrad
4 p 4 pr1 r2
sin 4 x 2 sin 2 x cos 2 x
= = 4 cos x cos 2 x
sin x sin x
Gdt = 34 dB = 2512, Gdr = 22 dB = 158.5 2p l p y p
bd = = , a = 0, = cos q
r1 = 3 km, r2 = 32 + 4 2 = 5 km l 4 2 2 4
3 108 p p
l= = 0.06 m, Pr = 8 pW AF = 4 cos cos q cos cos q .
5 10 9 4 2
2
-12 (2512)(158.5) 0.06
8 10 = (2.4) Prad
4p 4 p( 3k)(5 k) ************
Prad = 1038
. kW

63
24. (B) Efficiency = = 0.9
63 + 7
Gain 16
D= = = 17.78 = 12.5 dB
Efficiency 0.9

f 40 106
25. (B) BW = = = 800 kHz
Q 50

2
dl
26. (C) Radiation resistance Rr = 80 p2
l
2
1
= 80 p2 = 351
. W
15
Rr .
351
Efficiency = = = 74.5 %
Rr + RL 351
. + 12
.
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
522
For E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com

CHAPTER

9.1
LINEAR ALGEBRA

 0 1 2  7. Every diagonal elements of a Hermitian matrix is


1. If A    1 0 3
is a singular matrix, then  is

(A) Purely real (B) 0
 2 2 

(C) Purely imaginary (D) 1
(A) 0 (B) 2
(C) 2 (D) 1 8. Every diagonal element of a SkewHermitian matrix
is
2. If A and B are square matrices of order 4 4 such (A) Purely real (B) 0
that A  5B and A  B , then is (C) Purely imaginary (D) 1
(A) 5 (B) 25
(C) 625 (D) None of these 9. If A is Hermitian, then iA is
(A) Symmetric (B) Skewsymmetric
3. If A and B are square matrices of the same order
(C) Hermitian (D) SkewHermitian
such that AB  A and BA  A , then A and B are both
(A) Singular (B) Idempotent 10. If A is SkewHermitian, then iA is
(C) Involutory (D) None of these (A) Symmetric (B) Skewsymmetric
(C) Hermitian (D) SkewHermitian.
5 8 0 
4. The matrix, A   3 5 0
is

 1  2 2
 1 2 1

11. If A   2 1  2
, then adj. A is equal to


(A) Idempotent (B) Involutory  2  2 1



(C) Singular (D) None of these
(A) A (B) c t
5. Every diagonal element of a skewsymmetric matrix (C) 3A t (D) 3A
is
(A) 1 (B) 0 1 2 
12. The inverse of the matrix 
is
(C) Purely real (D) None of these  3 5

 1 i
 5 2 5 3
(A)  (B) 
6. The matrix, A   1
1

2 2

is 3 2
 
i 1
2 2

(A) Orthogonal (B) Idempotent 5 2 
(C) 
(D) None of these
(C) Unitary (D) None of these  3 1
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
525
For E-books/Materials/Notes-PDFs|PPTs
UNIT 9 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Engineering Mathematics

1 0 0 19. The system equationsx  y  z  6, x  2 y  3z  10,


13. Let A  5 2 0
, then A 1 is equal to x  2 y  z  12 is inconsistent, if  is


3 1 2

(A) 3 (B) 3

 4 0 0  2 0 0 (C) 0 (D) None of these.


1 1
(A) 10 2 0
(B) 5 1 0

4
2
20. The system of equations 5 x  3 y  7 z  4,
 1 1 2
 1 1 2

3 x  26 y  2 z  9, 7 x  2 y  10 z  5 has
 1 0 0
(A) a unique solution
(C) 10 2 0
(D) None of these


 1 1 2
(B) no solution
(C) an infinite number of solutions
 2 1 3 (D) none of these
14. If the rank of the matrix, A   4 7 
is 2, then


 1 4 5
21. If A is an nrow square matrix of rank (n  1), then

the value of  is (A) adj A  0 (B) adj A  0

(A) 13 (B) 13 (C) adj A  I n (D) None of these

(C) 3 (D) None of these


22. The system of equations x  4 y  7 z  14,

15. Let A and B be nonsingular square matrices of the 3 x  8 y  2 z  13, 7 x  8 y  26 z  5 has

same order. Consider the following statements. (A) a unique solution

(I) ( AB) T  A TBT (II) ( AB) 1  B1 A 1 (B) no solution

(III) adj( AB)  (adj. A)(adj. B) (IV) ( AB)  ( A)B (C) an infinite number of solution
(D) none of these
(V) AB  A B

Which of the above statements are false ? 3 4


23. The eigen values of A  
are
(A) I, III & IV (B) IV & V 9  5
(C) I & II (D) All the above (A)  1 (B) 1, 1
(C) 1,  1 (D) None of these
 2 1 1
16. The rank of the matrix A   0 3 2
is  8 6 2


 2 4 3

24. The eigen values of A  6 7  4
are


(A) 3 (B) 2  2  4 3

(C) 1 (D) None of these (A) 0, 3, 15 (B) 0,  3 ,  15


(C) 0, 3, 15 (D) 0,  3, 15
17. The system of equations 3 x  y  z  0,
15 x  6 y  5 z  0, x  2 y  2 z  0 has a nonzero 25. If the eigen values of a square matrix be 1,  2 and 3,
solution, if  is then the eigen values of the matrix 2A are
1 3
(A) 6 (B) -6 (A) ,  1 , (B) 2 ,  4 , 6
2 2
(C) 2 (D) -2
(C) 1 ,  2, 3 (D) None of these.
18. The system of equation x  2 y  z  0,
26. If A is a nonsingular matrix and the eigen values
2 x  y  3z  0, x  y  z  0 has the trivial solution as of A are 2 , 3 ,  3 then the eigen values of A 1 are
the only solution, if  is 1 1 1
(A) 2 , 3 ,  3 (B) , ,
(A)    4
5
(B)   4
3
2 3 3
(C)   2 (D) None of these (C) 2 A , 3 A ,  3 A (D) None of these
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
526
ForLinear
E-books/Materials/Notes-PDFs|PPTs
Algebra Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 9.1

27. If 1 , 2 , 3 are the eigen values of a square matrix A  cos 2  cos  sin 
B

then the eigen values of A 2 are cos  sin  sin 2 

(A) 1 , 2 , 3 (B) 1, 4, 9 is a null matrix, then  and  differ by


(C) 1, 2, 3 (D) None of these (A) an odd multiple of p
(B) an even multiple of p
28. If 2 ,  4 are the eigen values of a nonsingular
p
(C) an odd multiple of
matrix A and A  4, then the eigen values of adj A are 2

p
(D) an even multiple
(A) 1
2
, 1 (B) 2 ,  1 2

(C) 2 ,  4 (D) 8 ,  16 35. If A and B are two matrices such that A  B and AB
are both defined, then A and B are
29. If 2 and 4 are the eigen values of A then the
(A) both null matrices
eigenvalues of A T are
(A) 1
, 1
(B) 2, 4 (B) both identity matrices
2 4

(C) 4, 16 (D) None of these (C) both square matrices of the same order
(D) None of these
30. If 1 and 3 are the eigenvalues of a square matrix A
then A 3 is equal to  0  tan 2 
36. If A  

(A) 13( A  I 2 ) (B) 13A  12I 2 tan 2


0
(C) 12( A  I 2 ) (D) None of these
cos  sin 2 
then (I  A ) 
is equal to
sin cos
31. If A is a square matrix of order 3 and A  2 then
(A) I  A (B) I  A
A (adj A) is equal to
2 0 0  12 0 0 (C) I  2 A (D) I  2 A


(A) 0 2 0
(B) 0 1
0


2
3  4
0 0 2
0 0 1
37. If A   , then for every positive integer
2
1  1

1 0 0
n, A n is equal to
(C) 0 1 0
(D) None of these


0 0 1
1  2 n 4n  1  2 n  4n 
(A)  (B) 
 n 1  2 n
 n 1  2 n

8 2 3 1  2 n 4n 
32. The sum of the eigenvalues of A  4 9
is
(C)  (D) None of these

5

 n 1  2 n

2 0 5

equal to  cos sin 
38. If A   , then consider the following
(A) 18 (B) 15  sin cos

(C) 10 (D) None of these statements :


I. A A   A  II. A A   A (  )
33. If 1, 2 and 5 are the eigen values of the matrix A
 cos n sin n 
then A is equal to III. ( A ) n  

 sin cos n
n

(A) 8 (B) 10
 cos n sin n 
(C) 9 (D) None of these IV. ( A ) n  
 sin n cos n

34. If the product of matrices Which of the above statements are true ?
cos 
2
cos  sin   (A) I and II (B) I and IV
A 
and
cos  sin  sin 
2
(C) II and III (D) II and IV
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
527
For E-books/Materials/Notes-PDFs|PPTs
UNIT 9 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Engineering Mathematics

39. If A is a 3-rowed square matrix such that A  3, 1 2 0 


45. If A   T

, then AA is
then adj (adj A) is equal to : 3 1 4

(A) 3A (B) 9A  1 3  1 0 1
(A) 
(B) 

(C) 27A (D) none of these 1 4 1 2 3


2 1
(C) 
(D) Undefined
40. If A is a 3-rowed square matrix, then adj (adj A) is 1 26

equal to
6 3 46. The matrix, that has an inverse is
(A) A (B) A
 3 1 5 2 
4 2 (A) 
(B) 

(C) A (D) A 6 2 2 1
6 2  8 2 
(C) 
(D) 

41. If A is a 3-rowed square matrix such that A  2, 9 3 4 1


then adj (adj A 2 ) is equal to
(A) 2 4 (B) 28 47. The skew symmetric matrix is

(C) 216 (D) None of these  0 2 5  1 5 2


(A)  2 0 6
(B) 6 3 1




2 x 0  1 0 5 6 0
2 4 0

42. If A   and A 1   , then the value
 x x
1 2

0 1 3 0 3 3
(C) 1 0 5
(D) 2 0 2

of x is



(A) 1 (B) 2 3 5 0


1 1 0

1
(C) (D) None of these
2
1 
1 1 0  

48. If A  
and B  0
, the product of A and B
1 2  1 0 1
1

43. If A  2 1
then A 1 is

is
1 1

1  1 0 
1 4   1 2  (A) 
(B) 

0 0 1
(A) 3 2
(B) 2 1




2 5
 1 2
1  1 0 
(C) 
(D) 

2 0 2
2 3
(C) 3 1
(D) Undefined


2 7
A B
49. Matrix D is an orthogonal matrix D   . The
C 0

value of B is
 2 1
1 2 5  1 1
44. If A   1 0
and B   then AB is (A) (B)

3 4 0
2 2
  3 4

(C) 1 (D) 0
1 8 10   0 0 10 
(A) 1 2 5

(B) 1 2 5



50. If A n n is a triangular matrix then det A is
 9 22 15
 0 21 15
n n
(A)  ( 1) a ii (B) a ii
1 8 10  0 8 10  i 1 i 1

(C)  1 2 5
(D) 1 2 5
n n



 9 22 15
9 21 15

(C)  ( 1) a
i 1
ii (D) a
i 1
ii

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
528
ForLinear
E-books/Materials/Notes-PDFs|PPTs
Algebra Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 9.1

t 2 cos t  dA
51. If A   t
 e sin t

, then dt will be
SOLUTIONS
t 2 sin t  2t cos t 
(A) t
(B)  t
1. (B) A is singular if A  0
 e sin t  e sin t
 0 1 2 
2t  sin t 
(C)  t (D) Undefined   1 0 3
0
e cos t


 2 2 

52. If A  R n n , det A  0, then  1 2 


  2
1  2  0 3
  ( 1)  0
  0
(A) A is non singular and the rows and columns of A 2   0 3   2 
are linearly independent.  (   4)  2( 3)  0    4  6 0    2
(B) A is non singular and the rows A are linearly
dependent. 2. (C) If k is a constant and A is a square matrix of
(C) A is non singular and the A has one zero rows. order n n then kA  kn A .

(D) A is singular. A  5B  A  5B  5 4 B  625 B


  625

************ 3. (B) A is singular, if A  0,

A is Idempotent, if A 2  A
A is Involutory, if A 2  I
Now, A 2  AA  ( AB) A  A( BA)  AB  A
and B2  BB  (BA)B  B( AB)  BA  B
 A 2  A and B2  B,
Thus A & B both are Idempotent.

5 8 0  5 8 0
4. (B) Since, A   3 5
2
0
 3 5 0




 1 2 1
 1 2 1

1 0 0 
 0 1 0
 I, A2  I  A is involutory.


0 0 1

5. (B) Let A  [ aij ] be a skewsymmetric matrix, then

AT   A ,  aij   aij ,
if i  j then aii   aii  2 aii  0  aii  0
Thus diagonal elements are zero.

6. (C) A is orthogonal if AA T  I
A is unitary if AA Q  I , where A Q is the conjugate
transpose of A i.e., A Q  ( A) T .
Here,
 1 
i 1 
i
 2 2
 2 2
1 0
AA Q  

  I2
 i 1
 i 1
0 1

  
 2 2
 2 2

Thus A is unitary.
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
529
For E-books/Materials/Notes-PDFs|PPTs
UNIT 9 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Engineering Mathematics

7. (A) A square matrix A is said to be Hermitian if 1


12. (A) Since A 1  adj A
A  A. So aij  a ji . If i  j then aii  aii i.e. conjugate of
Q A
an element is the element itself and aii is purely real. 1 2
Now, Here A   1
3 5
8. (C) A square matrix A is said to be Skew-Hermitian T
5  3 5  2
if A   A.
Q
If A is SkewHermitian then A   A Q
Also, adj A    adj A  
2  1
3  1

 a ji   aij ,
1 5  2  5 2
if i  j then aii   aii  aii  aii  0 A 1  3 
1   1
3 1

it is only possible when aii is purely imaginary.

1
9. (D) A is Hermitian then A Q  A 13. (A) Since, A 1  adj A
A
Now, ( iA) Q  i A Q   iA Q   iA,  ( iA ) Q   ( iA )
1 0 0
Thus iA is SkewHermitian. A 5 2 0  4  0,
3 1 2
10. (C) A is SkewHermitian then A Q   A
T
Now, ( iA) Q  i A Q   ( A )  iA then iA is Hermitian.  4 10 10   4 0 0
adj A   0 2

1  10 2 0




11. (C) If A  [ aij ]n n then det A  [ c ] T  0 0 2


1 1 2

ij n n

Where cij is the cofactor of aij  4 0 0


1
A 1  10 2 0

Also cij  ( 1) i  j M ij , where M ij is the minor of aij , 4

1 1 2

obtained by leaving the row and the column
corresponding to aij and then take the determinant of
14. (B) A matrix A ( m n ) is said to be of rank r if
the remaining matrix.
 1 2  (i) it has at least one nonzero minor of order r, and
Now, M11  minor of a11 i.e. 1    3
2 1 (ii) all other minors of order greater than r, if any; are
zero. The rank of A is denoted by ( A). Now, given that
Similarly
( A)  2  minor of order greater than 2 i.e., 3 is zero.
2  2 
 6 ; M13 
2 1
M12   6
2 1 2  2  2  1 3
Thus A 4 7   0
2 2
 1 2 
  
M 21    6 ; M 22  3 ; 1 4 5
2 1  2 1
 2( 35  4 )  1(20  )  3(16  7)  0,
1  2 2  2
M 23   6 ; M 31   6 ;
 70  8   20    27  0,
 2  2  1 2
 9   117    13
1  2  1  2 
M 32   6 ; M 33   3
 2  2  2 1
15. (A) The correct statements are
C11  ( 1)1  1 M11  3; C12  ( 1)1  2 M12  6 ; ( AB) T  BT A T , ( AB) 1  B1 A 1 ,
C13  ( 1)1 3
M13  6; C21  ( 1) 21
M 21  6;
adj ( AB)  adj (B) adj ( A)
C22  ( 1) 2 2
M 22  3; C23  ( 1) 2 3
M 23  6;
( AB)  ( A) (B), AB  A B
C31  ( 1) 3 1 M 31  6; C32  ( 1) 3 2 M 32  6 ;
Thus statements I, II, and IV are wrong.
C33  ( 1) 3 3 M 33  3
T
C11 C12 C13  16. (B) Since
det A  C21 C22 C23
A  2( 9  8)  2( 2  3)   2  2  0


C31 C32 C33


 ( A )  3
 3 6 6 
T
 1 2 2 
T
2 1
Again, one minor of order 2 is   6  0
 6 3 6
 3 2 1 2
 3A T 0 3



 6 6 3
 2 2 1
 ( A )  2
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
530
For E-books/Materials/Notes-PDFs|PPTs
UNIT 9 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Engineering Mathematics

3 5  31. (A) Since A(adj A)  A I 3


   0
4  5  
1 0 0  2 0 0
 ( 3  )(  5  )  16  0   15    2   16  0 A(adj A)  2 0 0
 0 0

2
 1 2



   2  1  0
2
 (   1)  0 2
    1,  1 0 0 1
0 0 2

Thus eigen values are 1 ,  1
32. (A) Since the sum of the eigenvalues of an nsquare
24. (C) Characteristic equation is A  I  0 matrix is equal to the trace of the matrix (i.e. sum of the
8  6 2 diagonal elements)
 6 7  4 0 so, required sum  8  5  5  18
 
 2  4 3  
33. (B) Since the product of the eigenvalues is equal to
 2  18 2  45   0
the determinant of the matrix so A  1 2 5  10
 (   3)(   15)  0    0 , 3 , 15

34. (C)
25. (B) If eigen values of A are 1 , 2 , 3 then the eigen
cos  cos  cos (   ) cos  sin  cos (   ) 
values of kA are k1 , k2 , k3. So the eigen values of 2A AB  
A
cos  sin  cos (   ) sin  sin  cos (   )
are 2 ,  4 and 6
null matrix when cos (   )  0
26. (B) If 1 , 2 ,........,  are the eigen values of a 
n This happens when (   ) is an odd multiple of .
2
nonsingular matrix A, then A 1 has the eigen values
1 1 1 1 1
, , ........, . Thus eigen values of A 1 are , , 35. (C) Since A  B is defined, A and B are matrices of
1 2 n 2 3
the same type, say m n. Also, AB is defined. So, the
1
. number of columns in A must be equal to the number of
3
rows in B i.e. n  m. Hence, A and B are square matrices
27. (B) If 1 , 2 , ......,  n are the eigen values of a matrix of the same order.
A, then A has the eigen values 12 , 22 , ........,  2n . So,
2


eigen values of A 2 are 1, 4, 9. 1  tan 2
2  1t
2
36. (A) Let tan  t, then, cos 
2 t  t2
1  tan 2
28. (B) If 1 , 2 ,....,  n are the eigen values of A then 2
A A A
the eigen values adj A are , ,......, ; A  0. Thus 2 tan
2t
1 2  n and sin  2 
1  t2
4 4 1  tan 2
eigenvalues of adj A are , i.e. 2 and1. 2
2 4
cos  sin 
(I  A ) 
29. (B) Since, the eigenvalues of A and A are square so T
sin cos

the eigenvalues of A T are 2 and 4.  
 1 tan
2
cos  sin 

sin
30. (B) Since 1 and 3 are the eigenvalues of A so the  tan  cos

1

characteristic equation of A is  2

(   1) (   3)  0  2  4   3  0 1  t2 2 t 
 1 t  1  t 2 (1  t 2 )




Also, by CayleyHamilton theorem, every square


matrix satisfies its own characteristic equation so  t 1  2 t 1  t2

(1  t ) 1  t 2

2

A 2  4 A  3I 2  0
 
 A 2  4 A  3I 2 1  tan

 1  t  2  (I  A )
 A 3  4 A 2  3A  4( 4 A  3I)  3A 


 t 1 tan
1

 A 3  13A  12I 2  2
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
532
ForLinear
E-books/Materials/Notes-PDFs|PPTs
Algebra Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 9.1

3  4  3  4  5  8 1 3
37. (B) A 2    1 2 0  

1  1
1  1
2  3
45. (C) AA   T

2 1
3 1 4 0 4

1  2 n  4n  

 , where n  2.
 n 1  2 n
 (1)(1)  (2)(2)  (0)(0) (1)( 3)  (2)( 1)  (0)( 4) 

( 3)(1)  (  1)(2)  ( 4)(0) ( 3)( 3)  ( 1)( 1)  ( 4)( 4)

 cos sin   cos  sin 
5 1
38. (D) A A   
 sin cos
 sin  cos 


 1 26
 cos (  ) sin (  ) 
  A  
 sin (  ) cos (  )
46. (B) if A is zero, A 1 does not exist and the matrix A
Also, it is easy to prove by induction that is said to be singular. Only (B) satisfy this condition.
 cos n sin n  5 2
(A )n  
A   (5)(1)  (2)(2)  1
 sin n cos n 2 1

n 2
39. (A) We know that adj (adj A)  A A. 47. (A) A skew symmetric matrix A n n is a matrix with
Here n  3 and A  3. A T  A . The matrix of (A) satisfy this condition.
So, adj (adj A)  3( 3 2 ) A  3A.
1
1 1 0  
(1)(1)  (1)(0)  (0)(1)  1 
40. (C) We have adj (adj A)  A
( n 1 ) 2 48. (C) AB  
0 


1 0 1 1
(1)(1)  (0)(0)  (1)(1) 2
4 

Putting n  3, we get adj (adj A)  A .

49. (C) For orthogonal matrix


41. (C) Let B  adj (adj A 2 ).
det M  1 And M 1  M T , therefore Hence D 1  D T
Then, B is also a 3 3 matrix.  A C 1  0 B 
DT  
 D 1 
BC C A

31 2
adj {adj (adj A 2 )}  adj B  B3 B B 0
2 C 1
 adj (adj A 2 )   A 2   A 16  216 This implies B   B  B  1
2 ( 31 ) 2
BC


&K A2  A
2
' Hence B  1

50. (B) From linear algebra for A n n triangular matrix


2 x 0  1 0  1 0 n
42. (C)   det A   aii , The product of the diagonal entries of A
 x x
1 2
0 1

i 1

2 x 0  1 0 1
 0  , So, 2 x  1  x .
 2 x
0 1
2  d( t 2 ) d(cos t) 
dA  dt dt
 2 t  sin t 
51. (C )  t
dt  d( e ) d(sin t)
 e t cos t

43. (D) Inverse matrix is defined for square matrix only.

 dt dt
 2 1
1 2 5  52. (A) If det A  0, then A n n is non-singular, but if
44. (C) AB   1 0


3 4 0

3 4
A n n is non-singular, then no row can be expressed as a

(2)(1)  ( 1)( 3) (2)( 2)  ( 1)( 4) (2)( 5)  ( 1)(0)  linear combination of any other. Otherwise det A  0
  (1)(1)  (0)( 3) (1)( 2)  (0)( 4) (1)( 5)  (0)(0)

( 3)(1)  ( 4)( 3) ( 3)( 2)  ( 4)( 4) ( 3)( 5)  ( 4)(0)



1 8 10  ************
  1 2 5

 9 22 15

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
533
For E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com

CHAPTER

9.2
DIFFERENTIAL CALCULUS

1. If f ( x)  x 3  6 x 2  11 x  6 is on [1, 3], then the point 6. A point on the curve y = x - 2 on [2, 3], where the
c ] 1, 3 [ such that f ( c) = 0 is given by tangent is parallel to the chord joining the end points of
1 1 the curve is
(A) c = 2 (B) c = 2
2 3
9 1 7 1
1 (A) , (B) ,
(C) c = 2 (D) None of these 4 2 2 4
2
7 1 9 1
(C) , (D) ,
2. Let f ( x) = sin 2 x, 0 x p
and f ( c) = 0 for c ] 0, 2p [. 4 2 2 4
2

Then, c is equal to
7. Let f ( x) = x( x - 1)( x - 2) be defined in [0, 12 ]. Then, the
p p
(A) (B) value of c of the mean value theorem is
4 3
p (A) 0.16 (B) 0.20
(C) (D) None
6 (C) 0.24 (D) None
x
-
3. Let f ( x) = x( x + 3) e , -3 x 0. Let c ] - 3, 0 [ such
2
8. Let f ( x) = x 2 - 4 be defined in [2, 4]. Then, the value
that f ( c) = 0. Then, the value of c is of c of the mean value theorem is
(A) 3 (B) -3 (A) - 6 (B) 6
1
(C) -2 (D) - (C) 3 (D) 2 3
2

4. If Rolles theorem holds for f ( x) = x 3 - 6 x 2 + kx + 5 on 9. Let f ( x) = e x in [0, 1]. Then, the value of c of the
1
[1, 3] with c = 2 + , the value of k is mean-value theorem is
3
(A) -3 (B) 3 (A) 0.5 (B) ( e - 1)
(C) 7 (D) 11 (C) log ( e - 1) (D) None

5. A point on the parabola y = ( x - 3) 2 , where the


10. At what point on the curve y = (cos x - 1) in ]0, 2p[ ,
tangent is parallel to the chord joining A (3, 0) and B (4,
is the tangent parallel to x axis ?
1) is
3 1 p
(A) (7, 1) (B) , (A) , - 1 (B) ( p, - 2)
2 4 2

7 1 1 1 2 p -3
(C) , (D) - , (C) , (D) None of these
2 4 2 2 3 2
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
534
ForDifferential
E-books/Materials/Notes-PDFs|PPTs
Calculus Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 9.2

11. log sin ( x  h) when expanded in Taylors series, is x + y u u


16. If u = tan -1 , then x +y equals
equal to x+ y x y

1 2 1
(A) log sin x  h cot x  h cosec2 x  K (A) 2 cos 2 u (B) sin 2 u
2 4
1 2 1
(B) log sin x  h cot x  h sec 2 x  K (C) tan u (D) 2 tan 2 u
2 4
1 2
(C) log sin x  h cot x  h cosec2 x  K
2 x 3 + y 3 + x 2 y - xy 2
17. If u = tan -1 , then the value of
(D) None of these x 2 - xy + y 2
u u
x +y is

p x y
12. sin x when expanded in powers of x   is
2 3 2 2  1
p p p (A) sin 2 u (B) sin 2u
x- x- x- 2
2 2 2
(A) 1 + + + +K (C) sin u (D) 0
2! 3! 4!
2 2
p p y y
x- x- 18. If u = f + xy , then the value of
2 2 x x
(B) 1 - + -K
2! 4!
2u 2u 2u
3 5 x2 + 2 xy + y 2 2 , is
p p dx 2
dx dy y
x-
2 x-
p 2 2
(B) u
(C) x - + + +K (A) 0
2 3 ! 5 !
(C) 2u (D) -u
(D) None of these
dz
19. If z = e x sin y, x = log e t and y = t 2 , then is given
dt
p by the expression
13. tan + x when expanded in Taylors series, gives
4 ex ex
4 (A) (sin y - 2 t 2 cos y) (B) (sin y + 2 t 2 cos y)
(A) 1 + x + x + x 3 + K
2
t t
3
ex ex
(B) 1 + 2 x + 2 x 2 +
8 3 (C) (cos y + 2 t 2 sin y) (D) (cos y - 2 t 2 sin y)
x +... t t
3
x2 x4
(C) 1 + + +K 20. If z = z( u, v) , u = x 2 - 2 xy - y 2 , v = a, then
2! 4!
z z z z
(A) ( x + y) = ( x - y) (B) ( x - y) = ( x + y)
(D) None of these x y x y
z z z z
3u (C) ( x + y) = ( y - x) (D) ( y - x) = ( x + y)
14. If u = e , then
xyz
is equal to x y x y
x y z
(A) e xyz [1 + xyz + 3 x 2 y 2 z 2 ] 21. If f ( x, y) = 0, f( y, z) = 0, then
(B) e xyz
[1 + xyz + x y z ]
3 3 3
f f f f dz f f f f dz
(A) = (B) =
(C) e xyz
[1 + 3 xyz + x y z ]
2 2 2 y z x y dx y z x x dx

(D) e xyz [1 + 3 xyz + x 3 y 3z 3 ] f f dz f f


(C) = (D) None of these
y z dx x y

15. If z = f ( x + ay) + f( x - ay), then


22. If z = x 2 + y 2 and x 3 + y 3 + 3 axy = 5 a 2 , then at
z
2
z 2
z
2
z
2
dz
(A) = a2 2 (B) = a2 2 x = a, y = a, is equal to
x 2 y y 2 x dx
2z 1 2z 2z 2z (A) 2a (B) 0
(C) =- 2 (D) = - a2 2
y 2
a x 2 x 2
y (C) 2 a 2 (D) a 3
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
535
For E-books/Materials/Notes-PDFs|PPTs
UNIT 9 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Engineering Mathematics

23. If x  r cos q, y  r sin q where r and q are the y 2u 2 y


30. If u = x n -1 yf , then x 2 + y is equal to
dx x x y x
functions of x, then is equal to
dt (A) nu (B) n( n - 1) u
dr dq dr dq u u
(A) r cos q - r sin q (B) cos q - r sin q (C) ( n - 1) (D) ( n - 1)
dt dt dt dt x y
dr dq dr dq
(C) r cos q + sin q (D) r cos q - sin q
dt dt dt dt 31. Match the ListI with ListII.
ListI
r r
2 2
x2 y 2u 2u
24. If r 2 = x 2 + y 2 , then + is equal to (i) If u = then x 2 + y
dx 2 y 2 x+ y x x y
r r r r
2 2 2 2
1 1
(A) r 2 + (B) 2 r 2 + x2 - y2 2u 2u 2 u
2

x y x y (ii) If u = then x 2 + 2 xy + y
1 1
x 2 x y y 2
x4 + y4
1 r
2 2
r
(C) 2 + (D) None of these 1 1
2u 2u 2u
r x y (iii) If u = x 2 + y 2 then x 2 + 2 xy + y2
x 2
x y y 2
2q 2q y u u
25. If x = r cos q, y = r sin q, then the value of + (iv) If u = f then x +y
x 2 y 2 x x y
is
(A) 0 (B) 1 ListII
r r 3 u
(C) (D) (1) - u (2)
x y 16 x
1
(3) 0 (4) - u
26. If u = x m y n , then 4
(A) du = mx m -1 y n + nx m y n -1 (B) du = mdx + ndy Correct match is
du dx dy (I) (II) (III) (IV)
(C) udu = mxdx + nydy (D) =m +n
u x y
(A) 1 2 3 4
2
d y (B) 2 1 4 3
27. If y 3 - 3ax 2 + x 3 = 0, then the value of is equal
dx 2 (C) 2 1 3 4
to
a2 x2 2 a2 x2 (D) 1 2 4 3
(A) - (B)
y5 y5
32. If an error of 1% is made in measuring the major
2 a2 x4 2 a2 x2
(C) - (D) - and minor axes of an ellipse, then the percentage error
y5 y5
in the area is approximately equal to
(A) 1% (B) 2%
y
28. z = tan -1 , then
x (C) p% (D) 4%

xdy - ydx xdy + ydx


(A) dz = (B) dz = 33. Consider the Assertion (A) and Reason (R) given
x2 + y2 x2 + y2
below:
xdx - ydy xdx - ydy
(C) dz = (D) dz = y u u
x2 + y2 x2 + y2 Assertion (A): If u = xyf , then x +y = 2u
x x y
Reason (R): Given function u is homogeneous of
x2 + y2 u u degree 2 in x and y.
29. If u = log , then x +y is equal to
x+ y x y
Of these statements
(A) 0 (B) 1
(A) Both A and R are true and R is the correct
(C) u (D) eu explanation of A
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
536
ForDifferential
E-books/Materials/Notes-PDFs|PPTs
Calculus Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 9.2

(B) Both A and R are true and R is not a correct 41. If a < 0, then f ( x) = e ax + e - ax is decreasing for
explanation of A (A) x > 0 (B) x < 0
(C) A is true but R is false (C) x > 1 (D) x < 1
(D) A is false but R is true
42. f ( x) = x 2 e - x is increasing in the interval
du (A) ] -, [ (B) ] -2, 0 [
34. If u  x log xy, where x 3  y 3  3 xy  1, then is
dx (C) ] 2, [ (D) ] 0, 2 [
equal to
x
x2  y
(A) (1  log xy)   43. The least value of a for which f ( x) = x 2 + ax + 1 is
y y 2  x 
increasing on ] 1, 2, [ is
y
y  x
2
(A) 2 (B) -2
(B) (1  log xy)  
x x 2  y  (C) 1 (D) -1
x
x  y
2
(C) (1  log xy)  
y y 2  x  44. The minimum distance from the point (4, 2) to the
parabola y 2 = 8 x, is
y
y2  x
(D) (1  log xy)  2  (A) 2 (B) 2 2
x x  y 
(C) 2 (D) 3 2

y z z
35. If z  xyf , then x +y is equal to
x x y 45. The co-ordinates of the point on the parabola
(A) z (B) 2z
y = x 2 + 7 x + 2 which is closest to the straight line
(C) xz (D) yz y = 3 x - 3, are
(A) (-2, -8) (B) (2, -8)
36. f ( x) = 2 x 3 - 15 x 2 + 36 x + 1 is increasing in the
(C) (-2, 0) (D) None of these
interval
(A) ] 2, 3 [ (B) ] -, 3 [ 46. The shortest distance of the point (0, c), where
(C) ] -, 2 [ ] 3, (D) None of these 0 c < 5, from the parabola y = x 2 is
4c + 1
x (A) 4c + 1 (B)
37. f ( x) = is increasing in the interval 2
( x 2 + 1)
4c - 1
(A) ] -, - 1 [ ] 1, [ (B) ] -1, 1 [ (C) (D) None of these
2
(C) ] -1, [ (D) None of these
x
1
47. The maximum value of is
38. f ( x) = x 4 - 2 x 2 is decreasing in the interval x
(A) ] -, -1 [ ] 0, 1 [ (B) ] -1, 1 [ -
1

(A) e (B) e e

(C) ] -, -1 [ ] 1, [ (D) None of these e


1
(C) (D) None of these
39. f ( x) = x 9 + 3 x 7 + 6 is increasing for e

(A) all positive real values of x


250
48. The minimum value of x 2 + is
(B) all negative real values of x x
(C) all non-zero real values of x
(A) 75 (B) 50
(D) None of these
(C) 25 (D) 0

40. If f ( x) = kx 3 - 9 x 2 + 9 x + 3 is increasing in each 49. The maximum value of f ( x) = (1 + cos x) sin x is


interval, then (A) 3 (B) 3 3
(A) k < 3 (B) k 3
3 3
(C) 4 (D)
(C) k > 3 (D) k 3 4
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
537
For E-books/Materials/Notes-PDFs|PPTs
UNIT 9 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Engineering Mathematics

50. The greatest value of

f ( x) 
sin 2 x SOLUTIONS

p
sin x  
4 1. (B) A polynomial function is continuous as well as
differentiable. So, the given function is continuous and
on the interval [0, 2p ] is
differentiable.
1
(A) (B) 2
2 f (1) = 0 and f ( 3) = 0. So, f (1) = f ( 3).
By Rolles theorem Ec such that f ( c) = 0.
(C) 1 (D) - 2
Now, f ( x) = 3 x 2 - 12 x + 11
51. If y = a log x + bx 2 + x has its extremum values at f ( c) = 3c 2 - 12 c + 11.
x = -1 and x = 2, then Now, f ( c) = 0 3c 2 - 12 c + 11 = 0
1 1
(A) a = - , b = 2 (B) a = 2, b = -1 c = 2 .
2
3
1
(C) a = 2, b = - (D) None of these
2 2. (A) Since the sine function is continuous at each
p
52. The co-ordinates of the point on the curve x R, so f ( x) = sin 2 x is continuous in 0, .
2
4 x 2 + 5 y 2 = 20 that is farthest from the point (0, -2) are
Also, f ( x) = 2 cos 2 x, which clearly exists for all
(A) ( 5 , 0) (B) ( 6 , 0)
p p
x ]0, [ .So, f ( x) is differentiable in x ]0, [.
(C) (0, 2) (D) None of these 2 2
p
p Also, f (0) = f = 0. By Rolles theorem, there exists
53. For what value of x 0 x , the function 2
2
p
x c ]0, [ such that f ( c) = 0.
y= has a maxima ? 2
(1 + tan x)
p p
(A) tan x (B) 0 2 cos 2 c = 0 2c = c= .
2 4
(C) cot x (D) cos x
3. (C) Since a polynomial function as well as an
exponential function is continuous and the product of
two continuous functions is continuous, so f ( x) is
************* continuous in [-3, 0].
x x x
- 1 -2 2 - x + 6 - x2
f ( x) = (2 x + 3) e 2
- e ( x + 3 x) = e 2
2 2
which clearly exists for all x ] - 3, 0 [.
f ( x) is differentiable in ] -3, 0 [.
Also, f ( -3) = f (0) = 0.
By Rolles theorem c ] -3, 0 [ such that f ( c) = 0.
c
- c + 6 - c2
Now, f ( c) = 0 e 2 =0
2
c + 6 - c 2 = 0 i.e. c 2 - c - 6 = 0
( c + 2) ( 3 - c) = 0 c = -2, c = 3.
Hence, c = -2 ] -3, 0 [ .

4. (D) f ( x) = 3c 2 - 12 x + k
f ( c) = 0 3c 2 - 12 c + k = 0
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
538
For E-books/Materials/Notes-PDFs|PPTs
UNIT 9 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Engineering Mathematics

p p p u u 1
f = 1, f = 0, f = -1, x sec 2 u + y sec 2 u = tan u
2 2 2 x y 2
p p u u 1 1
f = 0, f = 1, .... x +y = sin u cos u = sin 2 u

2 2 x y 2 4

13. (B) Let f ( x) = tan x Then, x 3 + y 3 + x 2 y - xy 2


17. (A) Here tan u = = f (say)
x 2 - xy + y 2
p p p x p x p
2 3

f + x = f + xf + f + f +...
4 4 4 2! 4 3! 4 Which is homogeneous of degree 1
f f
f ( x) = sec , f ( x) = 2sec x tan x,
2 2
Thus x +y = f
x y
f ( x) = 2sec4 x + 4sec 2 x tan 2 x etc.
f u 1
Now, As above question number 16 x +y = sin 2 u
x y 2
p p p p
f = 1, f = 2, f = 4, f = 16, ...
4 4 4 4
y y
18. (A) Let v = f and w = xY
p x2 x3 x x
Thus tan + x = 1 + 2 x + 4 + 16 + K
4 2 6
Then u = v + w
8
= 1 + 2 x + 2 x + x3 + K
2
Now v is homogeneous of degree zero and w is
3
homogeneous of degree one
u 2v 2v 2 v
2

14. (C) Here u = e xyz = exyz yz x2 + 2 xy + y = 0....(1)


x x 2 x y y 2
2u 2w 2w 2w
= ze xyz + yzexyz xz = e xyz ( z + xyz 2 ) and x 2 + 2 xy + y2 = 0....(2)
xy x 2
x y y 2
3u Adding (1) and (2), we get
= e xyz (1 + 2 xyz) + ( z + xyz 2 ) e xyz xy
x y z 2 2 2
2
x2 ( v + w) + 2 xy ( v + w) + y ( v + w) = 0
= e xyz (1 + 3 xyz + x 2 y 2 z 2 ) x 2 x y y 2
2u 2u 2u
x2 + 2 xy + y2 2 = 0
15. (B) z = f ( x + ay) + f( x - ay) x 2
x y y
z
= f ( x + ay) + f ( x - ay)
x z
19. (B) z = e x sin y = ex sin y
z
2 x
= f ( x + ay) + f( x - ay)....(1)
dx 2 z dx 1
And = e x cos y, x = log e t =
z y dt t
= af ( x + ay) - af ( x - ay)
y dy
And y = t 2
= 2t
z
2 dt
= a 2 f ( x + ay) + a 2 f( x - ay)....(2) dz z dx z dy
y 2 = +
dt x dt y dt
2z 2z
Hence from (1) and (2), we get = a2 2 1 ex
y 2
x = e x sin y + e x cos y 2 t = (sin y + 2 t 2 cos y)
t t
x+ y
16. (B) u = tan -1 20. (C) Given that
x+ y

z = z( u, v), u = x 2 - 2 xy - y 2 , v = a....(i)
x+ y z z u z v
tan u = = f (say) = + ....(ii)
x+ y x u x v x
Which is a homogeneous equation of degree 1/2 z z u z v
and = + ....(iii)
f f 1 y u y v y
By Eulers theorem. x +y = f
x y 2 From (i),
(tan u) (tan u) 1 u u v v
x +y = tan u =2x -2y , = -2 x - 2 y, = 0, =0
x y 2 x y x y
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
540
ForDifferential
E-books/Materials/Notes-PDFs|PPTs
Calculus Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 9.2

Substituting these values in (ii) and (iii) r r


24. (C) r 2 = x 2 + y 2 = 2 x and =2y
z z z x y
= (2 x - 2 y) + 0....(iv)
x u v 2r 2r 2r 2r
and = 2 and =2 + =2 + 2 + 4
z z z x 2
y 2 x 2 y 2
and = ( -2 x - 2 y) + 0....(v)
y u v 2 2
r r
and + = 4 x 2 + 4 y 2 = 4 r 2
From (iv) and (v), we get
x y
z z
2 r 2 y 1 r r
2
( x + y) = ( y - x) 2

x y + = +
y
x 2 y 2 r 2 x

21. (C) Given that f ( x, y) = 0, f( y, z) = 0
25. (A) x = r cos q , y = r sin q
These are implicit functions
y y
f f tan q = q = tan -1
dy dz y
x x
= - x , =- q
dx f dy f 1 -y -y
= 2 2
= 2
y z x 1 + ( y x) x x + y 2

f f 2q -2 xy
- - and =
dy dz x y x 2 ( x 2 + y 2 ) 2
=
dx dy f f 2q 2 xy 2q 2q
y z Similarly = 2 and + =0
y 2
(x + y )2 2
x 2 y 2
f f dz f f
or, =
y z dx x y 26. (D) Given that u = x m y n
Taking logarithm of both sides, we get
22. (B) Given that z = x + y 2 2
log u = m log x + n log y
and x + y + 3axy = 5 a ...(i)
3 3 2 Differentiating with respect to x, we get
dz z z dy 1 du 1 1 dy du dx dy
= + ....(ii) = m + n or, =m + n
dx x y dx u dx x y dx u x y

z 1 z 1
from (i), = 2x , = 2y 27. (D) Given that f ( x, y) = y 3 - 3ax 2 + x 3 = 0
x 2 x + y
2 2 y 2 x + y2
2

fx = - 6 ax + 3 x 2 , f y = 3 y 2 , fxx = - 6 a + 6 x ,
dy dy
and 3 x + 3 y
2
+ 3ax 2
+ 3ay.1 = 0 f yy = 6 y , fxy = 0
dx dx
d2 y fxx ( f y) 2 - 2 fx f y fxy + f yy( fx ) 2
dy x 2 + ay =-
= - 2 dx 2
( f y) 3
dx y + ax

Substituting these value in (ii), we get ( 6 x - 6 a( 3 y 2 ) 2 - 0 + 6 y( 3 x 2 - 6 ax) 2


= -
(3 y2 )3
dz x y x 2 + ay
= + - 2
dx x2 + y2 x 2 + y 2 y + ax 2
= - 5 ( -ax 3 - ay 3 + 4 a 2 x 2 )
y
dz a a a 2 + aa
= + - 2 = 0 2
dx ( a , a ) a + a2
2
a 2 + a 2 a + a. a =- [ -a( a 3 + y 3) + 4 a 2 x 2 ]
y5
2
23. (B) Given that x = r cos q, y = r sin q....(i) =- [ -a( 3ax 2 ) + 4 a 2 x 2 ] [ \ x 3 + y 3 - 3ax 2 = 0]
y5
dx x dr x dq 2 a2 x2
= + ....(ii) =-
dt r dt q dt y5
x x
From (i), = cos q, = - r sin q
r q y
28. (A) Given that z = tan -1 ....(i)
Substituting these values in (ii), we get x
dx dr dq
= cos q - r sin q
dt dt dt
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
541
For E-books/Materials/Notes-PDFs|PPTs
UNIT 9 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Engineering Mathematics

dz z z dy In (c) u = x1 2 + y1 2 It is a homogeneous function of


 + ....(ii)
dx x y dx 1
degree .
z 1 -y -y 2
From (i) = 2 = 2
x y
2
x x + y2 2u 2u 2u
1+ x2 + 2 xy + y2 = n( n - 1) u
x x 2
x dy y 2

z 1 1 x 1 1 1
= = 2 = - 1 u = - u
y 2 2 4
y x x + y
2 2

1+
x y
In (d)u = f It is a homogeneous function of degree
Substituting these in (ii), we get x
dz -y x dy xdy - ydx zero.
= + , dz =
dx x 2 + y 2 x 2 + y 2 dx x2 + y2 u u
x +y = 0. u = 0
x y
x2 + y2 x2 + y2 Hence correct match is
29. (B) u = log , eu = = f (say)
x+ y x+ y
a b c d
f is a homogeneous function of degree one 2 1 3 4
f f e u e u
x +y = f x +y = eu
x y x y 32. (B) Let 2a and 2b be the major and minor axes of the
u u ellipse
or xe u + ye u = eu
x y Area A = pab
u u log A = log p + log a + log b
or, x +y =1
x y (log A) = (log p) + (log a) + (log b)
A a b
y =0 + +
30. (C) Given that u = x n -1 yf . A a b
x 100 100 100
A = a + b
It is a homogeneous function of degree n A a b
u u 100 100
Eulers theorem x +y = nu But it is given that a = 1, and b = 1
x y a b
100
Differentiating partially w.r.t. x, we get A = 1 + 1 = 2
A
2 u u 2u n u
x + + y = Thus percentage error in A =2%
x 2
x y x x
2u 2u u y
x +y = ( n - 1) 33. (A) Given that u = xyf . Since it is a homogeneous
x 2
y x x x
function of degree 2.
x2 y
31. (B) In (a) u = It is a homogeneous function of u u
x+ y By Eulers theorem x +y = nu (where n = 2)
x y
degree 2. u u
Thus x +y = 2u
2u 2u u u x y
x 2 +y = ( n - 1) = (as in question 30)
x x y x x
x1 2 - y1 2 34. (A) Given that u = x log xy....(i)
In (b) u = . It is a homogeneous function of
x1 4 + y1 4
x 3 + y 3 + 3 xy = 1....(ii)
1 1 1 u u u dy
degree - = we know that = + ....(ii)
2 4 4 x x y dx
2u 2u 2u u 1
x2 + 2 xy + y 2 2 = n( n - 1) u From (i) = x y + log xy = 1 + log xy
x 2
x y y x xy
1 1 3 u 1 x
= - 1 u = - u and = x x=
4 4 16 y xy y
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
542
ForDifferential
E-books/Materials/Notes-PDFs|PPTs
Calculus Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 9.2

From (ii), we get Now, D = (2 t 2 - 4) 2 + ( 4 t - 2) 2 is minimum when


dy
dy dy
x  y
2
E = (2 t 2 - 4) 2 + ( 4 t - 2) 2 is minimum.
3 x2  3 y2  3 x  y  1  0 "   2 
dx dx  dx y  x Now, E = 4 t 4 - 16 t + 20
Substituting these in (A), we get dE
= 16 t 3 - 16 = 16 ( t - 1) ( t 2 + t + 1)
du x
x 2  y  dt
 (1  log xy)   2 
dx y  y  x  dE
=0 t =1
dt
d2 E d2 E
35. (B) The given function is homogeneous of degree 2. = 48 t 2
. So, dt 2 = 48 > 0.
dt 2 ( t =1 )
z z
Eulers theorem x +y = 2z
x y So, t = 1 is a point of minima.
Thus Minimum distance = (2 - 4) 2 + ( 4 - 2) 2 = 2 2 .
36. (C) f ( x) = 6 x - 30 x + 36 = 6( x - 2)( x - 3)
2

Clearly, f ( x) > 0 when x < 2 and also when x > 3. 45. (A) Let the required point be P ( x, y). Then,
f ( x) is increasing in ] -, 2 [ ] 3, [. perpendicular distance of P ( x, y) from y - 3 x + 3 = 0 is
y - 3x + 3 x2 + 7 x + 2 - 3 x + 3
( x + 1) - 2 x
2
1- x
2 2
p= =
37. (B) f ( x) = = 2 10 10
( x 2 + 1) 2 ( x + 1) 2
x2 + 4 x + 5 ( x + 2) 2 + 1 ( x + 2) 2 + 1
Clearly, ( x 2 + 1) 2 > 0 for all x. = = or p =
10 10 10
So, f ( x) > 0 (1 - x 2 ) > 0
dp 2 ( x + 2) d p 2 2
(1 - x) (1 + x) > 0 So, = and 2
=
dx 10 dx 10
This happens when -1 < x < 1.
dp d2 p
So, f ( x) is increasing in ] -1, 1 [. =0 x = -2, Also,
2
> 0.
dx dx x = -2
38. (A) f ( x) = 4 x 3 - 4 x = 4 x( x - 1)( x + 1). So, x = -2 is a point of minima.
Clearly, f ( x) < 0 when x < - 1 and also when x > 1. When x = -2, we get y = ( -2) 2 + 7 ( -2) + 2 = -8.
Sol. f ( x) is decreasing in ] -, -1 [ ] 1, [. The required point is ( -2, - 8).

39.(C) f ( x) = 9 x8 + 21 x6 > 0 for all non-zero real values 46. (C) Let A (0, c) be the given point and P ( x, y) be any

of x. point on y = x 2 .
D = x 2 + ( y - c) 2 is shortest when E = x 2 + ( y - c) 2 is
40. (C) f ( x) = 3kx - 18 x + 9 = 3 [ kx - 6 x + 3]
2 2
shortest.
This is positive when k > 0 and 36 - 12 k < 0 or k > 3. Now,
E = x 2 + ( y - c) 2 = y + ( y - c) 2 E = y 2 + y - 2 cy + c2
41. (A) f ( x) = ( e ax + e - ax ) = 2 cosh ax.
dE d2 E
= 2 y + 1 - 2 c and = 2 > 0.
f ( x) = 2 a sinh ax < 0 When x > 0 because a < 0 dy dy 2
dE 1
42. (D) f ( x) = - x 2 e - x + 2 xe - x = e - x x(2 - x). =0 y =c -
dy 2
Clearly, f ( x) > 0 when x > 0 and x < 2. 1
Thus E minimum, when y = c -
2
43. (B) f ( x) = (2 x + a) 2
1 1 1
1 < x <2 2 <2x < 4 2 + a <2x + a < 4 + a Also, D = c - + c - - c . .. x = y = c - 2
2

2 2
(2 + a) < f ( x) < ( 4 + a).
1 4c - 1
For f ( x) increasing, we have f ( x) > 0. = c- =
4 2
\2 + a 0 or a - 2. So, least value of a is -2.

44. (B) Let the point closest to (4, 2) be (2 t 2 , 4).


www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
543
For E-books/Materials/Notes-PDFs|PPTs
UNIT 9 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Engineering Mathematics

x

1 dy a
47. (B) Let y   then, y  x  x 51. (C) = + 2 bx + 1
x dx x
dy
"
dy
  x  x (1  log x) dx =0 - a - 2b + 1 = 0 a + 2 b = 1....(i)
dx ( x = -1 )

d2 y 1 dy a
 x  x (1  log x) 2  x  x  dx =0 + 4b + 1 = 0
dx 2
x (x = 2 ) 2
dy 1 a + 8 b = -2....(ii)
0 " 1  log x  0 " x
dx e 1
1 Solving (i) and (ii) we get b = - and a = 2.
 1 2
#d y &
2

1 e
% dx 2 (
1   e   0.
$ ' x   
e x2 y2
52. (C) The given curve is + = 1 which is an
1 5 4
So, x  is a point of maxima. Maximum value  e1 e .
e ellipse.
Let the required point be ( 5 cos f , 2 sin f). Then,
250
500
48. (A) f ( x)  2 x  and f ( x)  2  3  D = ( 5 cos f - 0) 2 + (2 sin f + 2) 2 is maximum
x2 x 
250 when z = D 2 is maximum
f ( x)  0 " 2x  0 " x  5.
x2 z = 5 cos 2 f + 4 (1 + sin f) 2
f (5)  6 0. So, x  5 is a point of minima. dz
= -10 cos f sin f + 8(1 + sin f) cos f

250 df
Thus minimum value  25    75.
5  dz
=0 2 cos f ( 4 - sin f) = 0
df
49. (D) f ( x)  (2 cos x  1)(cos x  1) and p
cos f = 0 f= .
f ( x)   sin x(1  4 cos x). 2
1 dz d 2z
f ( x)  0 " cos x  or cos x  1 " x  p 3 or = - sin 2 f + 8 cos f = -2 cos 2 f - 8 sin f
2 df df2
x = p. p d 2z
when f = , < 0.
p -3 3 2 df2
f = < 0. So, x = p 3 is a point of maxima.
3 2 p
z is maximum when f = . So, the required point is
p p 3 3 2
Maximum value = sin 1 + cos = . p p
3 3 4
5 cos , sin i.e. (0, 2).
2 2
2 sin x cos x
50. (C) f ( x) = 1 + tan x 1 tan x
sin x + cos x 53. (D) Let z = = +
2 x x x
dz 1 d 2z 2
2 2 2 2 Then, = - 2 + sec 2 x and = + 2sec2 x tan x
= = (say), dx x dx 2 x 3
(sec x + cosec x) z
dz 1
where z = (sec x + cosec x). =0 - 2 + sec 2 x = 0 x = cos x.
dx x
dz cos x
= sec x tan x - cosec x cot x = (tan 3 x - 1). d 2z
dx sin 2 x dx 2 = 2 cos 3 x + 2sec2 x tan x > 0.
x = cos x
dz p p
=0 tan x = 1 x= in 0, . Thus z has a minima and therefore y has a maxima at
dx 4 2
dz x = cos x.
Sign of changes from -ve to +ve when x passes
dx
through the point p 4. So, z is minimum at x = p 4 and
therefore, f ( x) is maximum at x = p 4. ************

2 2
Maximum value = = 1.
[sec( p 4) + cosec ( p 4)]
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
544
For E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com

CHAPTER

9.3
INTEGRAL CALCULUS

x dx
1. x 2
+1
dx is equal to 5.  1  3 sin 2
x
is equal to

1 (A) 1
tan 1 (tan x) (B) 2 tan 1 (tan x)
(A) log ( x 2  1) (B) log ( x 2  1) 2
2 (C) 1
tan 1 (2 tan x) (D) 2 tan 1 12 tan x
2
x
(C) tan 1 (D) 2 tan 1 x
2 2 sin x  3 cos x
6.  3 sin x  4 cos x dx is equal to
1 9 1
2. If F ( a)  , a  1 and F ( x)   a 2 dx  K is equal (A) x log( 3 sin x  4 cos x)
log a 25 25
to 18 2
(B) x log( 3 sin x  4 cos x)
1 1 25 25
(A) ( a x  a a  1) (B) (ax  aa)
log a log a 18 1
(C) x log( 3 sin x  4 cos x)
1 1 25 25
(C) ( a x  a a  1) (D) ( a x  a a  1)
log a log a (D) None of these

3.
dx
 1  sin x is equal to
7.  3  8 x  3 x 2 dx is equal to

3x  4 25  3x  4

(A) 3  8 x  3 x2  sin 1
(A)  cot x  cosec x  c (B) cot x  cosec x  c 3 3 18 3  5

(C) tan x  sec x  c (D) tan x  sec x  c 3x  4 25 3  3x  4

(B) 3  8 x  3 x2  sin 1
6 18  5
( 3 x  1) 3x  4
4.  2x dx is equal to 25  3x  4

2
2x  3 (C) 3  8 x  3 x2  sin 1
6 3 18 3  5
3 5 2x 1

(A) log (2 x 2  2 x  3)  tan 1


(D) None of these
4 2  5
dx
(B)
4 2x 1

log (2 x 2  2 x  3)  5 tan 1

8.  2 x  3x  4
2
is equal to
3  5
1 4x  3 1 4x  3
4 2 2x 1
(A) sin 1 (B) sinh 1
(C) log (2 x 2  2 x  3)  tan 1

2 23 2 23
3 5  5
1 4x  3
(C) cosh 1 (D) None of these
3 2 2x 1
2 23
(D) log (2 x 2  2 x  3)  tan 1

4 5  5
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
545
For E-books/Materials/Notes-PDFs|PPTs
UNIT 9 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Engineering Mathematics

2x + 3 dx
9.  x + x+1
2
dx is equal to 15. 1 x  x 2
 x3
is equal to

2x  1 1  ( x  1) 2 1 
(A) 2 x 2  x  1  2 sinh 1 (A)
2 log x 2  1  tan x
3  
2x  1 1  ( x  1) 2 
(B) x 2  x  1  2 sinh 1 (B) log  2 tan 1 x
3 4  x2  1 
2x  1 1  ( x  1) 2 
(C) 2 x 2  x  1  sinh 1 1

3
(C)
2 log x 2  1  2 tan x
 
2x  1 (D) None of these
(D) 2 x 2  x  1  sinh 1
3
sin x
dx
16.  1  sin x dx is equal to
10.  x  x2
is equal to
(A)  x  sec x  tan x  k (B)  x  sec x  tan x
(A) x  x2  c (B) sin 1 (2 x  1)  c (C)  x  sec x  tan x (D)  x  sec x  tan x

(C) log (2 x  1)  c (D) tan 1 (2 x  1)  c


 e { f ( x)  f ( x)} dx is equal to
x
17.
(A) e f ( x)
x
(B) e x f ( x)
1
11.  ( x  1) 1  2 x  x2
dx is equal to
(C) e x  f ( x) (D) None of these

 2
1  2
 1  sin x

2 cosh 1 cosh 1
(A) (B)
 e  1  cos x dx is
x
18. The value of
1  x 2 1  x
 2
1  2
x x
(C)  2 cosh 1 (D)  cosh 1 (A) e x tan c (B) e x cot c
2 2
1  x 2 1  x
(C) e x tan x  c (D) e x cot x  c
dx
12.  sin x  cos x is equal to x3
19. x 2
1
dx is equal to
1  
1  x 

(A) log tan x  (B) log tan  (A) x 2  log ( x 2  1)  c


2  4 2 2 6
(B) log ( x 2  1)  x 2  c
1  x 
1  x 

(C) log tan  (D) log tan  1 2 1


2 2 8 2 4 4 (C) x  log ( x 2  1)  c
2 2
dx 1 2 1
(D) x  log( x 2  1)  c
13.  sin( x  a) sin( x  b) is equal to 2 2

(A) sin( x  a) log sin( x  b) 20.  sin 1 x dx is equal to


 x a
(A) x sin 1 x  1  x 2  c (B) x sin 1 x  1  x 2  c
(B) log sin
 x b
(C) x sin 1 x  1  x 2  c (D) x sin 1 x  1  x 2  c
 sin( x  a) 
(C) sin( a  b) log  
 sin( x  b)  sin x  cos x
1  sin( x  a) 
21.  1  sin 2 x
dx is equal to
(D) log  
sin( a  b)  sin( x  b)  (A) sin x (B) x
(C) cos x (D) tan x
dx
14.  ex  1 is equal to 1
22. The value of  5 x  3 dx is
(A) log ( e x  1) (B) log (1  e x ) (A) 1/2
0
(B) 13/10
x
(C) log ( e  1) (D) log (1  e ) x
(C) 1/2 (D) 23/10
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
546
For E-books/Materials/Notes-PDFs|PPTs
UNIT 9 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Engineering Mathematics

1 x
46. The area bounded by the curve r   cos  and the
 (x + y 2 ) dydx is equal to
2
39. 
0 x lines   0 and   is given by
2
7 3   2
  2

(A) (B) (A)  1 (B)  1


60 35 4  16 16  6

4
(C) (D) None of these   2

49 (C)  1 (D) None of these


16  16
1 1  x2

40. The value of   dy dx is


0 0
47. The area of the lemniscate r 2  a 2 cos 2  is given by

  4 a cos 2  2 a cos 2 
(A) log ( 2  1) (B) log ( 2  1) (A) 4   rdrd (B) 2   rdrd
0 0 0 0
4 4
 (C) 4 
2 a cos 2   a cos 2 
(C)
2
log ( 2  1) (D) None of these 0  0
rdrd (D) 2 
0 0  rdrd

41. If A is the region bounded by the parabolas y 2  4 x 48. The area of the region bounded by the curve
and x  4 y, then  ydxdy is equal to y ( x 2  2)  3 x and 4 y  x 2 is given by
2

A
48 36 1 x2 4 1 x2 4
(A)
5
(B)
5
(A)  
0 y 0
dxdy (B)  
0 y 0
dydx

32 2 3x ( x 2  2) 1 3x ( x 2  2)
(C)
5
(D) None of these (C)  
0 y x 2 4
dydx (D) y 0  y x 2 4
dxdy

42. The area of the region bounded by the curves 49. The volume of the cylinder x 2  y 2  a 2 bounded
x  y  a and x  y  a in the first quadrant is given
2 2 2
below by z  0 and bounded above by z  h is given by
by
(A) ah (B) a 2 h
a a2  x 2 a a2  x 2

(A)   dxdy (B)   dxdy (C)


1
a 3h (D) None of these
0 ax 0 0 3
a 2  y2 a

  dxdy
1 1 1
(C) (D) None of these
ax 0
50. 
0 0 0
e x  y z dxdydz is equal to
3
(A) ( e  1) 3 (B) ( e  1)
43. The area bounded by the curves y  2 x , y   x, 2
x  1 and x  4 is given by (C) ( e  1) 2 (D) None of these
33
(A) 25 (B) 1 z x z
2 51.   
1 0 x z
( x  y  z) dy dx dz is equal to
47 101
(C) (D)
4 6 (A) 4 (B) 4
(C) 0 (D) None of these
44. The area bounded by the curves y 2  9 x, x  y  2  0
is given by
1
(A) 1 (B)
2
3 5
(C) (D) *************
2 4

45. The area of the cardioid r  a (1  cos ) is given by


 a (1  cos )  a (1  cos )
(A) 2   rdrd (B) 2   rdrd
 0 r  0 0 ra

2 a (1  cos ) 4 a (1  cos )
(C) 2   rdrd (D) 2   rdrd
0 r0 0 r0
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
548
ForIntegral
E-books/Materials/Notes-PDFs|PPTs
calculus Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 9.3

3x  1
4. (A) Let I   dx
SOLUTIONS 2x 2x  3
2

3 5
x Let 3 x  1  p( 4 x  2)  q p , q 
1. (A)  x +1
2
dx 4 2
3 4x 2 5 dx
4  2 x2  2 x  3
I dx   2
Put x 2  1  t 2 xdx  dt 2 2x 2x  3
x 1 1
 x 2  1 dx   2  t dt 
3 5
log (2 x 2  2 x  3)  
dx
2
4 4  1

2
 5

1 1 x  

 log t  log ( x 2  1)  2  2
2 2
1
x
3 5 1 2
ax  log (2 x 2  2 x  3)  tan 1
2. (A) F ( x)   a x dx  K  K 4 4 5
5
log a
2 2

aa
F ( a)  K
log a
dx
5. (C) Let I  
1 aa 1  aa 1  3 sin 2 x
K   
log a log a log a
cosec2 x dx cosec2 x dx

cosec 2 x  3  (1  cot2 x)  3
a x
1a 1a 
F ( x)    [ a x  a a  1]
log a log a log a
Put cot x  t  cosec2 x dx  dt
dt 1 t 1  cot x

dx I   cot1  cot1
3. (C)  1  sin x 4  t2 2 2 2  2
1
dx  tan 1 (2 tan x)
  x x
x x
2
sin
2
 cos 2  2 sin cos
 2 2 2 2
2 sin x  3 cos x
x 6. (C) Let I   dx
sec 2 3 sin x  4 cos x
dx 2
 2
 2
dx Let (2 sin x  3 cos x)  p( 3 cos x  4 sin x)
 x x
 x

cos  sin 1  tan  q( 3 sin x  4 cos x)


 2 2  2
x 1 18
Put 1  tan  t p , q
2 25 25
x 2 dt 2 1 3 cos x  4 sin x 18 3 sin x  4 cos x
sec2 dx  2 dt
2  t 2
dt    K
t
I
25  3 sin x  4 cos x dx  25  3 sin x  4 cos x dx
x 1 18
2 cos  log ( 3 sin x  4 cos x)  x
2 2 25 25
 K  K
x x x
1  tan cos  sin
2 2 2 2 2
5
 4

2 cos
x x
cos  sin
x 7. (B)  3  8 x  3 x 2 dx  3   x  dx
 
3 3
 2 ! 2 2 K
x x x x   4

cos  sin cos  sin 2 2 2 x  "
2 2 2 2 1 " 4
5
 4
5
1 3
 3  x   x   sin 5 
2 cos 2 x x
 2 sin cos
x 2 "  3  3  3  3 "
 2 2 2 K   3 
2 x 2 x
cos  sin 3x  4 25 3 3x  4
2 2  3  8 x  3 x2  sin 1
6 18 5
(1  cos x)  sin x
  k  tan x  sec x  1  K
cos x
dx 1 dx
 tan x  sec x  c 8. (B)  2 x  3x  4
2

2
 2 2
 3
 23

x  

 4  4
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
549
For E-books/Materials/Notes-PDFs|PPTs
UNIT 9 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Engineering Mathematics

3 1 dx 1  


1
sinh 1
x+
4  1 sinh 1 4 x  3

2
  


2
 cosec  x  4 dx
sin x 
2  23
2 23  4

4
 1  1 
 1  x 

   log cot x    log tan 


2  2 4  2 2 8
2x  3
9. (B)  x2  x  1
dx
dx
2x  1 2 dx
13. (D)  sin( x  a) sin( x  b)
 dx  
x2  x  1 x2  x  1 1 sin( a  b) dx

sin( a  b)  sin( x  a) sin( x  b)
2x  1 dx
 dx  2 
x2  x  1 2 1 sin [( x  b)  ( x  a)]
 sin( x  a) sin( x  b)
2
 1
 3
 dx
x  
sin( a  b)
 2  2
1
1 
x sin ( a  b)
( x 2  x  1)1 2 2
  2 sinh 1 sin( x  b) cos( x  a)  cos( x  b) sin( x  a)
1 3 ! dx
2 sin( x  a) sin( x  b)
2
2x  1 1
sin( a  b) 
 2 x 2  x  1  2 sinh 1  [cot( x  a)  cot( x  b)]dx
3
1
 [log sin ( x  a)  log sin ( x  b)] dx
dx sin ( a  b)
10. (B)  x 1 x
I
 sin( x  a) 
1
 log  
Put x  sin  dx  2 sin  cos  d
2 sin ( a  b)  sin( x  b) 
2 sin  cos  2 sin  cos 
I  d   d
sin  1  sin 2 sin  cos  dx e  x dx
14. (D) Let I  e x
1

1  e x
I   2 d  2   c  2 sin 1 xc
Put 1  e  x  t e  x dx  dt
1
I  sin (2 x  1)  c dt
I   log t  log (1  e  x )
t
1
11. (D) Let I   dx
( x  1) 1  2 x  x 2 dx
15. (B) Let I  
1 1 1  x  x2  x3
Put x  1  dx   dt
t t2 dx

1 (1  x) (1  x 2 )
 dt
t2 dt Bx  C
I   Let
1

A

1 1
1

2
2 t2  1 (1  x)(1  x ) 1  x 1  x 2
2
1  2  1   1
t  t  t 1  A(1  x 2 )  ( Bx  C)(1  x)
1 dt 1 t Comparing the coefficients of x 2 , x and constant terms,

2
 2

2
cosh 1
 1
1 2
A  B  0, B  C  0, C  A  1
t 2 

 2 Solving these equations, we get
 2
1 1 1
1 A  , B , C
 cosh 1
2 2 2
2  x  1
1 1 1 x 1
2 1 x
I  dx   2 dx
2 x 1
dx
12. (C)  sin x  cos x 
1 1 1
log (1  x)  log ( x 2  1)  tan 1 x
2 2 2
1 dx

2
   
1 
log
( x  1) 2
 2 tan 1

x
sin x cos  cos x sin 4  x2  1
4 4  
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
550
ForIntegral
E-books/Materials/Notes-PDFs|PPTs
calculus Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 9.3

sin x sin x  cos x


16. (B) Let I   dx   dx
1  sin x (sin x  cos 2 x)  2 sin x cos x
2

1  (1  sin x) sin x  cos x


 dx  dx
1  sin x (cos x  cos x) 2
1 1  sin x
 dx   dx   dx  x sin x  cos x
1  sin x 1  sin 2 x  dx   dx  x
sin x  cos x
1  sin x
 dx  x   (sec2 x  sec x tan x) dx  x
cos 2 x 35 35 1

 tan x  sec x  x
22. (D)  5 x  3 dx    5 x  3 dx 
0 0
 5 x  3 dx
35

35 1
 5
 5 x2

17. (B) Let I   e { f ( x)  f ( x)} dx


x
  x 2  3 x   3 x
 2 0  2 3 5
  e x f ( x) dx   e x f ( x) dx
 9 9
 5
 9 9

     2  3  10  5 
 { f ( x) e x   f ( x) ex dx}   ex f ( x) dx  f ( x)  ex  10 5   
9  1 9
13
    
 1  sin x
10  2 10 10
18. (A) Let I   e dx
x

 1  cos x
1 1
 x
dx e x dx
x
1  2 sin cos
23. (B) e x
 e x
 e 2x
1
e
x 2 2 dx 0 0

x e
2 cos 2 dt
 2 Put e x  t e x dx  dt  t
1
2
1
 [tan 1 t ]1e
1 x x
  e x sec 2 dx   e x tan dx 
2 2 2  tan 1 e  tan 1 1  tan 1 e 
4
1 x x x  x
  e  2 tan   e  2 tan dx    e tan dx
x x

2 2 2  2 c c

 x(1  x) dx   ( x  x ) dx
2
24. (D)
x
 e tan  c
x 0 0
2 c
1 1
1
 x 2  x 3  c 2 ( 3  2 c)
x3 x  x2  2 3 0 6
19. (C) I   dx   2 dx
x 12
x 1 c
1 2
 x(1  x) dx  0
6
c ( 3  2 c)  0
x( x  1  1)
2
x 0
 dx   xdx   2 dx
x 1
2
x 1 3
c
1 2 1 2
 x  log ( x 2  1)  c
2 2
25. (D) Put x 2  x  t (2 x  1) dx  dt
20. (A) Let I   sin 1
x dx   sin 1
x  1  dx 1
2x  1
2
dt
 x x 2
dx  
t
 2( t1 2 ) 20  2 2
1 0 0
 sin 1 x  x    x dx
1  x2


x
4
x 26. (A) sin 5 xdx

1
 x sin x dx 
1  x2
Since, f (  x)  (  x) 4 sin 5 (  x)  x 4 sin 5 x
In second part put 1  x 2  t 2
f ( x) is odd function thus
xdx   tdt  x sin 1 x   dt 

x sin 5 x dx  0
4

 x sin 1 x  t  x sin 1 x  1  x 2  c 

2 2
sin x  cos x 1
21.  1  sin 2 x
dx 27. (A)  cos x dx 
2
 2
(cos 2 x  1) dx
0 0
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
551
For E-books/Materials/Notes-PDFs|PPTs
UNIT 9 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Engineering Mathematics

1 1
 
 

2
1 1
  2 sin (1  t)  dt 
 sin 2 x  x
2 2 0 0  2 4
 2 sin  4  2 t dt
0
1
1 1 
  

 (sin   sin 0)   0     2 sin t  dt   1


2 2 2  0  2 4
1 1   2I  0 I 0
  (0  0)  0   
2 2 2 4
2a
f ( x)
2
 3
 1

# # 1  31. (C) Let I   f ( x)  f (2 a  x) dx ....(1)



Aliter 1.  cos 2 x dx     2 
2 2 0

4
2 4 2a
f (2 a  x)
2 # I  f (2 a  x)  f ( x) dx....(2)
0

2 0
2
1   Adding (1) and (2), we get
 cos x   
2
Aliter 2. Use Wallis Rule
0 2 2 4 2a
f ( x)  f (2 a  x)
2a
2I   f ( x)  f (2 a  x) dx   1  dx  [ x ]  2a
2a
0
0 0
a
28. (B) Let I   a 2  x 2 dx I  a
0

Put x  a sin  dx  a cos  d when x  0,   0, 1


e 1  x2

 32. (C) Let I   1  x2


xdx
when x  a,   0
2
2 Put 1  x2  t
I   a 2  a 2 sin 2  a cos  d
1
0 ( 2 x) dx  dt
2 2 1  x2
1 
 a 2  cos 2  d  a 2   (By Wallis Formula)
0 2 2 when x  0, t  1, when x  1, t  0
0
a 2 I   e t dt  [ e t ]10  [ e 0  e1 ]  e  1

4 1
a
Aliter:  a 2  x 2 dx 1
dx
0 33. (B) Let I  
a 0
1  x  x2
1 1 x  a 2  a 2
  x a 2  x 2  a 2 sin 1   0   1
2 2 a 0  4  4  1
x 
1 
1
dx 2
 2
 tan
1
2
2 0  1
 3
3  3 
29. (D) Let I   log (tan x) dx ....(1) x  
2  2  0
0
 2  2
2

2   1
 2   

I   log tan  x dx  1 1
 tan 1  
tan 
0  2 3  3  3  3 6 6
2

I   log (cot x)....(2) 2 2 3


 
0
3 3 9
Adding (1) and (2), we get
2 1 0 1
x x x
2 I   [log (tan x)  log (cot x)]dx 34. (B) Let I  
1 x
dx  1 x dx   x dx
0
0
2 0 1

  log (tan x  cot x) dx   1dx   1  dx  [ x ]  [ x ]10


0
1
0 1 0

2
  [0  ( 1)]  [1  0 ]  0
  log 1 dx  0 I 0
0
100  

1
35. (C)  $sin x$dx  100  $sin x$dx
 t 
0 0
30. (D) Let I   2 sin  dt ....(i)
0  2 4 [ . .. sin x is periodic with period ]
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
552
ForIntegral
E-books/Materials/Notes-PDFs|PPTs
calculus Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 9.3

 1  x2
1
 100  sin x dx  100(  cos x) 0 1 x2

0
40. (D)  
0 0
dydx   [ y ]01 
0
dx

 100(  cos   cos 0)  100(1  1)  200. 1


  1  x 2 dx
  0

36. (C) Let I   cos m x sin nx dx   f ( x) dx 1


0 0
 [ x 1  x 2  log( x  1  x 2 )]10
2
Where f ( x)  cos m x sin n x 1
 [ 2  log (1  2 )]
f (   x)  cos m (   x) sin n (   x) 2
 (  cos x) m (sin x) n
 cos m x sin n x, if m is odd 41. (A) Let I   ydxdy,
A

I   cos m
x sin x dx  0, if m is odd
n
Solving the given equations y 2  4 x and x 2  4 y , we get
0
x  0, x  4 . The region of integration A is given by
2 x
 4 2 x 4
 y2 
37. (A) Let I   xF (sin x) dx ....(1) A   ydydx    2  dx
0 0 x2 4 0   x2 4
 4
1 x4
 x5 
4
  ( x  ) F [sin (   x)]dx 48
 4 x  dx   x 2    5
0 0 2  10  160 0

I   (   x) F(sin x) dx ....(2)
0 42. (A) The curves are
Adding (1) and (2), we get x 2  y 2  a 2 ... ....(i)

2 I   F (sin x) dx x  y  a... ....(ii)
0

1
 The curves (i) and (ii) intersect at A (a, 0) and B (0,a)
2 0
I F (sin x) dx a a2  x 2

The required area A  


x 0
 dydx
y a  x
2
ex  2 x x

38. (B) Let I   sec  2 tan dx


0 2  2 2 43. (D) The given equations of the curves are
2 2 y  2 x i.e., y 2  4 x....(i) y   x....(ii)
1 x x
  e xsec 2 dx  0 e tan 2 dx  I1  I 2
x

0 2 2 If a figure is drawn then from fig. the required area is


4 2 x 4 4

1 x
2
A   dydx   [ y ]
2 x
x   [2 x  x ]dx
Here, I1   e x sec 2 dx 1 x 1 1
0 2 2
 32
 4 1
101
2 2   8   
1 x 1 x  3 3 2
  e x  2 tan   0 e  2 tan 2 dx 6
x

2 2 0 2
2

 
x 44. (B) The equations of the given curves are
 e  2 tan  0  e
x
tan dx
 4 0
2 y 2  9 x....(i) x  y  2  0....(ii)
 e  I 2 , I1  I 2  e
2 2 The curves (i) and (ii) intersect at
I  I1  I 2  e 2 A(1, 3) and B(4, 6)
If a figure is drawn then from fig. the required area is
1 x 1 x
 2 1 3 4 3 x 4

 x ( x  y ) dy dx  0  x y  3 y  x dx A   dydx   [ y ]
2 2
39. (B) 3 x
x 2 dx
0 1 x 2 1
1
 1 1  4
 1 
4
   x 5 2  x 3 2  x 3  x 3  dx   [ 3 x  ( x  2)]dx  2 x 3 2  x 2  2 x 
0  3 3 
1  2 1
1
2 2 52 1 4  3  1
1
  x7 2  x  x    (16  8  8)  2   2 
7 15 3  0 35  2 2
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
553
For E-books/Materials/Notes-PDFs|PPTs
UNIT 9 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Engineering Mathematics

45. (A) The equation of the cardioid is Thus the equation volume is V  4  zdxdy
A
r  a (1  cos ) ....(i)
a a2  x 2 a a
If a figure is drawn then from fig. the required area is a2  x 2

 a (1  cos )
4   hdydx  4 h [ y ]
0 0 0
0 dx  4 h a 2  x 2 dx
0
Required area A  2
 0
  rdrd
r0
Let x  a sin , dx  a cos  d,
2

Volume V  4 h  a 2  a 2 sin 2   a cos  d


46. (C) The equation of the given curve is 0
2
r   cos ....(i) 1 
 4 ha 2  cos 2  d  4 ha 2    a 2 h.
The required area 0 2 2
 2  cos  2  cos 
1 2 
A  r  0rdrd  0 2 r  o d 1 1 1

e
x  y z
 0 50. (A) dxdydz
2 2 0 0 0
1 1
  cos 2 d    (1  cos 2 )d
2 2
1 1 1 1
2 0 4 0    [ ex  ] dydz    [ e1 
y z 1
0
y z
 e y  z ]dydz
2 2 0 0 0 0
1 1
   d    cos 2  d
2 2 1
4 0 4 0   [ e1  y  z  e y  z ]10 dz
0
1  sin 2 

2 2 2
1 1  sin 2 
  2
1
   3     2 d 
4 3 0 4  2 0 0 2    [( e 2  z  e1  z )  ( e1  z  e z )]dz
0
2
3 1   1
     sin 2  d    ( e 2  z  2 e1  z  e z ) dz  [ e 2  z  2 e1  z  e z ]10
96 4  0  0

3 1  cos 2 
 cos 2 

2 2
 ( e 3  2 e 2  e)  ( e 2  2 e  1)
       d 
96 4  2 0 0 2   e 3  3e 2  3e  1  ( e  1) 3
2
3 1  
1 1 z x z
 
96 4  4
0 
8
 cos 2  d
0
51. (C)    ( x  y  z) dydxdz
1 0 x  z
2
3  11
  2
1 z
( x  y  z) 2 
x z
   sin 2    1
96 16 8  2 0 16  16
 1 0  2  dxdz
x y
1 z (2 x  2 z) 2  2 x
2 
47. (A) The curve is r 2  a 2 cos 2    2
1 0 
  dxdz
 2 
If a figure is drawn then from fig. the required area is 
z
 4 a cos 2  4 a cos 2  
1 3
 1
( x  z) 3 x 3 
1 2   2   (( x  z) 2  x 2 ) dx  dz  2     dz
A 4 
 0
r  0rdrd  4 0 2 r  0 d
1  0  1  3 3 0
1
4
sin 2  
4
2
1
2
1
z4 
 2  a cos 2  d 2 a 
2
 a22   [(2 z) 3  z 3  z ]dz   6 z dz 
3
4 4
 2 
0 3 1 3 1   1
0

1 1

 4   0
48. (C) The equations of given curves are 4 4
y( x 2  2)  3 x....(i) and 4 y  x 2 ....(ii)
The curve (i) and (ii) intersect at A (2, 1).
If a figure is drawn then from fig. the required area is
********
2 3x ( x 2  2 )

The required area A 


x 0
  dxdy
y x 2 4

49. (B) The equation of the cylinder is x 2  y 2  a 2


The equation of surface CDE is z  h.
If a figure is drawn then from fig. the required area is
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
554
ForComplex
E-books/Materials/Notes-PDFs|PPTs
Variables Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 9.5

10. The integration of f ( z) = x 2 + ixy from A(1, 1) to B(2, 17. The value of f ( 3) is
4) along the straight line AB joining the two points is (A) 6 (B) 4i
-29 29
(A) + i11 (B) - i11 (C) 4i (D) 0
3 3

(C)
23
+ i6 (D)
23
- i6 18. The value of f
(1  i) is
5 5
(A) 7 (  i2) (B) 6 (2  i )

e2 z (C) 2 (5  i13) (D) 0


11. c ( z + 1) 4 dz = ? where c is the circle of z = 3
Statement for 1921:
4 i 3 4 i 3
(A) e (B) e
9 9 Expand the given function in Taylors series.
4 i 1 8 i 2
(C) e (D) e z 1
3 3 19. f ( z)  about the points z  0
z 1

1  2z (A) 1  2( z  z 2  z 3......) (B) 1  2( z  z 2  z 3......)


12. c z( z  1)( z  2) dz = ? where c is the circle z = 15. (C) 1  2( z  z 2  z 3......) (D) None of the above
(A) 2 + i 6 (B) 4 + i 3
1
(C) 1 + i (D) i3 20. f ( z)  about z  1
z 1
1  1 1 
13. ( z - z 2 ) dz = ? where c is the upper half of the circle (A) 1  ( z  1)  2 ( z  1) 2 .......
c
2  2 2 
z =1 1 1 1 
(B) 1  ( z  1)  2 ( z  1) 2 .......
-2 2 2  2 2 
(A) (B)
3 3 1 1 1 
(C) 1  ( z  1)  2 ( z  1) 2 .......
3 -3 2  2 2 
(C) (D)
2 2
(D) None of the above
cos z
14.
c z 1
dz = ? where c is the circle z = 3
21. f ( z)  sin z about z 

4
(A) i2 (B)  i2 1    1  
2

(A) 1   z     z    .......
(C) i6 2 (D)  i6 2 2   4  2 ! 4 

1  
2
sin z 2   1  
15. c ( z  2)( z  1) dz = ? where c is the circle z = 3 (B) 1   z     z    .......
2   4  2 ! 4 

1   
2
(A) i6 (B) i2  1  
(C) 1   z     z    .......
(C) i4 (D) 0 2   4  2 ! 4 

1 cos z (D) None of the above


2 i c z 2  1
16. The value of dz around a rectangle with
22. If z  1  1, then z 2 is equal to
vertices at 2  i , 2  i is 

(A) 6 (B) i2 e (A) 1   ( n  1)( z  1)


n 1
n 1

(C) 8 (D) 0 
(B) 1   ( n  1)( z  1)
n 1
n 1

Statement for Q. 1718: 

3z 2  7 z  1
(C) 1   n( z  1) n

f ( z0 )  dz , where c is the circle n 1

c ( z  z0 ) 

x  y  4.
2 2 (D) 1   ( n  1)( z  1)
n 1
n

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
565
For E-books/Materials/Notes-PDFs|PPTs
UNIT 9 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Engineering Mathematics

Statement for Q. 2325. z


28. The Laurents series of f ( z) = is,
( z + 1)( z 2 + 4)
2
1
Expand the function in Laurents
( z  1)( z  2) where z  1
1 5 3 21 5
series for the condition given in question. (A) z - z + z ..........
4 16 64
23. 1  z  2 1 1 2 5 4 21 6
(B) + z + z + z ..........
1 2 3 2 4 16 64
(A) + 2 + 3 + .......
z z z 1 3 15 5
(C) z - z3 + z ..........
1 1 1 1 3 2 4 8
(B) K - z -3 - z -2 - z -1 - - z - z2 - z -K
2 4 8 18 1 1 2 3 4 15 6
(D) + z + z + z ..........
1 3 7 2 2 4 8
(C) 2
+ 2 + 4 ...........
z z z
1 - e Zz
(D) None of the above 29. The residue of the function at its pole is
z4
4 -4
24. z > 2 (A) (B)
3 3
6 13 20 1 8 13
(A) + + 3 + ........ (B) + + + ......... -2 2
z z2 z z z2 z3 (C) (D)
3 3
1 3 7 2 3 4
(C) 2
+ 3 + 4 + ......... (D) 2
- 3 + 4 - ........
z z z z z z 1
30. The residue of z cos at z = 0 is
z
25. z  1 1 -1
(A) (B)
+7 2 15 2 2 2
(A) 1 + 3z z + z .....
2 4 1 -1
(C) (D)
1 3 7 15 3 3 3
(B) + z + z2 + z ...
2 4 8 16
1 - 2z
(C)
1 3 z2 z3
+ + + .......
31. z(1 - z)( z - 2) dz = ? where c is
c
z = 15
.
4 4 8 16
(A) - i3 (B) i3
(D) None of the above
(C) 2 (D) 2
1
26. If z  1  1 , the Laurents series for is
z( z  1)( z  2) z cos z
32.  
dz = ? where c is z - 1 = 1
( z  1) 3 ( z  1) 5 c z 
 
(A)  ( z  1)    ...........  2
2! 5!
( z  1) 3 ( z  1) 5 (A) 6 (B)  6
(B)  ( z  1) 1    .........
2! 5! (C) i2 (D) None of the above
(C)  ( z  1)  ( z  1)  ( z  1)  ..........
3 5
1

z e z dz = ? where c is z = 1
2
(D)  ( z  1) 1
 ( z  1)  ( z  1)  ( z  1)  .........
3 5 33.
c

1 (A) i3 (B)  i3
27. The Laurents series of for z  2 is
z( e z  1) i
C) (D) None of the above
1 1 1 1 2 3
(A) 2 + + + 6z + z + ..........
z 2 z 12 720
2
dq
1
(B) 2 -
1
+
1
-
1 2
z + .......... 34. 2 + cos q = ?
z 2 z 12 720 0

1 1 1 1 2 2 2
(C) + + z2 + z + .......... (A) (B)
z 12 634 720 2 3

(D) None of the above (C) 2 2 (D) 2 3


www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
566
ForComplex
E-books/Materials/Notes-PDFs|PPTs
Variables Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 9.5


x2
35. ( 2 + a 2 )( x 2 + b2 ) dx = ?
 x SOLUTIONS
ab ( a + b)
(A) (B) x 3(1 + i) - y 3(1 - i)
a+b ab 1. (C) Since, f ( z) = u + iv = ; z 0
x2 + y2

(C) (D) ( a + b) x3  y3 x3  y3
a+b u ; v
x2  y2 x2  y2

dx Cauchy Riemann equations are
36. 1+ x 6
=?
u v u v
0  and 
x y y x
(A) (B)
6 2 u y v v
By differentiation the value of , , , at(0, 0)
2 x y x y
(C) (D)
3 3 0
we get , so we apply first principle method.
0
*************** At the origin,
u u(0  h, 0)  u(0, 0) h3 h2
 lim  lim  1
x h !0 h h !0 h
u u(0, 0  k)  u(0, 0)  k3 k2
 lim  lim  1
v h !0 k k !0 k
v v(0  h, 0)  v(0, 0) h3 h2
 lim  lim 1
x h !0 h h !0 h
v v(0, 0  k), v(0, 0) k3 k2
 lim  lim 1
y k !0 k k !0 k
u v u v
Thus, we see that  and 
x y y x
Hence, Cauchy-Riemann equations are satisfied at
z  0.
f ( z)  f (0)
Again, f
(0)  lim
z !0 z
( x 3  y 3)  i( x 3  y 3) 1 
 lim 
z !0
 (x  y )
2 2
( x  iy) 
Now let z ! 0 along y  x, then
( x 3  y 3)  i( x 3  y 3) 1  2i 1 i
f
(0)  lim    
z !0
 (x  y )
2 2
( x  iy)  2(1  i) 2
Again let z ! 0 along y  0, then
 x 3  i( x 3) 1 
f
(0)  lim  1  i
x !0
 (x )
2
x 
So we see that f
(0) is not unique. Hence f
(0) does not
exist.

df "f
2. (A) Since, f
( z)   lim
dz "z !0 "z
"u  i"v
or f
( z)  lim ....(1)
"z !0 "x  i"y

Now, the derivative f


( z) exits of the limit in equation
(1) is unique i.e. it does not depends on the path along
which "z ! 0.
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
567
For E-books/Materials/Notes-PDFs|PPTs
UNIT 9 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Engineering Mathematics

Let "z ! 0 along a path parallel to real axis Now let v be the conjugate of u then
Dy = 0 \ Dz 0 Dx 0 v v u u
dv  dx  dy   dx  dy
Now equation (1) x y y x
"u + i"v "u "v (by Cauchy-Riemann equation)
f
( z) = lim = lim + i lim
"x !0 "x "x !0 "x "x !0 "x
dv  2 x dx  2(1  y) dy
u v On integrating v  x 2  y 2  2 y  C
f
( z) = i ....(2)
x x
Again, let "z ! 0 along a path parallel to imaginary 5. (C) Given f ( z)  u  i v ....(1)
axis, then "x ! 0 and "z ! 0 ! "y ! 0 if ( z)  v  iu ....(2)
Thus from equation (1) add equation (1) and (2)
"z  i"v "u "v u v
$
( z)  lim  lim  i lim   (1  i) f ( z)  ( u  v)  i( u  v)
"y !0 i"y "y !0 i"y "y !0 i"z i y y
F ( z)  U  iV
i u v
f
( z)   ....(3) where, F ( z)  (1  i) f ( z); U  ( u  v); V  u  v
y y
Let F ( z) be an analytic function.
Now, for existence of f
( z) R.H.S. of equation (2) and (3)
Now, U  u  v  e x (cos y  sin y)
must be same i.e.,
U U
u v v u  e x (cos y  sin y) and  e x (  sin y  cos y)
i  i x y
x x y y
 U U
u v v  u Now, dV  dx  dy....(3)
 and  y x
x y x y
 e x (sin y  cos y) dx  e x (cos y  sin y) dy
u u v v
f
( z)  i  i  d[ e x (sin y  cos y)]
x y y x
on integrating V  e x (sin y  cos y)  c1
3. (A) Given f ( z)  x 2  iy 2 since, f ( z)  u  iv F ( z)  U  iV  e x (cos y  sin y)  ie x (sin y  cos y)  ic1
Here u  x 2 and v  y 2  e x (cos y  i sin y)  ie x (cos y  i sin y)  ic1
u u F ( z)  (1  i) e x  iy  ic1  (1  i) ez  ic1
Now, u  x 2  2 x and 0
x y (1  i) f ( z)  (1  i) e z  ic1
v v i i(1  i) ( i  1)
and v  y 2  0 and 2y f ( z)  e z  c1  e z  c1  ez  c1
x y 1 i (1  i)(1  i) 2
u u f ( z)  e z  (1  i) c
we know that f
( z)  i ....(1)
x y
v v 6. (C) u  sinh x cos y
and f
( z)   i ....(2)
y x u
 cosh x cos y  $( x, y)
Now, equation (1) gives f
( z)  2 x ....(3) x
u
and equation (2) gives f
( z)  2 y ....(4) and   sinh x sin y  %( x, y)
y
Now, for existence of f
( z) at any point is necessary that
by Milnes Method
the value of f
( z) most be unique at that point, whatever
be the path of reaching at that point f
( z)  $( z, 0)  i%( z, 0)  cosh z  i & 0  cosh z

From equation (3) and (4) 2 x  2 y On integrating f ( z)  sinh z  constant

Hence, f
( z) exists for all points lie on the line x  y. f ( z)  w  sinh z  ic
(As u does not contain any constant, the constant c is in
u 2u the function x and hence i.e. in w).
4. (B)  2(1  y) ; 0 ....(1)
x x 2
u 2u v v
 2 x ; 0 ....(2) 7. (A)  2 y  h( x, y),  2 x  g( x, y)
y y 2 x y

2u 2u by Milnes Method f
( z)  g( z, 0)  ih( z, 0)  2 z  i 0  2 z
  0, Thus u is harmonic.
x 2 y 2 On integrating f ( z)  z 2  c
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
568
ForComplex
E-books/Materials/Notes-PDFs|PPTs
Variables Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 9.5

v ( x 2  y 2 )  ( x  y)2 y n! f ( z) dz f ( z) dz 2 i n
8. (D)
y

( x2  y2 )2
f

( z o) 
2 i (z  z )
c o
n 1
or (z  z )
c o
n 1

n!
f ( z o)

y  x  2 xy
2 2 f ( z) dz i

( x2  y2 )2
 g( x, y) Taking n  3, (z  z )
c o
4

3
f

( z o) ....(1)

v ( x 2  y 2 )  ( x  y)2 x y 2  x 2  2 xy e 2 z dz e 2 z dz
( z  1) 4 c [ z  ( 1)]4
   h( x, y) Given fc 
x ( x2  y2 )2 ( x2  y2 )2
By Milnes Method Taking f ( z)  e 2 z , and z o  1 in (1), we have
1  1  1 e 2 z dz i
f
( z)  g( z, 0)  ih( z, 0)  
z2
 i  2    (1  i) 2
 z  z
( z  1)
c
4

3
f

( 1)....(2)

On integrating Now, f ( z)  e 2 z f

( z)  8 e 2 z
2
1 1
f ( z)  (1  i) 2 dz  c  (1  i)  c f

( 1)  8 e
z z equation (2) have
e 2 z dz 8 i 2
9. (A)
u 2 cos 2 x (cosh 2 y  cos 2 x)  2 sin 2 2 x
 ( z  1)
c
4

3
e ....(3)
x (cosh 2 y  cos 2 x) 2
If is the circle z  3
2 cos 2 x cosh 2 y  2
  $( x, y) Since, f ( z) is analytic within and on z  3
(cosh 2 y  cos 2 y) 2
e 2 z dz 8 i  z
u

2 sin 2 x sinh 2 y
 %( x, y)
|z | 3 ( z  1)
4

3
e
y (cosh 2 y  cos 2 x) 2
By Milnes Method
1  2z 1 1 3
f
( z)  $( z, 0)  i%( z, 0) 12. (D) Since,   
z( z  1)( z  2) 2 z z  1 2( z  2)
2 cos 2 z  2 2 1  2z
  i(0)    cosec2 z 1 3
(1  cos 2 z) 2 1  cos 2 z z( z  1)( z  2) dz
c

2
I1  I 2  I 3....(1)
2
On integrating 1
f ( z)   cosec2 z dz  ic  cot z  ic
Since, z  0 is the only singularity for I1  z dz
c
and it

lies inside z  15
. , therefore by Cauchys integral
10. x  at  b, y  ct  d Formula
On A, z  1  i and On B, z  2  4 i 1
I1  dz  2 i ....(2)
Let z  1  i corresponds to t  0 c z

and z  2  4 i corresponding to t  1  1f ( z) dz 
then, t  0 x  b, y  d
 f ( z o)  2 i
 c z  zo
 [Here f ( z)  1  f ( z o) and z o  0]

b  1, d  1 1
Similarly, for I 2  dz, the singular point z  1 lies
and t  1 x  a  b, y  c  d c z 1
2  a  1, 4  c  1 a  1, c  3 inside z  15 . , therefore I 2  2 i....(3)
AB is , y  3t  1 dx  dt ; dy  3 dt For I 3 
1
dz, the singular point z  2 lies outside
z 2
f ( z) dz  ( x  ixy)( dx  idy)
2
c

c c
the circle z  15
. , so the function f ( z) is analytic
1
everywhere in c i.e. z  15
 [( t  1)  i( t  1)( 3t  1)][ dt  3i dt ] . , hence by Cauchys integral
2

t 0
theorem
1
1
 [( t 2  2 t  1)  i( 3t 2  4 t  1)](1  3i) dt I3  dz  0....(4)
0 c z 2
1
 t3  29 using equations (2), (3), (4) in (1), we get
 (1  3i)   t 2  t  i( t 3  2 t 2  t)     1 1i
 3 0 3 1  2z 1 3
c z( z  1)( z  2) dz  2 (2 i)  2 i  2 (0)  3 i
11. (D) We know by the derivative of an analytic
function that 13. (B) Given contour c is the circle z  1
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
569
For E-books/Materials/Notes-PDFs|PPTs
UNIT 9 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Engineering Mathematics

z = e iq dz = ieiqdq 3z 2  7 z  1
Now, for upper half of the circle, 0 '  '
c z  zo dz  2 i$( zo)

f ( z o)  2 i$( z o) f
( z o)  2 i$
( z o)
( z - z ) dz = (e
iq
2
- e 2 iq) ie iqdq
c q= 0 and f

( z o)  2 i $

( z o)


 e 2 i e 3i  since, $( z)  3z 2  7 z  1
= i ( e 2 i - e 3i)d = i  -
0  2i 3i  0 $
( z)  6 z  7 and $

( z)  6
1 1 1  2 f
(1  i)  2 i[ 6(1  i)  7 ]  2 (5  13i)
i& & ( e 2 i  1)  ( e 3 x  1)  
i 2 3  3
z 1 2
19. (C) f ( z)  1 
z 1 z 1
14. (B) Let f ( z)  cos z then f ( z) is analytic within and
f (0)  1, f (1)  0
on z  3, now by Cauchys integral formula 2
1 f ( z) f ( z) dz f
( z)  f
(0)  2;
( z  1) 2
2 i c z  z o c z  zo  2 if ( zo)
f ( z o)  dz
4
f

( z)  f

(0)  4;
take f ( z)  cos z, z o  1, we have ( z  1) 3
cos z 12
z  3 z  1 dz  2 if (1)  2 i cos  2 i f

( z) 
( z  1) 4
f

(0)  12; and so on.

Now, Taylor series is given by


sin z 2 ( z  z0 ) 2
15. (D) dz f ( z)  f ( z 0 )  ( z  z 0 ) f
( z 0 )  f

( z 0 ) 
c ( z  1)( z  2) 2!
sin z 2 sin z 2 ( z  z0 ) 3

c z 2
dz 
c z 1
dz
3!
f

( z 0 )  .....

 2 if (2)  2 if (1) since, f ( z)  sin z 2 about z  0


f (2)  sin 4  0 and f (1)  sin  0 z2 z3
f ( z)  1  z(2)  ( 4)  (12)  ....
2! 3!
1 1  1  2 z  2 z 2  2 z 3....
16. (D) Let, I 
2 i z 2
1
cos z dz
c f ( z)  1  2( z  z 2  z 3 ....)
1  1 1 
    cos z dz
2 & 2 i c  z  1 z  1  1 1
20. (B) f ( z)  f (1) 
z 1 2
1  cos nz cos nz 
4 i c  z  1
Or I    dz 1 1
z 1  f
( z)  f
(1) 
( z  1) 2 4
2 1
3z 2  7 z  1 f

( z)  f

(1) 
17. (D) f ( 3)  c z  3 dz , since zo  3 is the only ( z  1) 3 4
6 3
3z 2  7 z  1 f

( z)  f

(1)   and so on.


singular point of and it lies outside the ( z  1) 4 8
z 3
Taylor series is
3z 2  7 z  1
circle x 2  y 2  4 i.e., z  2, therefore is ( z  z0 ) 2
z 3 f ( z)  f ( z 0 )  ( z  z 0 ) f
( z 0 )  f

( z 0 )
2!
analytic everywhere within c.
( z  z0 ) 3
Hence by Cauchys theorem  f

( z 0 )  K
3!
3z 2  7 z  1
f ( 3)  dz  0 about z  1
c z 3
 1  ( z  1)  1  ( z  1)  3 
2 3
1
f ( z)   ( z  1)      K
2  4  2! 4 3!  8 
18. (C) The point (1  i) lies within circle z  2 ( . .. the
1 1 1 1
distance of 1  i i.e., (1, 1) from the origin is 2 which is   ( z  1)  3 ( z  1) 2  4 ( z  1) 3 ....
2 22 2 2
less than 2, the radius of the circle). 1 1 1 1 
or f ( z)  1  ( z  1)  2 ( z  1) 2  3 ( z  1) 3  ....
Let $( z)  3z 2  7 z  1 then by Cauchys integral formula 2  2 2 2 
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
570
ForComplex
E-books/Materials/Notes-PDFs|PPTs
Variables Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 9.5

  1 1 z z2 z3  1 1 1 1 
21. (A) f ( z) = sin z f   = sin = f ( z)    1     ..    1   2  3  K
4 4 2 2 2 4 9  z  z z z 
  1 1 1 1 1 3
f
( z) = cos z f
  = or f ( z)  Kz 4  z 2  z 1   z  z 2  z K
4 2 2 4 8 18
  1
f

( z) = - sin z f

  = - 2 1 1 1
 
4 2 24. (C) 1  1 1
z z 2 z
  1
f

( z) = - cos z f

  = - and so on. 1 1 1
1
1 1 1 1 
 
4 2  1     1   2  3  K
z 1 z  z 2 z z z 
Taylor series is given by 1
1 1 2 1 2 4 8 
( z - z0 ) 2 and  1     1   2  3  .... 
f ( z) = f ( z 0 ) + ( z - z 0 ) f
( z 0 ) + f

( z 0 ) z 2 z  z z z z z 
2!
Laurents series is given by
( z - z0 ) 3
+ f

( z 0 ) + .... 1 2 4 98  1 1 1 1 
3! f ( z)   1   2  3  ..    1   2  3  .. 
z z z z  z  z z z 

about z =
4 11 3 7 
   2  3  K
2 zz z z 
 
z -  1 3 7
1   1  1 
+
4 f ( z)    K
f ( z) = + z -   
2  4 2 2! 
 2  z2 z3 z4
3
  1 1 1 z
1
z -  25. (B) z  1,    1    (1  z) 1
 1 
+
4 z 2 z 1 2 2
  +K
3!  2 
 1  z z2 z3 
 1     K  (1  z  z 2  z 3  ...)
1  
2 3
  1   1  2  2 4 8 
f ( z) = 1 +  z -    z     z   ...
2   4  2 ! 4 3!  4  1 3 7 15 3
f ( z)   z  z 2  z K
1 1 2 4 8 16
22. (D) Let f ( z)  z 2  
z 2
[1  (1  z)]2
1 1 1 1
26. (D) Since,   
f ( z)  [1  (1  z)]2 z( z  1)( z  2) 2 z z  1 2( z  2)
Since, 1  z  1, so by expanding R.H.S. by binomial For z  1  1 Let z  1  u
theorem, we get z  u  1 and u  1
f ( z)  1  2(1  z)  3(1  z) 2  4(1  z) 3  K 1 1 1 1
  
 ( n  1)(1  z) n  K z( z  1)( z  2) 2 z z  1 2( z  2)

1 1 1 1 1
or f ( z)  z 2  1   ( n  1)( z  1)
n 1
n
    (1  u) 1  u1  (1  u) 1
2( u  1) u 2( u  1) 2 2
1 1
 [1  u  u2  u3  ... ]  u1  (1  u  u2  u3  ...)
1 1 1 2 2
23. (B) Here f ( z)    ....(1)
( z  1)( z  2) z  2 z  1 1
 ( 2 u  2 u  ...)  u  u  u3  u5  K  u1
3 1

1 z 2
Since, z  1  1 and z  2 1
z 2 Required Laurents series is
1 f ( z)  ( z  1) 1  ( z  1)  ( z  1) 3  ( z  1) 5  K
1 1 1 1
  1  
z 1  1 z z
z 1   1
 z 27. (B) Let f ( z) 
z( e z  1)
1 1 1 1  1
  1   2  3  K 
z z z z 
 z 2
z3 z4 
1 z 1  z     K  1
1 1  z 1  z z z  2 3
 2 ! 3! 4 ! 
and  1     1  2  4  9  K
z 2 2  2 2  
equation (1) gives
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
571
ForComplex
E-books/Materials/Notes-PDFs|PPTs
Variables Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 9.5


1 1 ie 2 iiRe id
f ( z) dz  2 i ) 6  3 i Now f ( z) dz  2 2 i
 a 2 )( R 2 e2 i  b2 )
c r 0 (R e

e 3i
-idz d
34. (B) Let z = e iq dq = ; z ' q ' 2 R
z   a 2  b2 
0
 e 2 i  2  e 2 i  2 
1 1  R  R 
and cos q =  z + 
2 z
-idz
Now when R ! , b( z) dz  0
r
2
dq
0 2 + cos q = c 1 z 1 ;

c: z =1 x 2

2 + z +  (x

2
 a )( x  b )
2 2
2
dz 
ab
2 z
dz
= - 2i 36. (C) Let I 
dz
 f ( z) dz
c z + 4z + 1
2
1  z6
c c
1
Let f ( z) = c is the contour containing semi circle r of radius R and
z2 + 4z + 1
segment from R to R.
f ( z) has poles at z = - 2 + 3, -2 - 3 out of these only
For poles of f ( z), 1  z6  0
z = -2 + 3 lies inside the circle c : z = 1 z  ( 1) 6  e i ( 2 n  1 ) 6

f ( z) dz = 2 i(Residue at z = -2 +
c
3) where n  0, 1, 2, 3, 4, 5, 6
 3i 3i
Only poles z  , i, lie in the contour
Now, residue at z = -2 + 3 2 2
1 1  3i
= lim ( z + 2 - 3) f ( z) = lim = Residue at z 
z !2 + 3 z !2 + 3 ( z + 2 + 3) 2 3 2
1 i 1
f ( z) dz  2 i ) 2
c 3

3

( z1  z 2 )( z1  z 3)( z1  z 4 )( z1  z 5)( z1  z6 )
2
dq i 2 1 1  3i
2 + cos q = -2 i ) =  
0 3 3 3i(1  3 i) 12 i
1
Residue at z  i is
z2 6i
35. (C) I = 2 dz = f ( z) dz
c z + a
( 2
)( z 2 + b2 ) c 1  3i 1 1  3i
Residue at z  is  
where c is be semi circle r with segment on real axis 12 i 3i(1  3i) 12 i
from R to R. R

The poles are z   ia, z   ib. Here only z  ia and



c
f ( z) dz  f ( z) dz 
r R
f ( z) dz
z  ib lie within the contour c 2 i 2
 (1  3i  1  3i  2 i) 
f ( z) dz  2 i 12 i
R
3
c 2
(sum of residues at z  ia and z  ib)
or f ( z) dz 
r
f ( z) dz 
R 3
....(1)

Residue at z  ia, ie id


i
z 2
a iRe d R5
 lim ( z  ia)
z !ia

( z  ia)( z  ia)( z 2  b2 ) 2 i( a 2  b2 )
Now f ( z) dz  1  R e 6 6 i
 1
c 0 0  e6 i
R6
Residue at z  ib
z2 b
where R ! , f ( z) dz ! 0
 lim ( z  ib)  r
z !ib ( z  ia)( z  ia)( z  ib)( z  ib) 2 i( a 2  b2 ) 
ax 2
R (1) ! 1  x6

3
f ( z) dz  f ( z) dz  f ( z) dz
c r R
0

2 i
 ( a  b) 
2 i ( a 2  b2 ) ab ********
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
573
For E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com

CHAPTER

9.6
PROBABILITY AND STATISTICS

1. In a frequency distribution, the mid value of a class is 6. A distribution consists of three components with
15 and the class interval is 4. The lower limit of the frequencies 45, 40 and 15 having their means 2, 2.5 and
class is 2 respectively. The mean of the combined distribution is
(A) 14 (B) 13 (A) 2.1 (B) 2.2
(C) 12 (D) 10 (C) 2.3 (D) 2.4

2. The mid value of a class interval is 42. If the class 7. Consider the table given below
size is 10, then the upper and lower limits of the class
Marks Number of Students
are
0 10 12
(A) 47 and 37 (B) 37 and 47
(C) 37.5 and 47.5 (D) 47.5 and 37.5 10 20 18

20 30 27
3. The following marks were obtained by the students
30 40 20
in a test: 81, 72, 90, 90, 86, 85, 92, 70, 71, 83, 89, 95,
40 50 17
85,79, 62. The range of the marks is
(A) 9 (B) 17 50 60 6

(C) 27 (D) 33
The arithmetic mean of the marks given above, is

4. The width of each of nine classes in a frequency (A) 18 (B) 28

distribution is 2.5 and the lower class boundary of the (C) 27 (D) 6
lowest class is 10.6. The upper class boundary of the
8. The following is the data of wages per day: 5, 4, 7, 5,
highest class is
8, 8, 8, 5, 7, 9, 5, 7, 9, 10, 8 The mode of the data is
(A) 35.6 (B) 33.1
(A) 5 (B) 7
(C) 30.6 (D) 28.1 (C) 8 (D) 10

5. In a monthly test, the marks obtained in 9. The mode of the given distribution is
mathematics by 16 students of a class are as follows:
Weight (in kg) 40 43 46 49 52 55
0, 0, 2, 2, 3, 3, 3, 4, 5, 5, 5, 5, 6, 6, 7, 8
Number of Children 5 8 16 9 7 3
The arithmetic mean of the marks obtained is
(A) 3 (B) 4 (A) 55 (B) 46
(C) 5 (D) 6 (C) 40 (D) None
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
574
ForProbability
E-books/Materials/Notes-PDFs|PPTs
and Statistics Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 9.6

10. If the geometric mean of x, 16, 50, be 20, then the 16. The mean deviation of the following distribution is
value of x is
x 10 11 12 13 14
(A) 4 (B) 10
(C) 20 (D) 40 f 3 12 18 12 3

(A) 12 (B) 0.75


11. If the arithmetic mean of two numbers is 10 and (C) 1.25 (D) 26
their geometric mean is 8, the numbers are
(A) 12, 18 (B) 16, 4 17. The standard deviation for the data 7, 9, 11, 13,
(C) 15, 5 (D) 20, 5 15 is
(A) 2.4 (B) 2.5
12. The median of
(C) 2.7 (D) 2.8
0, 2, 2, 2, 3, 5, 1, 5, 5, 3, 6, 6, 5, 6 is
(A) 0 (B) 1.5 18. The standard deviation of 6, 8, 10, 12, 14 is
(C) 2 (D) 3.5 (A) 1 (B) 0
(C) 2.83 (D) 2.73
13. Consider the following table

Diameter of heart (in mm) Number of persons 19. The probability that an event A occurs in one trial of
120 5 an experiment is 0.4. Three independent trials of
experiment are performed. The probability that A
121 9
occurs at least once is
122 14
(A) 0.936 (B) 0.784
123 8
(C) 0.964 (D) None
124 5
20. Eight coins are tossed simultaneously. The
125 9
probability of getting at least 6 heads is
The median of the above frequency distribution is (A) 7
64
(B) 37
256

(A) 122 mm (B) 123 mm (C) 57


(D) 249
64 256

(C) 122.5 mm (D) 122.75 mm


21. A can solve 90% of the problems given in a book and
14. The mode of the following frequency distribution, is B can solve 70%. What is the probability that at least
one of them will solve a problem, selected at random
Class interval Frequency
from the book?
36 2
(A) 0.16 (B) 0.63
69 5
(C) 0.97 (D) 0.20
912 21

1215 23
22. A speaks truth in 75% and B in 80% of the cases. In
what percentage of cases are they likely to contradict
1518 10
each other narrating the same incident ?
1821 12
(A) 5% (B) 45%
2124 3
(C) 35% (D) 15%
(A) 11.5 (B) 11.8
23. The odds against a husband who is 45 years old,
(C) 12 (D) 12.4
living till he is 70 are 7:5 and the odds against his wife
15. The mean-deviation of the data 3, 5, 6, 7, 8, 10, who is 36, living till she is 61 are 5:3. The probability
11, 14 is that at least one of them will be alive 25 years hence, is
61 5
(A) 4 (B) 3.25 (A) 96
(B) 32
13
(C) 2.75 (D) 2.4 (C) 64
(D) None
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
575
For E-books/Materials/Notes-PDFs|PPTs
UNIT 9 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Engineering Mathematics

24. The probability that a man who is x years old will 30. If 3 is the mean and (3/2) is the standard deviation
die in a year is p. Then amongst n persons of a binomial distribution, then the distribution is
12 12
A1 , A2 , K, An each x years old now, the probability 3 1  1 3
(A)   (B)  
that A1 will die in one year is 4 4 2 2 
1 60 5
(A) (B) 1  (1  p) n 4 1 1 4
n2 (C)   (D)  
5 5 5 5 
1 1
(C) [1  (1  p) n ] (D) [1  (1  p) n ]
n2 n 31. The sum and product of the mean and variance of a
binomial distribution are 24 and 18 respectively. Then,
25. A bag contains 4 white and 2 black balls. Another
the distribution is
bag contains 3 white and 5 black balls. If one ball is 12 16
1 1 1 3
drawn from each bag, the probability that both are (A)   (B)  
7 8  4 4
white is 24 32
1 5 1 1
1 1 (C)   (D)  
(A) (B) 6 6 2 2 
24 4
5
(C) (D) None 32. A die is thrown 100 times. Getting an even number
24
is considered a success. The variance of the number of

26. A bag contains 5 white and 4 red balls. Another bag successes is

contains 4 white and 2 red balls. If one ball is drawn (A) 50 (B) 25
(C) 10 (D) None
from each bag, the probability that one is white and one
is red, is 33. A die is thrown thrice. Getting 1 or 6 is taken as a
13 5 success. The mean of the number of successes is
(A) (B)
27 27 3 2
(A) (B)
8 2 3
(C) (D) None
27 (C) 1 (D) None

27. An anti-aircraft gun can take a maximum of 4 shots 34. If the sum of mean and variance of a binomial
at an enemy plane moving away from it. The distribution is 4.8 for five trials, the distribution is
probabilities of hitting the plane at the first, second, 1 4
5
1 2
5

(A)   (B)  
third and fourth shot are 0.4, 0.3, 0.2 and 0.1 5 5   3 3
respectively. The probability that the gun hits the plane 5
2 3
is (C)   (D) None of these
5 5 
(A) 0.76 (B) 0.4096
(C) 0.6976 (D) None of these 35. A variable has Poission distribution with mean m.
The probability that the variable takes any of the
28. If the probabilities that A and B will die within a values 0 or 2 is
year are p and q respectively, then the probability that  m2 
(A) e  m  1  m  (B) e m (1  m) 3 2
only one of them will be alive at the end of the year is  2 ! 
(A) pq (B) p(1  q)  m2 
(C) e 3 2 (1  m 2 ) 1 2 (D) e  m  1 
(C) q(1  p) (D) p  1  2 pq  2 ! 

29. In a binomial distribution, the mean is 4 and 36. If X is a Poission variate such that
variance is 3. Then, its mode is P (2)
9 P ( 4)  90 P ( 6), then the mean of X is
(A) 5 (B) 6 (A) 1 (B) 2

(C) 4 (D) None (C) 3 (D) None


www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
576
ForProbability
E-books/Materials/Notes-PDFs|PPTs
and Statistics Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 9.6

37. When the correlation coefficient r


1, then the 43. If xi
30, yi
42, xi yi
199, xi2
184,
two regression lines y
318 and n
6, then the regression coefficient bxy
2
i

(A) are perpendicular to each other is


(B) coincide (A) 0.36 (B) 0.46
(C) are parallel to each other (C) 0.26 (D) None
(D) do not exist
44. Let r be the correlation coefficient between x and y
38. If r
0, then
and byx , bxy be the regression coefficients of y on x and
(A) there is a perfect correlation between x and y
x on y respectively then
(B) x and y are not correlated.
(A) r
bxy  byx (B) r
bxy  byx
(C) there is a positive correlation between x and y
1
(C) r
bxy  byx (D) r
( bxy  byx )
(D) there is a negative correlation between x and y 2

39. If xi
15, yi
36, xi yi
110 and n
5, then 45. Which one of the following is a true statement.
cov ( x, y) is equal to (A) 1
( bxy  byx )
r (B) 1
( bxy  byx )  r
2 2
(A) 0.6 (B) 0.5
(C) 1
( bxy  byx )  r (D) None of these
(C) 0.4 (D) 0.225 2

40. If cov ( x, y)
16.5, var ( x)
2.89 and var ( y)
100, 46. If byx
1.6 and bxy
0.4 and  is the angle between

then the coefficient of correlation r is equal to two regression lines, then tan  is equal to

(A) 0.36 (B) 0.64 (A) 0.18 (B) 0.24


(C) 0.97 (D) 0.97 (C) 0.16 (D) 0.3

41. The ranks obtained by 10 students in Mathematics 47. The equations of the two lines of regression are :
and Physics in a class test are as follows 4 x  3y  7
0 and 3 x  4 y
8
0. The correlation
coefficient between x and y is
Rank in Maths Rank in Chem.
(A) 1.25 (B) 0.25
1 3
(C) 0.75 (D) 0.92
2 10

3 5 48. If cov( X , Y )
10, var ( X )
6.25 and var( Y )
31.36,
4 1 then ( X , Y ) is
5 4
(A) (B)
5 2 7 5

3
(C) (D) 0.256
6 9 4

7 4 49. If x
y
15, x 2
y 2
49, xy
44 and
8 8 n
5, then bxy
?
9 7 (A)  13 (B)  2
3

10 6 (C)  14 (D)  12

The coefficient of correlation between their ranks is 50. If x


125, y
100, x 2
1650, y 2
1500,
(A) 0.15 (B) 0.224 xy
50 and n
25, then the line of regression of x on
(C) 0.625 (D) None
y is

42. If xi
24, yi
44, xi yi
306, xi2
164, (A) 22 x  9 y
146 (B) 22 x  9 y
74

yi2
574 and n
4, then the regression coefficient byx (C) 22 x  9 y
146 (D) 22 x  9 y
74
is equal to
(A) 2.1 (B) 1.6
(C) 1.225 (D) 1.75 *********
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
577
For E-books/Materials/Notes-PDFs|PPTs
UNIT 9 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Engineering Mathematics

( fd)  300 
A.M.
A 
 25 
28.
SOLUTION f  100 

1. (B) Let the lower limit be x. Then, upper limit is 8. (C) Since 8 occurs most often, mode =8.
x  ( x  4)
x  4.
15  x
13.
2 9. (B) Clearly, 46 occurs most often. So, mode =46.

2. (A) Let the lower limit be x. Then, upper limit x  10. 10. (B) ( x  16  50)1 3
20  x  16  50
(20) 3
x  ( x  10)

42  x
37.  20  20  20 
2  x

10.
 16  50 
Lower limit
37 and upper limit =47.

11. (B) Let the numbers be a and b Then,


3. (D) Range = Difference between the largest value
ab

(95  62)
33.
10  ( a  b)
20 and
2

4. (B) Upper class boundary


10.6  (2.5  9)
331
.. ab
8  ab
64

a  b
( a  b) 2  4 ab
44  256
144
12.
5. (B)
Solving a  b
20 and a  b
12 we get a
16 and
Marks Frequency f f 1
b
4.
0 2 0

2 2 4
12. (D) Observations in ascending order are
3, 3, 1, 0, 2, 2, 2, 5, 5, 5, 5 6, 6, 6
3 3 9
Number of observations is 14, which is even.
4 1 4
1 1
Median
[7 the term +8 the term]
(2  5)
35
. .
5 4 20 2 2
6 2 12
13. (A) The given Table may be presented as
7 1 7
Diameter of heart Number of Cumulative
8 1 8 (in mm) persons frequency
f
16 ( f  x)
64 120 5 5
( f  x) 64 121 9 14
A.M.


4.
f 16
122 14 28

45  2  40  2.5  15  2 220 123 8 36


6. (B) Mean


2.2.
100 100 124 5 41

125 9 50
7. (B)
Mid Frequenc Deviation n n
Class f d Here n
50. So,
25 and  1
26.
value x yf d
x A 2 2
010 5 12 20 240 1 122  122
Medium
(25th term +26 th term)

122.
2 2
1020 15 18 10 180
[ . .. Both lie in that column whose c.f. is 28]
2030 25
A 27 0 0

3040 35 20 10 200
14. (B) Maximum frequency is 23. So, modal class is
4050 45 17 20 320 1215.
5060 55 6 30 180 L1
12, L2
15, f
23, f1
21 and f2
10.
f
100 ( f  d)
390 f  f1
Thus Mode
L1  ( L2  L1 )
2 f  f1  f2
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
578
ForProbability
E-books/Materials/Notes-PDFs|PPTs
and Statistics Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 9.6

(23  21) 6
1 1
2
1 1
7
1
8

12  (15  12)
12.4.
8 C6      8 C7     8 C8 
( 46  21  10)    
2 2 2  2 2 
87 1 1 1 37
 3  5  6  7  8  10  11  14 
  8 

15. (C) Mean



8. 2  1 256 256 256 256
 8 

3  8  5  8  8  8  10  8  11  8  14  8 21. (C) Let E
the event that A solves the problem. and

22 F
the event that B solves the problem.
 22 Clearly E and F are independent events.
Thus Mean deviation


2.75.
n 8 90 70
P ( E)

0.9, P ( F )

0.7,
100 100
16. (B)
P ( E  F )
P ( E)  P ( F )
0.9  0.7
0.63
x f f x 
xM f  Required probability
P ( E  F )
10 3 30 2 6
P ( E)  P ( F )  P ( E  F )
(0.9 +0.7  0.63) =0.97.
11 12 132 1 12
22. (C) Let E =event that A speaks the truth.
12 18 216 0 0
F =event that B speaks the truth.
13 12 156 1 12 75 3 80 4
Then, P ( E)

, P( F)

14 3 42 2 6 100 4 100 5
 3 1  4 1
f
48 fx
576 f
36 P ( E)
 1 
, P( F )
 1 

 4 4  5 5
576 P (A and B contradict each other).
Thus M

12.
48

P[(A speaks truth and B tells a lie) or (A tells a lie and
f 36
So, Mean deviation


0 .75 B speaks the truth)]
n 48

P (E and F )  P (E and F)
7  9  11  13  15 55
P ( E)  P ( F )  P ( E)  P ( F )
17. (D) m


11.
5 5 3 1 1 4 3 1 7  7 
2 2 2 2 2
  


  100 %
35%.
2
7  11  9  11  11  11  13  11  15  11
40 4 5 4 5 20 5 20  20 
 2 40



8
2 2
2  1.41
2.8.
n 5 23. (A) Let E
event that the husband will be alive 25
years hence and F =event that the wife will be alive 25
6  8  10  12  14 50
18. (C) M


10. years hence.
5 5
5 3
2 2 2
2
6  10 2  8  10  10  10  12  10  14  10
40
2 Then, P ( E)
and P ( F )

12 8
2 40  5  7  3 5
6

Thus P ( E)
 1 
and P ( F )
 1 
.
n 5  12  12  8  8

8
2 2
2  1.414
2.83 (app.) Clearly, E and F are independent events.
So, E and F are independent events.
19. (B) Here p
0.4, q
0.6 and n
3. P(at least one of them will be alive 25 years hence)

Required probability
P(A occurring at least once)
1  P(none will be alive 24 years hence)
 7 5  61

3C1  (0.4)  (0.6) 2  3C2  (0.4) 2  (0.6)  3C3  (0.4) 3
1  P ( E  F )
1  P ( E)  P ( F )
 1  

 12 8  96
 4 36 16 6 64  784

3   3  

0.784.
 10 100 100 10 1000  1000
24. (D) P(none dies)

(1  p) (1  p)....n times
(1  p) n
1 1
20. (B) p
, q
, n
8. Required probability P(at least one dies)
1  (1  p) n .
2 2
1
P(A1 dies)
{1  (1  p) n }.

P (6 heads or 7 heads or 8 heads) n
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
579
ForProbability
E-books/Materials/Notes-PDFs|PPTs
and Statistics Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 9.6

xi 15 yi 36 3
39. (C) x


3, y


7.2 47. (C) Given lines are : y
2  x
n 5 n 5 4
 x y   110   7 3 
cov( x, y)
 i i  x y
  3  7.2
0.4 and x
   y
 n   5   4 4 
3 3
cov ( x, y) 16.5 byx
and bxy
.
40. (D) r


0.97. 4 4
var ( x)  var ( y) 2.89  100  3 3  9 3
So, r 2
 
or r

0.75.
 4 4  16 4
41. (B) Di
2,  8,  2, 3, 3,  3, 3, 0, 2, 4.
[. .. byx and bxy are both negative  r is negative]
Di2
( 4  64  4  9  9  9  9  0  4  16)
128.
 6( Di2 )   6  128  37 cov( X , Y ) 10 5
R
1  48. (A) ( X , Y )

n( n 2
 1) 
 1  10  99
165
0.224. var( X ) var( Y ) 6.25  31.36 7
   

( xi )( yi ) n xy  ( x)( y)
xi yi  49. (C) byx

n n x 2  ( x) 2
42. (A) byx

 2 ( xi ) 2   5  44  15  15  1
 xi  n 


   5  49  15  15  4

 24  44 
 306  n xy  ( x)( y)


4 
( 306  264)
42
2.1 50. (B) bxy

n y 2  ( y) 2
 (24) 
2
(164  144) 20
164  4  25  50  125  100 9
 

25  1500  100  100 22

 ( xi )( yi )   199  30  42  125 100


 Also, x

5, y

4.
 xi yi  n   6  25 25
43. (B) byx

 2 ( yi ) 2   42  42  Required line is x
x  bxy ( y  y)
 yi  n  318   9
  6  x
5  ( y  4)  22 x  9 y
74.
22
(199  210) 11



0.46.
( 318  294) 24

y x
44. (C) byx
r  and bxy
r 
x y
r 2
bxy  byx  r
bxy  byx .

1 1  y x 
45. (C) ( bxy  byx )  r is true if r  r r
2 2  x y 
i.e. if 2y  x2  2 x  y
i.e. if (  y  x ) 2  0, which is true.

46. (A) r
1.6  0.4
.64
0.8
y y byx 1.6
byx
r  


2
x x r 0.8
1 y 1 5 y
m1

2
, m2
r 
0.8  2
1.6.
r x 0.8 2 x
 m  m2   2.5  1.6  0.9
tan 
 1


0.18.
 1  m1 m2   1  2.5  1.6  5

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
581
For E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 9.7

x2 x5 x8 x11 Statement for Q. 1819:


(B)   
2 20 160 4400 dy
For  1  y 2 given that
x2 x5 x8 x11 dx
(C)   
2 20 160 2400 x: 0 0.2 0.4 0.6
2 5 8 11
x x x x
(D)    y: 0 0.2027 0.4228 0.6841
2 40 480 2400
Using Milnes method determine the value of y for
12. For dy dx = xy given that y = 1 at x = 0. Using Euler
x given in question.
method taking the step size 0.1, the y at x = 0.4 is
(A) 1.0611 (B) 2.4680 18. y (0.8)  ?
(C) 1.6321 (D) 2.4189 (A) 1.0293 (B) 0.4228
(C) 0.6065 (D) 1.4396
Statement for Q. 1315.

For dy dx  x 2  y 2 given that y  1 at x  0. . ) ?


19. y (10
Determine the value of y at given x in question using (A) 1.9428 (B) 1.3428
modified method of Euler. Take the step size 0.02. (C) 1.5555 (D) 2.168

13. y at x  0.02 is Statement for Q.2022:


(A) 1.0468 (B) 1.0204 Apply Runge Kutta fourth order method to obtain
(C) 1.0346 (D) 1.0348 y (0.2), y (0.4) and y (0.6) from dy dx  1  y 2 , with y  0
at x  0. Take step size h  0.2.
14. y at x  0.04 is
(A) 1.0316 (B) 1.0301 20. y (0.2)  ?
(A) 0.2027 (B) 0.4396
(C) 1.403 (D) 1.0416
(C) 0.3846 (D) 0.9341
15. y at x  0.06 is
21. y (0.4)  ?
(A) 1.0348 (B) 1.0539
(A) 0.1649 (B) 0.8397
(C) 1.0638 (D) 1.0796
(C) 0.4227 (D) 0.1934

16. For dy dx  x  y given that y  1 at x  0. Using 22. y (0.6)  ?


modified Eulers method taking step size 0.2, the value (A) 0.9348 (B) 0.2935
of y at x  1 is
(C) 0.6841 (D) 0.563
(A) 3.401638 (B) 3.405417
(C) 9.164396 (D) 9.168238 23. For dy dx  x  y 2 , given that y  1 at x  0. Using
Runge Kutta fourth order method the value of y at
17. For the differential equation dy dx  x  y 2 given x  0.2 is (h  0.2)
that (A) 1.2735 (B) 2.1635
(C) 1.9356 (D) 2.9468
x: 0 0.2 0.4 0.6

y: 0 0.02 0.0795 0.1762 24. For dy dx  x  y given that y  1 at x  0. Using


Runge Kutta fourth order method the value of y at
Using Milne predictorcorrection method, the y at x  0.2 is (h  0.2)
next value of x is (A) 1.1384 (B) 1.9438
(A) 0.2498 (B) 0.3046 (C) 1.2428 (D) 1.6389
(C) 0.4648 (D) 0.5114 *********
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
583
For E-books/Materials/Notes-PDFs|PPTs
UNIT 9 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Engineering Mathematics

x1  x0
x2  x0  f ( x0 )
SOLUTIONS f ( x1 )  f ( x0 )
0.5
 35
.  (  0.5441)  37888
.
1. (B) Let f ( x)  x 3  4 x  9 0.3979  0.5441
Since f (2) is negative and f ( 3) is positive, a root lies Since f ( 37888
. )   0.0009 and f ( 4)  0.3979, therefore
between 2 and 3. the root lies between 3.7888 and 4.
First approximation to the root is Taking x0  37888
. , x1  4, we obtain
1 0.2112
x1  (2  3)  2.5. x3  37888
.  (  .009)  37893
.
2 0.3988
Then f ( x1 )  2.5 3  4(2.5)  9   3.375 Hence the required root correct to three places of
i.e. negativeThe root lies between x1 and 3. Thus the decimal is 3.789.
second approximation to the root is
1
x2  ( x1  3)  2.75. 4. (D) Let f ( x)  xe x  2, Then f (0)   2, and
2
f (1)  e  2  0.7183
Then f ( x2 )  (2.75) 3  4(2.75)  9  0.7969 i.e. positive.
So a root of (i ) lies between 0 and 1. It is nearer to 1.
The root lies between x1 and x2 . Thus the third
Let us take x0  1.
1
approximation to the root is x3  ( x1  x2 )  2.625. Also f ( x)  xe x  e x and f (1)  e  e  5.4366
2
Then f ( x3)  (2.625) 3  4(2.625)  9   1.4121 i.e. By Newtons rule, the first approximation x1 is
f ( x0 ) 0.7183
negative. x1  x0  1   0.8679
f ( x0 ) 5.4366
The root lies between x2 and x3 . Thus the fourth
1 f ( x1 )  0.0672, f ( x1 )  4.4491.
approximation to the root is x4  ( x2  x3)  2.6875.
2 Thus the second approximation x2 is
Hence the root is 2.6875 approximately. f ( x1 ) 0.0672
x2  x1   0.8679   0.8528
f ( x1 ) 4.4491
2. (B) Let f ( x)  x 3  2 x  5
Hence the required root is 0.853 correct to 3 decimal
So that f (2)   1 and f ( 3)  16 places.
i.e. a root lies between 2 and 3.
Taking x0  2, x1  3, f ( x0 )   1, f ( x1 )  16, in the 5. (B) Let y  x  log10 x  3.375
method of false position, we get To obtain a rough estimate of its root, we draw the
x1  x0 1
x2  x0  f ( x0 )  2   2.0588 graph of (i ) with the help of the following table :
f ( x1 )  f ( x0 ) 17
Now, f ( x2 )  f (2.0588)   0.3908 i.e., that root lies x 1 2 3 4
between 2.0588 and 3.
y -2.375 -1.074 0.102 1.227
Taking x0  2.0588, x1  3, f ( x0 )
  0.3908, f ( x1 )  16 in (i), we get
0.9412 Taking 1 unit along either axis  0.1, The curve crosses
x3  2.0588  (  0.3908)  2.0813
16.3908 the xaxis at x0  2.9, which we take as the initial
Repeating this process, the successive approxima- tions approximation to the root.
are Now let us apply NewtonRaphson method to
x4  2.0862, x5  2.0915, x6  2.0934, x7  2.0941, f ( x)  x  log10 x  3.375
x8  2.0943 etc. 1
f ( x)  1  log10 e
Hence the root is 2.094 correct to 3 decimal places. x
f (2.9)  2.9  log10 2.9  3.375   0.0126
3. (C) Let f ( x)2 x  log10 x  7 1
f (2.9)  1  log10 e  11497
.
Taking x0  35
. , x1  4, in the method of false position, 2.9
we get The first approximation x1 to the root is given by
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
584
For E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 9.7

f ( x0 ) 0.0126 dy
x1  x0   2.9   2.9109 at x  0, y  1,  1

f ( x0 ) .
11497 dx
f ( x1 )   0.0001, f ( x1 )  11492
. d2 y dy
2
1 2y
dx dx
Thus the second approximation x2 is given by
d2 y
f ( x1 ) 0.0001 at x  0, y  1, 1  2  3
x2  x1   2.9109   2.91099 dx 2
f ( x1 ) .
11492
2
d 3y  dy
d2 y
Hence the desired root, correct to four significant   2  2 y
dx 3  dx dx 2
figures, is 2.911
d 3y
at x  0, y  1, 8
6. (B) Let x  28 so that x 2  28  0 dx 3
d4 y  dy d 2 y d 3y 
Taking f ( x)  x 2  28, Newtons iterative method gives  2 3
 dx dx 2  y
dx 4  dx 3 
f ( xn ) x 2  28 1  28

xn  1  xn   xn  n  xn 

f ( xn ) 2 xn 2 xn at x  0, y  1
d4 y
 34
dx 4
Now since f (5)   3, f ( 6)  8, a root lies between 5 and
The Taylor series expression gives
6.
dy h2 d 2 y h3 d 3 y h4 d 4 y
Taking x0  5.5, y( x  h)  y( x)  h    K
dx 2 ! dx 2 3 ! dx 3 4 ! dx 4
1 28
1  28
(0.1) 2 (0.1) 3 (0.1) 4
x1  x0   5.5   5.29545 y(0.1)  1  0.1( 1)  3 ( 8)  34  ......
2 x0 2  5.5 2! 3! 4!
1 28
1  28
 1  0.1  0.015  0.001333  0.0001417  0.9138
x2  x1   5.29545   5.2915
2 x1 2  5.29545
9. (C) Here f ( x, y)  x 2  y 2 , x0  0 y0  0
1 28
1  28

x3  x2   5.2915   5.2915
2 x2 2  5.2915 We have, by Picards method
x
Since x2  x3 upto 4 decimal places, so we take y  y0   f ( x, y) dx ....(1)
28  5.2915. x0

The first approximation to y is given by


7. (B) Let h  0.1, given x0  0, x1  x0  h  0.1 x
y (1 )  y0   f ( x, y ) dx 0
dy d2 y dy x0
 1  xy x y
dx dx 2 dx x x
Where y0  0   f ( x, 0) dx   x dx.
2
3 2 4 3 2 ...(2)
d y d y dy d y d y d y
x 2 , x 3 0 0
dx 3 dx 2 dx dx 4 dx 3 dx 2
The second approximation to y is given by
given that x  0, y 1 x x
 x3

y ( 2 )  y0   f ( x, y ) dx  0   f  x, dx
(1 )
dy d2 y d 3y d4 y 3
 1 ; 2  1,  2,  3 and so on x0 0
dx dx dx 3 dx 4
x
 x 6

x x 3 7
The Taylor series expression gives :  0   x 2  dx  
0 9 3 63
dy h2 d 2 y h3 d 3 y
y( x  h)  y( x)  h   
dx 2 ! dx 2 3 ! dx 3 (0.4) 3 (0.4) 7
Now, y (0.4)    0.02135
(0.1) 2 (0.1) 3 3 63
y (0.1)  1  0.1  1  1  2 K
2! 3!
10. (C) Here f ( x, y)  y  x ; x0  0, y0  2
0.01 0.001
y(0.1)  1  0.1   K
2 3 We have by Picards method
x
 1  0.1  0.005  0.000033 .........  11053
. y  y0   x0
f ( x, y) dx

8. (B) Let h  0.1, given x0  0, y0  1 The first approximation to y is given by


x x

x1  x0  h  0.1,
dy
 x  y2 y (1 )  y0   f ( x, y0 ) dx  2   f ( x, 2) dx
dx x0 0

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
585
For E-books/Materials/Notes-PDFs|PPTs
UNIT 9 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Engineering Mathematics

x
x2 Eulers method gives
 2   (2  x) dx 2  2x  ....(1)
0 2 yn  1  yn  h( xn , yn ) ....(1)
The second approximation to y is given by n  0 in (1) gives
x
y1  y0  hf ( x0 , y0 )
y ( 2 )  y0   f ( x, y
(1 )
) dx
x0 Here x0  0, y0  1, h  0.1
x
 x2

y1  1  0.1 f (0, 1)  1  0  1
2   f  x, 2  2 x 
x0 2
dx
n  0 in (1) gives y2  y1  h f ( x1 , y1 )
2
x2  1  0.1 f (0.1 , 1)  1  0.1 (0.1)  1  0.01
 2   (2  2 x   x) dx
0 2 Thus y2  y( 0 .2 )  101
.
x x2 3
n  2 in (1) gives
2  2x   ....(2)
2 6 y3  y2  hf ( x2 , y2 )  101
.  0.1 f (0.2 , 101
. )
The third approximation to y is given by y3  y( 0 .3)  101
.  0.0202  10302
.
x
n  3 in (1) gives
y ( 3)  y0   f ( x, y
(2 )
) dx
x0 y4  y3  hf ( x3 , y3)  10302
.  0.1 f (0.3 , 10302
. )
x
 x x

2 3
 10302
.  0.03090
2 
x0
 f  x, 2  2 x  2
 dx
6 y4  y( 0 .4 )  10611
.
 x
x x
2 3
Hence y( 0 .4 )  10611
.
 2   2  2 x    dx
0 2 6
13. (B) The Eulers modified method gives
x2 x3 x4
2  2x    y1  y0  hf ( x0 , y0 ),
2 6 24
h
y1  y0  [ f ( x0 , y0 )  f ( x1 , y1*)]
11. (B) Here f ( x, y)  x  y 2 , x0  0 y0  0 2

We have, by Picards method Now, here h  0.02, y0  1, x0  0


x y1*  1  0.02 f (0, 1), y1*  1  0.02  102
.
y  y0   f ( x, y0 ) dx h
x0 Next y1  y0  [ f ( x0 , y0 )  f ( x , y1*)]
2
The first approximation to y is given by
0.02
x x 1  [ f (0, 1)  f (0.02, 102
. )]
y (1 )  y0   f ( x, y ) dx 0 0   f ( x, 0) dx 2
x0 0
 1  0.01 [1  10204
. ]  10202
.
x 2
x So, y1  y (0.02)  10202
.
 0   xdx 
0 2
The second approximation to y is given by 14. (D) y2  y1  h f ( x1 , y1 )
x x
 x2
 10202
.  0.02 [ f (0.02, 10202
. )]
y ( 2 )  y0   f ( x, y ) dx  0   f  x, dx
(1 )

x0 0 2  10202
.  0.0204  10406
.
x
 x
x4
x 2 5 h
  x  dx   Next y2  y1  [ f ( x, y)  f ( x2 , y2* )]
4 2 50 2
0
0.02
The third approximation is given by y2  10202
.  [ f (0.02, 10202
. )  f (0.04, 10406
. )]
x
2
y ( 3)  y0   f ( x, y  10202  0.01 [10206  10422 ]  10408
(2 )
) dx . . . .
x0
y2  y( 0 .04 )  10408
.
x
 x2 x5

 0   f x,  dx
0  2 20 15. (C) y3*  y2  hf ( x2 , y2 )
x
 x4 x10 2 x7
x2 x5 x8 x11  10416
.  0.02 f (0.04, 10416
. )
  x    dx    
0
4 400 40 2 20 160 4400  10416
.  0.0217  10633
.
h
Next y3  y2  [ f ( x2 , y2 )  f ( x3 , y3*)]
12. (A) x: 0 0.1 0.2 0.3 0.4 2
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
586
For E-books/Materials/Notes-PDFs|PPTs
UNIT 9 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Engineering Mathematics

 1 1
 h k

k2  hf x0  h, y0  k1  (0.2) f (0.1, 0.1)  0.202 k2  hf x0  , y0  1


 2 2  2 2
 1 1
 (0.2) f (0.1, 11
. )  0.2(1.31)  0.262
k3  hf x0  h, y0  k2  (0.2) f (0.1, 0.101)  0.2020
 2 2  h k

k3  hf x0  , y0  2
k4  hf ( x0  h, y0  k3)  0.2 f (0.2, 0.2020)  0.20816  2 2
1  0.2 f (0.1, 1131
. )  0.2758
k  [ k1  2 k2  2 k3  k4 ]
6 k4  hf ( x0  h, y0  k3)
1  (0.2) f (0.2, 12758)  0.3655
 [0.2  2 (.202)  2 (.20204)  0.20816 ], .
6 1
k  [ k1  2 k2  2 k3  2 k4 ]
k  0.2027 6
such that y1  y(0.2)  y0  k  0  0.2027  0.2027 1
 [0.2  2 (0.262)  2 (0.2758)  0.3655 ]  0.2735
6
21. (C) We now to find y2  y(0.4), k1  hf ( x1 , y1 ) Here y1  y( 0 .2 )  y0  k  1  0.2735 12735
.
 (0.2) f (0.2, 0.2027)  0.2 (10410
. )  .2082
 1 1
24. (C) Here f ( x, y)  x  y h  0.2
k2  hf x1  h , y1  k1
 2 2 To find y1  y( 0 .2 ) ,
 (0.2) f (0.3, 0.3068)  0.2188 k1  hf ( x0 , y0 )  0.2 f (0, 1)  0.2
 1 1
 h k

k3  hf x1  h , y1  k2 k2  hf x0  , y0  1  (0.2) f (0.1, 11
. )  0.24
 2 2  2 2

 0.2 f (0.3, 0.3121)  .2194  h k

k3  hf x0  , y0  2  (0.2) f (0.1, 112


. )  0.244
k4  hf ( x1  h, y1  k3)  0.2 f (0.4, .4221)  0.2356  2 2

1 k4  hf ( x0  h, y0  k3)  (0.2) f (0.2, 1244


. )  0.2888
k  [ k1  2 k2  2 k3  k4 ]
6 1
k  [ k1  2 k2  2 k3  k4 ]
1 6
 [0.2082  2(.2188)  2(.2194)  0.356 ]  0.2200
6 1
 [0.2  2(0.24)  2(0.244)  0.2888 ]  0.2428
y2  y( 0 .4 )  y1  k  0.2200  .2027  0.4227 6
y1  y( 0 .2 )  y0  k  1  0.2428  12428
.
22. (C) We now to find y3  y( 0 .6 ) , k1  hf ( x2 , y2 )
 (0.2) f (0.4, 0.4228)  0.2357
 1 1

k2  hf x2  h, y2  k1 ***********
 2 2
 (0.2) f (0.5, 0.5406)  0.2584
 1 1

k3  hf x2  h, y2  k2
 2 2
 0.2 f (0.5, .5520)  0.2609
1
k4  [ k1  2 k2  2 k3  k4 ]
6
1
 [0.2357  2(.2584)  2(0.2609)  0.2935 ]
6
1
 [0.2357  0.5168  0.5218  0.2935 ]  0.2613
6
y3  y( 0 .6 )  y2  k  .4228  0.2613  0.6841

23. (A) Here given x0  0 y0  1, h  0.2


f ( x, y)  x  y 2

To find y1  y( 0 .2 ) ,
k1  hf ( x0 , y0 )  (0.2) f (0, 1)  (0.2)  1  0.2
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
588
For E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com

CHAPTER

10.5
EC-07

1. If E Denotes expectation, the variance of a random 6. For the function e x , the linear approximation around
variable X is given by x  2 is
(A) E[ X 2 ]  E 2 [ X ] (B) E[ X 2 ]  E 2 [ X ] (A) ( 3  x) e 2 (B) 1  x
2 2
(C) E[ X ] (D) E [ X ]
(C) [ 3  2 2  1(1  2 x ]e 2 (D) e 2

2. The following plot shows a function y which varies


2 7. An independent voltage source in series with an
linearly with X. The value of the integral I   y dx is
1
impedance Z s  Rs  jX s delivers a maximum average
y
power to a load impedance Z L when
3
(A) Z L  RS  jX S (B) Z L  RS
2
1 (C) Z L  jX S (D) Z L  RS  jX S
x
1 1 2 3
8. The RC circuit shown in the figure is
R C
(A) 1.0 (B) 2.5 + +
(C) 4.0 (D) 5.0
Vi R C Vo

3. For x  1, coth( x) can be approximated as


- -
(A) x (B) x 2
1 1 (A) a low-pas filter (B) a high-pass filter
(C) (D)
x x2
(C) a band-pass filter (D) a band-reject filter

sin /2
4. lim is 9. The electron and hole concentrations in an intrinsic

0
semiconductor are ni per cm 3 at 300 K. Now, if acceptor
(A) 0.5 (B) 1
impurities are introduced with a concentration of N A
(C) 2 (D) not defined per cm 3(where N A ni ) the electron concentration per
cm 3 at 300 K will be
5. Which of the following functions is strictly bounded ?
1 (A) ni (B) ni  N A
(A) (B) e x
x2 ni2
2
(C) N A  ni (D)
(C) x 2 (D) e  x NA
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
639
For E-books/Materials/Notes-PDFs|PPTs
UNIT 10 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit,Previous
www.matterhere.com
year Papers

10. In a p n junction diode under reverse biased the 15. If closed-loop transfer function of a control system is
magnitude of electric field is maximum at given as T( s)  s5
( s  2 )( s  3)
then It is

(A) the edge of the depletion region on the p side (A) an unstable system

(B) the edge of the depletion region on the n side (B) an uncontrollable system

(C) the p n junction (C) a minimum phase system


(D) the center of the depletion region on the n-side (D) a non-minimum phase system

11. The correct full wave rectifier circuit is 16. If the Laplace transform of a signal y( t) is
Y ( s)  1
s ( s 1 )
, then its final value is
(A) -1 (B) 0
Input

Input

(A) (B) (C) 1 (D) unbounded


Output

Output
17. If R( ) is the auto correlation function of a real,
wide-sense stationary random process, then which of
the following is NOT true
(A) R( )  R(  )
Input

Input

C (D)
(B) R( ) R(0)
Output

Output

(C) R( )   R(  )
(D) The mean square value of the process is R(0)

12. In a trans-conductance amplifier, it is desirable to 18. If S f is the power spectral density of a real,

have wide-sense stationary random process, then which of


the following is ALWAYS true?
(A) a large input resistance and a large output
resistance (A) S(0) S( f ) (B) S( f )  0

(B) a large input resistance and a small output
resistance
(C) S(  f )  S( f ) (D)  S( f )df

0

(C) a small input resistance and a large output


resistance 19. A plane wave of wavelength  is traveling in a
(D) a small input resistance and a small output direction making an angle 30 o with positive x axis. The

resistance E field of the plane wave can be represented as (E0 is


constant)
 3     3 
13. X  01110 and Y = 11001 are two 5-bit binary
j  t  x z
  

j  t  x z
  
 
(A) E  yE0 e (B) E  yE0 e
numbers represented in two's complement format. The
 3     3 
sum of X and Y represented in two's complement format
j  t  x z
j  t  x  z
       
(C) E  yE0 e (D) E  yE0 e
using 6 bits is

(A) 100111 (B) 0010000 20. If C is close curve enclosing a surface S, then the

magnetic field intensity H , the current density j and


(C) 000111 (D) 101001

the electric flux density D are related by


14. The Boolean function Y = AB + CD is to be realized 




 D

(A)   H . d s   j  .d l
using only 2-input NAND gates. The minimum number  t 
s c
 
of gates required is




 D 

(A) 2 (B) 3 (B)  H . d l   j  d .d s


 t 
(C) 4 (D) 5
s s
 
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
640
For E-books/Materials/Notes-PDFs|PPTs
UNIT 10 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit,Previous
www.matterhere.com
year Papers

29. For the circuit shown in the figure, the Thevenin 33. Group I lists four types of p  n junction diodes.
voltage and resistance looking into X  Y are match each device in Group I with one of the option in
1 Group II to indicate the bias condition of the device in
X
its normal mode of operation.
2A 2
Group-I Group-II
2i 1
(P) Zener Diode (1) Forward bias
(Q) Solar cell (2) Reverse bias
Y
(R) LASER diode
4 2 (S) Avalanche Photodiode
(A) V, 2 (B) 4 V, 
3 3 (A) P - 1 Q - 2 R - 1 S - 2
4 2
(C) V,  (D) 4 V, 2 (B) P - 2 Q - 1 R - 1 S - 2
3 3
(C) P - 2 Q - 2 R - 1 S - 2

30. In the circuit shown, Vc is 0 volts at t  0 sec. for (D) P - 2 Q - 1 R - 2 S - 2

t 0, the capacitor current ic  t, where t is in seconds, is


34. The DC current gain  of a BJT is 50. Assuming
given by
that the emitter injection efficiency is 0.995, the base
20 k iC
transport factor is
(A) 0.980 (B) 0.985
+
10 V 20 k 4 F VC (C) 0.990 (D) 0.995


35. group I lists four different semiconductor devices.


(A) 0.50 exp( 25 t) mA match each device in Group I with its characteristic
property in Group II.
(B) 0.25 exp( 25 t) mA
Group-I Group-II
(C) 0.50 exp( 25 t) mA
(P)BJT (1) Population inversion
(D) 0.25 exp( 25 t) mA (Q)MOS capacitor (2)Pinch-off voltage
(R) LASER diode (3) Early effect
31. In the AC network shown in the figure, the phasor (S) JFET (4) Fat-band voltage

voltage V AB (in volts) is (A) P - 3 Q - 1 R - 4 S - 2


A (B) P - 1 Q - 4 R - 3 S - 2
(C) P - 3 Q - 4 R - 1 S - 2
5 5
A ~ (D) P - 3 Q - 2 R - 1 S - 4
j3 j3
36. For the Op-Amp circuit shown in the figure, Vo is
B
2 k

(A) 0 (B) 5 30 o 1 k


(C) 12.5 30 o (D) 17 30 o
1V vo

32. A p n junction has a built-in potential of 0.8 V. The 1 k


1 k
depletion layer width at reverse bias of 1.2V is 2 m.
For a reverse bias of 7.2 V, the depletion layer width
will be (A) -2 V (B) -1 V
(A) 4 m (B) 4.9 m
(C) -0.5 V (D) 0.5 V
(C) 8 m (D) 12 m
37. For the BJT circuit shown, assume that the  of the
transistor is very large and VBE  0.7 V . The mode of
operation of the BJT is
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
642
ForEC-07
E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 10.5

10 k
(A) 7.00 to 7.29 V (B) 7.14 to 7.29 V
(C) 7.14 to 7.43 V (D) 7.29 to 7.43 V
2V 10 V
1 k 41. The Boolean expression
Y  AB CD  ABCD ABCD ABCD can be
(A) cut-off (B) saturation minimized to

(C) normal active (D) reverse active (A) Y  A B CD  A B C  A C D

(B) Y  A B CD  B C D  A B C D
38. In the Op-Amp circuit shown, assume that the diode
(C) Y  AB C D  B C D  AB CD
current follows the equation I  I s expV/VT . For
Vi  2 V , V0  V01 , and for Vi  4 V , V0  V02 . The (D) Y  AB C D  B C D  A B C D
relationship between V01 and V02 is
D
42. The circuit diagram of a standard TTL NOT gate is
shown in the figure. Vi  2.5 V , the modes of operation of
2 k
vi the transistors will be
vo VCC =5 V

4 k 1.4 k 100 
(A) V02  2 V01 (B) V02  e V01
2

Q4
(C) V02  V01 ln 2 (D) V01  V02  VT ln 2
Q1 Q2 D
+
39. In the CMOS inverter circuit shown, if the
+
transconductance parameters of the NMOS and PMOS Q3
Wn Wp
transistors are kn  kp  m n Cox Ln
 m p Cox Lp
 40 mA/V 2 1 k

and their threshold voltages are VTHn  VTHp  1V , the


 
current I is
5V
(A) Q1 : revere active;Q2 : normal active; Q3: saturation;
PMOS Q4 :cut-off
2.5 V I (B) Q1 : revere active;Q2 : saturation; Q3: saturation;
Q4 :cut-off
NMOS
(C) Q1 : normal active;Q2 : cut-off; Q3: cut-off;
Q4 :saturation
(A) 0 A (B) 25 mA
(D) Q1 : saturation;Q2 : saturation; Q3: saturation;
(C) 45 mA (D) 90 mA Q4 :normal active

40. For the Zener diode shown in the figure, the Zener 43. In the following circuit, X is given by
voltage at knee is 7V, the knee current is negligible and
0 I0 4-to-1 0 I0 4-to-1
the Zener dynamic resistance is 10. if the input I1
MUX
I1 MUX
1 1
voltage  Vi  range is from 10 to 16V, the output voltage 1 I2 Y 1 I2 Y X
0 I3 0 I3
V0  ranges from S1 S0 S1 S0
200 
+
A B C

vi vo (A) X  A B C  A B C  A BC  ABC

_ (B) X  ABC  A B C  ABC  ABC

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
643
For E-books/Materials/Notes-PDFs|PPTs
UNIT 10 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit,Previous
www.matterhere.com
year Papers

(C) X  AB  BC  AC 47. (A) The 3-dB bandwidth of the low-pas signal e t u( t),
(D) X  A B  B C  AC where u( t) is the unit step function, is given by
1 1
(A) Hz (B) 2  1 Hz
44. The following binary values were applied to the X 2 2
and Y inputs of NAND latch shown in the figure in the (C)  (D) 1 Hz
sequence indicated below
48. A Hilbert transformer is a
X  0, Y  1; X  0, Y  0; X  1, Y  1.
(A) non-linear system (B) non-causal system
X
P (C) time-varying system (D) low-pass system

49. The frequency response of a linear, time-invariant


system is given by H ( f )  1  j510 f . The step response of
Q
Y the system is

t
The corresponding stable P , Q outputs will be (A) 5 1  e 5t  u t (B) 5 1  e 5 u t
(A) P  1, Q  0; P  1, Q  0; P  1, Q  0 or P  0, Q  1
1 
t
(B) P  1, Q  0; P  0, Q  1; or P = 0 Q = 1; P  0, Q  1 1
(C)
2
1  e5t ut (C) 1  e 5 u t
5
(C) P  1, Q  0; P  1, Q  1; P  1, Q  0 or P  0, Q  1
(D)P  1, Q  0; P  1, Q  1; P  1, Q  1
50. A 5-point sequence x[ n] is given as
45. For the circuit shown, the counter state Q1Q0  x[ 3]  1, x[ 2 ]  1, x[ 1]  0, x[0 ]  5, x[1]  1. Let X ( e j )
follows the sequence denote the

discrete-time Fourier transform of x[ n]. The
 X (e
j
value of )d is

(A) 5 (B) 10
(C) 16 (D) 5  j10 
D0 Q0 D1 Q1
51. The z-transform x[ z ] of a sequence x[ n] is given by
X ( z)  1 02.z51 . It is given that the region of convergence of
x[ n] includes the unit circle. The value of x[0] is
(A) -0.5 (B) 0
(A) 00, 01, 10, 11, 00 (B) 00, 01, 10, 00, 01 (C) 0.25 (D) 0.5
(C) 00, 01, 11, 00, 01 (D) 00, 10, 11, 00, 10
52. A Control system with PD controller is shown in
46. An 8255 chip is interfaced to an 8085 the figure If the velocity error constant K V  1000 and
microprocessor system as an I/O mapped I/O as show in the damping ration  0.5, then the value of K P and K D
the figure. The address lines A0 and A1 of the 8085 are are
used by the 8255 chip to decode internally its thee ports C(s)
R(s)
+
and the Control register. The address lines A3 to A7 as
well as the IO/M signal are used for address decoding.
The range of addresses for which the 8255 chip would
(A) K P  100, K D  0.09 (B) K P  100, K D  0.9
get selected is
(C) K P  10, K D  0.09 (D) K P  10, K D  0.9
8255

53. The transfer function of a plant is


5
T s 
s  5 s2  s  1
(A) F8H - FBH (B) F8H - FCH
(C) F8H - FFH (D) F0H - F7H
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
644
ForEC-07
E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 10.5

The second-order approximation of T s using (A)


10
(B)
1
dominant pole concept is s  11s  11
2
s  11s  11
2

1 5 10 s  10 1
(A) (B) (C) (D)
s  5s  1 s  5s  1 s  11s  11 s  s  11
2 2

5 1
(C) (D) 58. In delta modulation, the slope overload distortion
s2  s  1 s2  s  1
can be reduced by
54. The open-loop transfer function of a plant is given (A) decreasing the step size
(B) decreasing the granular noise
as G( s)  1
s 2 1
. If the plant is operated in a unity feedback
(C) decreasing the sampling rate
configuration, then the lead compensator that an (D) increasing the step size
stabilize this control system is
10 s  1 10 s  4 59. The raised cosine pulse p( t) is used for zero ISI in
(A) (B)
s2 s2 digital communications. The expression for p( t) with
10 s  2 2s  2 unity roll-off facto is given by
(C) (D)
s  10 s  10 sin 4 Wt
p( t) 
4 Wt(1  16W 2 t 2 )
55. A unity feedback control system has an open-loop
1
transfer function The value of p( t) at t  is
4W
K
G( s)  . (A) -0.5 (B) 0
s( s 2  7 s  12)
(C) 0.5 (D) 
The gain K for which s  1  j1 will lie on the root
locus of this system is 60. In the following scheme, if the spectrum M ( f ) of
(A) 4 (B) 5.5 m( t) is as shown, then the spectrum Y ( f ) of y( t) will be
(C) 6.5 (D) 10
M m(t)
56. The asymptotic Bode plot of a transfer function is as
shown in the figure. The transfer function G( s) '

corresponding to this Bode plot is Hilbert


0 Transform
G(j)dB

60 dB -20 dB/dec

40 dB
(A) (B)
-40 dB/dec
20 dB

1 10 20 100

-60 dB/dec 0 0

C (D)
1 1
(A) (B)
( s  1)( s  20) s( s  1)( s  20)
100 100
(C) (D)
s( s  1)( s  20) s( s  1)(1  0.05 s) 0 0

61. During transmission over a certain binary


57. The state space representation of a separately communication channel, bit errors occurs independently
excited DC servo motor dynamics is given as with probability p. The probability of AT MOST one bit
! d
$ !1 1$ ! $ ! 0 $ in error in a block of n bits is given by
&  #1 10 & #i &  #10 & u
dt
# dia
(A) pn (B) 1  pn
" dt % " %" a % " %
(C) np(1  p) n 1  (1  p) n (D) 1  (1  p) n

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
645
For E-books/Materials/Notes-PDFs|PPTs
UNIT 10 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit,Previous
www.matterhere.com
year Papers

62. In a GSM system, 8 channels can co-exist in 200 67. A load of 50 is connected in shunt in a 2-wire
KHz bandwidth using TDMA. A GSM based cellular transmission line of Z 0  50 as shown in the figure.
operator is allocated 5 MHz bandwidth. Assuming a The 2-port scattering parameter matrix (s-matrix) of
1
frequency reuse factor of , i.e. a five-cell repeat pattern,
5
the shunt element is
the maximum number of simultaneous channels that ! 1 1
$ !0 1 $
(A) # 12 2
(B) #
can exist in one cell is " 2  &%
1
2
&
"1 0 %
(A) 200 (B) 40 ! 1  43 $
3$ ! 1
2

(C) 25 (D) 5 (C) # 23 1&


(D) # 43 1&
" 3  3% " 4 4%

63. In a Direct Sequence CDMA system the chip rate is


68. The parallel branches of a 2-wire transmission line
1.2288 ( 106 chips per second. If the processing gain is
are terminated in 100  and 200  resistors as shown
desired to be at Least 100, the data rate
in the figure. The characteristic impedance of the line is
(A) must be less than or equal to 12.288 ( 10 3 bits/sec
Z 0  50 and each section has a length of 4 . The voltage
(B) must be greater than 12.288 ( 10 3 bits per sec
reflection coefficient * at the input is
(C) must be exactly equal to 12.288 ( 10 3 bits per sec
(D) can take any value less than 122.88 (10 3 bits/sec /4
/4 
200
64. An air-filled rectangular waveguide has inner
dimensions of 3 cm ( 2 cm. The wave impedance of the
TE20 mode of propagation in the waveguide at a
frequency of 30 GHz is (free space impedance
)0  377 )
(A) 308 (B) 355 200 

(C) 400 (D) 461 /4

65. The H field (in A/m) of a plane wave propagating in


7 5
free space is given by (A)  j (B)
5 7

5 3 5  5 5
Hx cos( t  z)  y sin t  z  (C) j (D)
)0 )0 2 7 7
The time average power flow density in Watts is  0
69. A dipole is kept horizontally at a height of above
)0 100 2 2
(A) (B) a perfectly conducting infinite ground plane. The
100 )0

radiation pattern in the lane of the dipole (E plane)


50
(C) 50)20 (D) looks approximately as
)0
y y

(A) (B)
66. The E field in a rectangular waveguide of inner
dimensions a ( b is given by
2 z z

  2 x
E H 0 sin sin( t  z) y
h2 2 a y y

Where H 0 is a constant, and a and b are the C (D)


dimensions along the x axis and the y axis
respectively. The mode of propagation in the waveguide z z

is
(A) TE20 (B) TM11
(C) TM 20 (D) TE10
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
646
ForEC-07
E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 10.5

70. A right circularly polarized (RCP) plane wave is Common Data for Questions 74, 75 :
incident at an angle of 60 o to the normal, on an Two 4-ray signal constellations are shown. It is
air-dielectric interface. If the reflected wave is linearly given that -1 and -2 constitute an orthonormal basis for
polarized, the relative dielectric constant +r 2 is the two constellations. Assume that the four symbols in
Linearly both the constellations are equiprobable. Let N0 / 2
RCP Polarized
denote the power spectral density of white Gaussian
air
noise.
Dielectric

(A) 2 (B) 3
0
(C) 2 (D) 3

Common Data for Questions 71, 72, 73:

The figure shows the high-frequency Constellation 1 Constellation 2

capacitance-voltage(C-V) characteristics of a Metal/


74. The ratio of the average energy of constellation 1 to
SiO2/silicon (MOS) capacitor having an area of
the average energy of constellation 2 is
1 ( 10 4 cm 2 . Assume that the perimitivities ( , 0 , r ) of
(A) 4 a 2 (B) 4
. ( 10 13 F/cm
silicon and Si O2 are 1 ( 10 12 F/cm and 35
(C) 2 (D) 8
respectively.
C
75. If these constellations are used for digital
7 pF
communications over an AWGN channel, then which of
the following statements is true ?
(A) Probability of symbol error for Constellation 1 is
1 pF
lower
0 V
(B) Probability of symbol error for Constellation 1 is
higher
71. The gate oxide thickness in the MOS capacitor is
(C) Probability of symbol error is equal for both the
(A) 50 nm (B) 143 nm
constellations
(C) 350 nm (D) 1 m
(D) The value of N 0 will determine which of the two
constellations has a lower probability of symbol error,
72. The maximum depletion layer width in silicon is
(A) 0.143 m (B) 0.857 m Linked Answer Questions: Q. 76 to Q. 85 Carry
(C) 1 m (D) 1.143 m Two marks Each.

73. Consider the following statements about the C-V Statement for Linked Answer Questions 76 & 77:
characteristics plot:
Consider the Op-Amp circuit shown in the figure.
S1: The MOS capacitor has an n-type substrate. R1
S2: If positive charges are introduced in the oxide, the
R1
C-V plot will shift to the left.
vi vo
Then which of the following is true?
(A) Both S1 and S2 are true R
C
(B) S1 is true and Se is false
(C) S1 is false and S2 is true
(D) Both S1 and S2 are false

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
647
For E-books/Materials/Notes-PDFs|PPTs
UNIT 10 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit,Previous
www.matterhere.com
year Papers

76. The transfer function V0 ( s)/Vi ( s) is 80. The eigenvalue and eigenvector pairs ( i Vi ) for the
1  sRC 1  sRC system are
(A) (B)
1  sRC 1  sRC ! 1$ ! 1$
(A) 1, # & and -2, # &
1 1 "1% "-2 %
(C) (D)
1  sRC 1  sRC
! 1$ ! 1$
(B) 1, # & and -2, # &
77. If Vi  V1 sin( t) and V0  V2 sin( t  -), then the "1% "-2 %
minimum and maximum values of - (in radians) are ! 1$ ! 1$
(C) 1# & and -2, # &
respectively 
" % 1 "-2 %
(A)  2 and 
(B) 0 and 
2 2
! 1$ ! 1$
(C)  and 0 (D)  2 and 0 (D) 2, # & and 1, # &
 1
" % "-2 %
Statement for Linked Answer Questions 78 & 79.
81. The system matrix A is
An 8085 assembly language program is given
! 0 1$ ! 1 1$
below. (A) # & (B) # &
"1 1% "1 2 %
Line 1: MVI A, B5H
2: MVI B, OEH ! 2 1$ ! 0 1$
(C) # & (D) # &
3: XRI 69H "1 1% "2 3%
4: ADD B
5: ANI 9BH Statement fo Linked Answer Questions 82 & 83:
6: CPI 9FH An input to a 6-level quantizer has the probability
7: STA 3010H density function f ( x) as shown in the figure. Decision
8: HLT boundaries of the quantizer are chosen so as t maximize
the entropy of the quantizer output. It is given that 3
78. The contents of the accumulator just after execution
consecutive decision boundaries are ' 1' , '0 ' and '1'.
of the ADD instruction in line 4 will be f(x)
(A) C3H (B) EAH
a
(C) DCH (D) 69H
b
79. After execution of line 7 of the program, the status
. 1 0 1 5 x
of the CY and Z flags will be
(A) CY = 0, Z = 0 (B) CY = 0, Z = 1
82. The values of a and b are
(C) CY = A, Z = 0 (D) CY = 1, Z = 1
1 1 1 3
(A) a  and b = (B) a  and b =
6 12 5 40
Statement for Linked Answer Questions 80 & 81.
1 1 1 1
Consider a linear system whose state space (C) a  and b = (D) a  and b =
4 16 3 24
representation is x( t)  Ax( t). If the initial state vector of
! 1$
the system is x(0)  # &, then the system response is 83. Assuming that the reconstruction levels of the
"2 %
quantizer are the mid-points of the decision boundaries,
! e 2 x $ the ratio of signal power to quantization noise power is
x( t)  # 2 t &
. If the itial state vector of the system
"2 e %
152 64
! 1$ (A) (B)
changes to x(0)  # &, then the system response 9 3
"2 %
76
(C) (D) 28
! e t $ 3
becomes x( t)  #  t &
" e %
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
648
ForEC-07
E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 10.5

Statement for Linked Answer Questions 84 & 85.

In the digital-to Analog converter circuit shown in ANSWER


the figure below, VR  10 V and R  10 k.
1. A 2. B 3. C 4. A 5. D
R R R i R

6. A 7. D 8. C 9. D 10 .C
2R 2R 2R 2R
R 11. C 12. A 13. C 14. B 15. D

 16. A 17. C 18. B 19. A 20. D


vo
21. C 22. A 23. C 24. D 25. B


26. B 27. A 28. D 29. D 30. A

31. D 32. A 33. B 34. B 35. C


84. The current is
. A
(A) 3125 (B) 62.5A 36. C 37. B 38. D 39. D 40. C
(C) 125A (D) 250A 41. D 42. B 43. A 44. C 45. B

85. The voltage V0 is 46. C 47. A 48. A 49. B 50. B


(A) -0.781 V (B) -1.562 V
51. D 52. B 53. C 54. A 55. D
(C) -3.125 V (D) -6.250 V
56. D 57. A 58. D 59. C 60. A

61. C 62. B 63. A 64. C 65. D

************ 66. A 67. B 68. D 69. C 70. D

71. A 72. B 73. C 74. B 75. B

76. A 77. C 78. B 79. C 80. A

81. D 82. A 83. 84. B 85. C

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
649
For E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com

CHAPTER

10.1
EC-03

Duration : Three Hours Maximum Marks : 150 4. The Laplace transform of i( t) is given by
2
Q.130 carry one mark each I ( s) =
s(1  s)

1. The minimum number of equations required to As t  , The value of i( t) tends to


analyze the circuit shown in Fig. Q. 1 is (A) 0 (B) 1
C C (C) 2 (D) 

5. The differential equation for the current i( t) in the


R R
circuit of Fig. Q.5 is
~ R C R i1(t) 2 2H

Fig. Q1 sin t ~ 1F
(A) 3 (B) 4
(C) 6 (D) 7
Fig. Q5
2.. A source of angular frequency 1 rad/sec has a source d 2i di
(A) 2 2  2  i( t)  sin t
impedance consisting of 1 resistance in series with 1 dt dt

H inductance. The load that will obtain the maximum d 2i di


(B) 2 2  2 i( t)  cos t
power transfer is dt 2 dt

(A) 1  resistance d 2i di
(C) 2 2
2  i( t)  cos t
dt dt
(B) 1  resistance in parallel with 1 H inductance
d 2i di
(C) 1  resistance in series with 1 F capacitor (D) 2 2  2 i( t)  sin t
dt 2 dt
(D) 1  resistance in parallel with 1 F capacitor
6. n-type silicon is obtained by doping silicon with
3. A series RLC circuit has a resonance frequency of (A) Germanium (B) Aluminium
1 kHz and a quality factor Q = 100. If each of R, L and C
(C) Boron (D) Phosphorus
is doubled from its original value, the new Q of the
circuit is 7. The bandgap of silicon at 300 K is
(A) 25 (B) 50 (A) 1.36 eV (B) 1.10 eV
(C) 100 (D) 200 (C) 0.80 eV (D) 0.67 eV
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
591
ForEC-03
E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 10.1

20. A 0 to 6 counter consists of 3 flip flops and a 25. A PD controller is used to compensate a system.
combination circuit of 2 input gate(s). The combination Compared to the uncompensated system, the
circuit consists of compensated system has
(A) one AND gate (A) a higher type number
(B) one OR gate (B) reduced damping
(C) one AND gate and one OR gate (C) higher noise amplification
(D) two AND gates (D) larger transient overshoot

21. The Fourier series expansion of a real periodic 26. The input to a coherent detector is DSB-SC signal
signal with fundamental frequency f0 is given by plus noise. The noise at the detector output is
g p ( t) =
c
n  
n e j 2 f0t . It is given that c3  3  j5. Then c3 is (A) the in-phase component
(A) 5  j 3 (B) 3  j5 (B) the quadrature component
(C) 5  j 3 (D) 3  j5 (C) zero
(D) the envelope
22. Let x( t) be the input to a linear, time-invariant
system. The required output is 4 x( t  2). The transfer 27. The noise at the input to an ideal frequency detector
function of the system should be is white. The detector is operating above threshold. The
j 4 f  j8 f
(A) 4 e (B) 2 e power spectral density of the noise at the output is
 j 4 f j8 f
(C) 4 e (D) 2 e (A) raised-cosine (B) flat
(C) parabolic (D) Gaussian
23. A sequence x( n) with the z-transform
X ( z)  z 4  z 2  2 z  2  3z 4 is applied as an input to a 28. At a given probability of error, binary coherent FSK
linear, time-invariant system with the impulse response is inferior to binary coherent PSK by
h( n)  2 ( n  3) where (A) 6 dB (B) 3 dB

1, n  0 (C) 2 dB (D) 0 dB
( n)  
 0, otherwise
29. The unit of   H is
The output at n  4 is (A) Ampere (B) Ampere/meter
(A) 6 (B) zero 2
(C) Ampere/meter (D) Ampere-meter
(C) 2 (D) 4
30. The depth of penetration of electromagnetic wave in
24. Fig. Q.24 shows the Nyquist plot of the open-loop a medium having conductivity  at a frequency of 1
transfer function G( s) H ( s) of a system. If G( s) H ( s) has MHz is 25 cm. The depth of penetration at a frequency
one right-hand pole, the closed-loop system is of 4 MHz will be
(A) 6.25 cm (B) 12.50 cm
Im
GH - plane (C) 50.00 cm (D) 100.00 cm

Re
= (1, 0) Q.3190 carry two marks each.
 is positive
31. Twelve 1  resistance are used as edges to form a
Fig. Q24
cube. The resistance between two diagonally opposite
(A) always stable corners of the cube is
(B) unstable with one closed-loop right hand pole 5
(A)  (B) 1 
6
(C) unstable with two closed-loop right hand poles
6 3
(D) unstable with three closed-loop right hand poles (C) (D) 
5 2
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
593
For E-books/Materials/Notes-PDFs|PPTs
UNIT 10 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit,Previous
www.matterhere.com
Year Papers

32. The current flowing through the resistance R in the  1  V 


 R  Ls  Cs  Ls   I s   
circuit in Fig. Q.32 has the form P cos 4 t, where P is (D)  1
 s
1  I 2 s   
1   Ls R  Ls   0 
10.24
F  Cs   
M=0.75 H

35. An input voltage


3 R = 3.92 
v( t)  10 2 cos ( t  10)  10 3 cos (2  10  ) V
V=2cos 4t
~
is applied to a series combination of resistance
R  1 and an inductance L  1 H. The resulting steady
Fig. Q32
state current i( t) in ampere is
(A) (0.18  j 0.72) (B) (0.46  j 190
. )
(A) 10 cos ( t  55  )  10 cos (2 t  10   tan 1 2)
(C) (0.18  j 190
. ) (D) (0.192  j 0.144)
3
(B) 1  cos ( t  55  )  10 cos (2 t  55  )
The circuit for Q.3334 are given in Fig. Q.3334. 2
For both the questions, assume that the switch S (C) 10 cos ( t  55  )  10 cos (2 t  10   tan 1 2)
is in position 1 for a long time and thrown to 3
(D) 1  cos ( t  55  )  10 cos (2 t  35  )
position 2 at t  0. 2
1 C
S
36. The driving-point impedance Z ( s) of a network has
2
the pole-zero locations as shown in Fig. Q.36. If Z(0)  3,
R
V i1 L i2
then Z ( s) is
R
Im
C
1 s - plane

Fig. Q33-34 Re
-3 -1

33. At t  0  , the current i1 is -1


V V Fig. Q36
(A) (B)
2R R
3( s  3) 2( s  3)
V (A) (B)
(C) (D) zero s  2s  3
2
s  2s  2
2

4R
3( s  3) 2( s  3)
(C) (D)
34. I1 ( s) and I 2 ( s) are the Laplace transforms of i1 ( t) and s2  2 s  2 s2  2 s  3
i2 ( t) respectively. The equations for the loop currents
I1 ( s) and I 2 ( s) for the circuit shown in Fig. Q.3334, 37. The impedance parameters Z11 and Z12 of the

after the switch is brought from position 1 to position 2 two-port network in Fig. Q.37 are

at t  0, are 2 2 3
1 2
 1  V 
 R  Ls  Cs  Ls   I s   
(A) 1
 s
1  I 2 s    1 1
  Ls R  0 
 Cs   
 1   V 1 2
 R  Ls  Cs  Ls   I s   
s Fig. Q37
(B)  1

1  I 2 s   
  Ls R   0  (A) Z11  2.75 and Z12  0.25 
 Cs   
(B) Z11  3 and Z12  0.5 
 1   V
 R  Ls  Cs  Ls   I s   
s (C) Z11  3 and Z12  0.25 
(C)  1

1  I 2 s   
  Ls R  Ls    0  (D) Z11  2.25  and Z12  0.5 
 Cs   
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
594
ForEC-03
E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 10.1

38. An n-type silicon bar 0.1 cm long and 100 m 2 in (A) 2.26 eV (B) 1.98 eV
cross-sectional area has a majority carrier (C) 1.17 eV (D) 0.74 eV
concentration of 5  10 20 / m 3 and the carrier mobility is
0.13 m 2 /V-s at 300 K. If the charge of an electron is 1.5  43..When the gate-to-source voltage ( VGS ) of a MOSFET
10 19 coulomb, then the resistance of the bar is with threshold voltage of 400 mV, working in saturation
(A) 106 Ohm (B) 10 4 Ohm is 900 mV, the drain current is observed to be 1 mA.
1 4
(C) 10 Ohm (D) 10 Ohm Neglecting the channel width modulation effect and
assuming that the MOSFET is operating at saturation,
39. The electron concentration in a sample of uniformly
the drain current for an applied VGS of 1400 mV is
doped n-type silicon at 300 K varies linearly from
(A) 0.5 mA (B) 2.0 mA
1017 cm 3 at x  0 to 6  1016 cm 3 at x  2 m. Assume a
(C) 3.5 mA (D) 4.0 mA
situation that electrons are supplied to keep this
concentration gradient constant with time. If electronic 44. If P is Passivation, Q is n-well implant, R is
charge is 1.6  10 19 coulomb and the diffusion constant
metallization and S is source/drain diffusion, then the
Dn  35 cm 2 s, the current density in the silicon, if no
order in which they are carried out in a standard n-well
electric field is present, is
CMOS fabrication process, is
(A) zero (B) 112 A cm 2
(A) PQRS (B) QSRP
(C) +1120 A cm 2 (D) 1120 A cm 2
(C) RPSQ (D) SRQP
40. Match items in Group 1 with items in Group 2, most
suitably. 45. An amplifier without feedback has a voltage gain of
Group 1 Group 2 50, input resistance of 1 k and output resistance of 2.5
P. LED 1. Heavy doping k. The input resistance of the current-shunt negative
Q. Avalanche photo diode 2. Coherent radiation feedback amplifier using the above amplifier with a
R.Tunnel diode 3.Spontaneous emission feedback factor of 0.2, is
S. LASER 4. Current gain (A) 1/11 k (B) 1/5 k
(A) (B) (C) (D)
(C) 5 k (D) 11 k
P1 P2 P3 P2
Q2 Q3 Q4 Q1 46. In the amplifier circuit shown in Fig. Q.46, the
R4 R1 R1 R4 values of R1 and R2 are such that the transistor is
S3 S4 S2 S3 operating at VCE  3 V and I C  15
. mA when its  is
150. For a transistor with  of 200, the operating point
41. At 300 K, for a diode current of 1 mA, a certain
( VCE , I C ) is
germanium diode requires a forward bias of 0.1435 V,
VCC = 6 V
whereas a certain silicon diode requires a forward bias
R1 R2
of 0.718 V. Under the conditions stated above, the
closest approximation of the ratio of reverse saturation
current in germanium diode to that in silicon diode is
(A) 1 (B) 5
(C) 4  10 3
(D) 8  10 3
Fig. Q46

42. A particular green LED emits light of wavelength (A) (2 V, 2 mA) (B) (3 V, 2 mA)
5490 A. The energy bandgap of the semiconductor
(C) (4 V, 2 mA) (D) (4 V, 1 mA)
material used there is (Planks constant
 6.626  10 34 J s)
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
595
For E-books/Materials/Notes-PDFs|PPTs
UNIT 10 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit,Previous
www.matterhere.com
Year Papers

47. The oscillator circuit shown in Fig. Q.47 has an ideal 51. Three identical amplifiers with each one having a
inverting amplifier. its frequency of oscillation (in Hz) is voltage gain of 50, input resistance of 1 k and output
resistance of 250 , are cascaded. The open circuit
voltage gain of the combined amplifier is
(A) 49 dB (B) 51 dB
C C C
(C) 98 dB (D) 102 dB

R R R
52. An ideal sawtooth voltage waveform of frequency
500 Hz and amplitude 3 V is generated by charging a
Fig. Q47 capacitor of 2 F in every cycle. The charging requires
1 1 (A) constant voltage source of 3 V for 1 ms
(A) (B)
(2 6 RC) (2 RC)
(B) constant voltage source of 3 V for 2 ms
1 6
(C) (D) (C) constant current source of 3 mA for 1 ms
( 6 RC) (2 RC)
(D) constant current source of 3 mA for 2 ms
48. The output voltage of the regulated power supply
53. The circuit shown in Fig. Q.53 has 4 boxes each
shown in Fig. Q.48 is
+ described by inputs, P, Q, R and outputs Y, Z with
1 k Y  P  Q  R, Z  RQ  PR  QP . The circuit acts as
a
15 V DC
Unregulated Q
Power source Vz = 3 V

40 k
P
20 k Regulated
DC Output P Q P Q P Q P Q


Z Y R Z Y R Z Y R Z Y R
Fig. Q48
(A) 3 V (B) 6 V
(C) 9 V (D) 12 V Output

Fig. Q53
49. The action of a JFET in its equivalent circuit can
(A) 4 bit adder giving P  Q
best be represented as a
(B) 4 bit substracter giving P  Q
(A) Current Controlled Current Source
(B) Current Controlled Voltage Source (C) 4 bit substracter giving Q  R
(C) Voltage Controlled Voltage Source
(D) 4 bit adder giving P  Q  R
(D) Voltage Controlled Current Source
54. If the functions W , X , Y and Z are as follows
50. If the op-amp in Fig. Q.50 is ideal, the output
W  R  PQ  RS
voltage Vout will be equal to
5 k X  PQRS  P Q R S  PQ R S
1 k Y  RS  PR  PQ  P Q
2V
1 k Vout
3V
Z  R  S  PQ  P  Q  R  PQ  S Then

(A) W  Z , X  Z (B) W  Z , X  Y
8 k
(C) W  Y (D) W  Y  Z

Fig. Q50 55. A 4 bit ripple counter and a 4 bit synchronous


(A) 1 V (B) 6 V
counter are made using flip flops having a propagation
(C) 14 V (D) 17 V delay of 10 ns each. If the worst case delay in the ripple
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
596
ForEC-03
E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 10.1

counter and the synchronous counter be R and S (A) BCD to binary code
respectively, then (B) Binary to excess 3 code
(A) R =10 n, S =40 ns (B) R =40 ns, S =10 ns (C) Excess 3 to Gray code
(D) Gray to Binary code
(C) R =10 ns, S =30 ns (D) R =30 ns, S =10 ns
59. In the circuit shown in Fig. Q.59, A is a parallel-in,
56. The DTL, TTL, ECL and CMOS families of digital
parallel-out 4 bit register, which loads at the rising edge
ICs are compared in the following 4 columns of the clock C. The input lines are connected to a 4 bit
P Q R S bus, W. Its output acts as the input to a 16  4 ROM
whose output is floating when the enable input E is 0. A
Fanout is
DTL DTL TTL CMOS partial table of the contents of the ROM is as follows
minimum

Power MSB
consumption is TTL CMOS ECL DTL
minimum

Propagation delay
CMOS ECL TTL TTL
is minimum
CLK A
The correct column is
(A) P (B) Q
(C) R (D) S
1
57. The circuit shown in Fig. Q.57 is a 4 bit DAC. The
E ROM
input bits 0 and 1 are represented by 0 and 5 V
respectively. The OP AMP is ideal, but all the resistance
and the 5 V inputs have a tolerance of 10%. The
specification (rounded to the nearest multiple of 5%) for
the tolerance of the DAC is
(A) 35% (B) 20%
(C) 10% (D) 5%

R
R CLK
2R t
t1 t2
4R
Fig. Q59
Vout
Address Data
8R
0 0011
R
2 1111

4 0100
Fig. Q57
6 1010
58. The circuit shown in Fig. Q.58 converts
MSB 8 1011

10 1000

12 0010

14 1000
+ + +
The clock to the register is shown, and the
data on the W bus at time t1 is 0110. The data on the
MSB bus at time t2 is
Fig. Q58
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
597
For E-books/Materials/Notes-PDFs|PPTs
UNIT 10 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit,Previous
www.matterhere.com
Year Papers

(A) 1111 (B) 1011 Data for Q.6566 are given below. Solve the
(C) 1000 (D) 0010 problems and choose the correct answers.

X ( t) is a random process with a constant mean


60. In an 8085 microprocessor, the instruction CMP B
value of 2 and the autocorrelation function
has been executed while the content of the accumulator
0 .2 %
is less than that of register B. As a result R X ( %)  4[ e  1].
(A) Carry flag will be set but Zero flag will be reset
65. Let X be the Gaussian random variable obtained by
(B) Carry flag will be reset but Zero flag will be set
sampling the process at t  ti and let
(C) Both Carry flag and Zero flag will be reset
 y2
1 
(D) Both Carry flag and Zero flag will be set Q ( &)  ' e 2
dy
& 2
61. Let X and Y be two statistically independent
The probability that [ x ! 1] is
random variables uniformly distributed in the ranges
(A) 1  Q(0.5) (B) Q(0.5)
( 1, 1) and (( 2, 1) respectively. Let Z  X  Y . Then the
(C) Q( 2 1 2 ) (D) 1  Q( 2 1 2 )
probability that ( Z !  2) is
1
(A) zero (B) 6 66. Let Y and Z be the random variables obtained by
(C) 1
3
(D) 1
12
sampling X ( t) at t  2 and t  4 respectively. Let
W  Y  Z . The variance of W is
62. Let P be linearity, Q be time-invariance, R be (A) 13.36 (B) 9.36
causality and S be stability. A discrete time system has
(C) 2.64 (D) 8.00
the input-output relationship,

x( n) n "1 67. Let x( t)  2 cos ( 800 t)  cos (1400 t). x( t) is sampled


#
y( n)   0, n 0 with the rectangular pulse train shown in Fig. Q.67.
# x( n  1) n ! 1
 The only spectral components (in kHz) present in the

where x( n) is the input and y( n) is the output. The sampled signal in the frequency range 2.5 kHz to

above system has the properties 3.5 kHz are


p(t) -3
T0 = 10 sec
(A) P, S but not Q, R (B) P, Q, S but not R 3

(C) P, Q, R, S (D) Q, R, S but not P


t
Data for Q.6364 are given below. Solve the T0 T0/6 0 T0/6 T0

problems and choose the correct answers. Fig. Q67


(A) 2.7, 3.4 (B) 3.3, 3.6
The system under consideration is an RC low-pass
filter (RC-LPF) with R  1 k and C  10
. F. (C) 2.6, 2.7, 3.3, 3.4, 3.6 (D) 2.7, 3.3

63. Let H ( f ) denote the frequency response of the 68. The signal flow graph of a system is shown in Fig.
C(s )
RC-LPF. Let f1 be the highest frequency such that Q.68. The transfer function R(s )
of the system is
1 1
H ( f1 ) R(s)
0 ! $ f $ ! f1 1 s 6 s
0.95. Then f1 (in Hz) is
H (0) (
* )
1
(A) 327.8 (B) 163.9
C(s)
(C) 52.2 (D) 104.4
Fig. Q68
6 6s
64. Let t g ( f ) be the group delay function of the given (A) 2 (B)
s  29 s  6 s  29 s  6
2

RC-LPF and f2  100 Hz. Then t g ( f2 ) in ms, is


s( s  2) s( s  27)
(A) 0.717 (B) 7.17 (C) (D)
s  29 s  6
2
s  29 s  6
2

(C) 71.7 (D) 4.505


www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
598
ForEC-03
E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 10.1

69 The root locus of the system 72. The gain margin and the phase margin of a
K feedback system with
G( s) H ( s) =
s( s  2)( s  3) s
G( s) H ( s)  are
( s  100) 3
has the break-away point located at
(A) (0.5, 0) (B) (2.548, 0) (A) - dB, 0 (B) , 

(C) (4, 0) (D) (0.784, 0) (C) , 0 (D) 88.5 dB, 

70. The approximate Bode magnitude plot of a 73. The zero-input response of a system given by the
minimum phase system is shown in Fig. Q.70. The state-space equation
transfer function of the system is  x& 1  1 0   x1   x1 (0)  1 
 x&   1 1   x  and  x (0)   0  is
dB  2   2   2   
160 te t  e t 
(A)   (B)  
140
t  t 
e t  t 
(C)  t  (D)  t 
20 te  te 

0.1 10 100 74. A DSB-SC signal is to be generated with a carrier


Fig. Q70 frequency fc  1 MHz using a nonlinear device with the
( s  0.1) 3 ( s  0.1) 3 input-output characteristic v0  a0 v1  a1 vi3 where a0 and
(A) 108 (B) 10 7
( s  10) 2 ( s  100) ( s  10)( s  100) a1 are constants. The output of the nonlinear device
( s  0.1) 2
( s  0.1) 3 can be filtered by an appropriate band-pass filter. Let
(C) 108 (D) 10 9
( s  10) 2 ( s  100) ( s  10)( s  100) 2 vi  Acl cos (2 fcl t)  m( t) where m( t) is the message
l
signal. Then the value of f (in MHz) is c
71. A second-order system has the transfer function (A) 1.0 (B) 0.333
C (s) 4 (C) 0.5 (D) 3.0
 2
R (s) s  4s  4
The data for Q.75-76 are given below. Solve the
With r ( t) as the unit-step function, the response problems and choose the correct answers.
c( t) of the system is represented by
Let m( t)  cos [( 4  10 3) t ] be the message signal
(A) (B)
and c( t)  5 cos [(2  106 ) t ] be the carrier.
Step Response Step Response
2 1
75. c( t) and m( t) are used to generate an AM signal. The
1.5
modulation index of the generated AM signal is 0.5.
Amplitude

Amplitude

1 0.5
Total side band power
Then the quantity is
0.5 Carrier power
1 1
0
0 5 10 15 20 25
0
0 5 10 (A) 2
(B) 4
Time (sec) Time (sec) 1 1
(C) 3
(D) 8
(C) (D)
Step Response Step Response 76. c( t) and m( t) are used to generate an FM signal. If
1.5 1
the peak frequency deviation of the generated FM is
Amplitude

Amplitude

1 three times the transmission bandwidth of the AM


0.5
signal, then the coefficient of the term
0.5
cos [2 (1008  10 t)] in the FM signal (in terms of the
3

0 0 Bessel coefficients) is
0 2 4 6 0 2 4 6
5
Time (sec) Time (sec) (A) 5 J 4 ( 3) (B) 2
J8 ( 3)
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
599
ForEC-03
E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 10.1

86. A uniform plane wave traveling in air is incident on 90. Two identical antennas are placed in the 0  2
the plane boundary between air and another dielectric plane as shown in Fig. Q.90. The elements have equal
medium with - r  4. The reflection coefficient for the amplitude excitation with 180 polarity difference,
normal incidence, is operating at wavelength 1. The correct value of the

(A) zero (B) 0.5 /180  magnitude of the far-zone resultant electric field
strength normalized with that of a single element, both
(C) 0.333 /0  (D) 0.333/180 
computed for 2  0, is

87. If the electric field intensity associated with a


uniform plane electromagnetic wave traveling in a

s
perfect dielectric medium is given by 2

E( z, t)  10 cos (2  10 t  0.1 z)
7
volt/m, then the s
velocity of the traveling wave is
Fig. Q.90
(A) 3.00  108 m/sec (B) 2.00  108 m/sec
3 2 s 6 3 2 s 6
(C) 6.28  10 7 m/sec (D) 2.00  10 7 m/sec (A) 2 cos 5 8 (B) 2 sin 5 8
4 1 7 4 1 7
3 s 6 3 s 6
88. A short-circuited stub is shunt connected to a (C) 2 cos 5 8 (D) 2 sin 5 8
4 17 4 17
transmission line as shown in Fig. Q.88. If Z 0  50 ohm,
**************
the admittance Y seen at the junction of the stub and
the transmission line is

1/8

Z9 Z9 Z L 100 
Z9

1/2
Y
Fig. Q.88

(A) (0.01  j0.02) mho (B) (0.02  j0.01) mho


(C) (0.04  j0.02) mho (D) (0.02  j0) mho

89. A rectangular metal wave guide filled with a


dielectric material of relative permitivity - r  4 has the
inside dimensions 3.0 cm  1.2 cm. The cut-off frequency
for the dominant mode is

(A) 2.5 GHz (B) 5.0 GHz

(C) 10.0 GHz (D) 12.5 GHz

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
601
For E-books/Materials/Notes-PDFs|PPTs
UNIT 10 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit,Previous
www.matterhere.com
Year Papers

ANSWER SHEET
1. (B) 2. (C) 3. (B) 4. (C) 5. (C)

6. (D) 7. (B) 8. (A) 9. (C) 10. (A)

11. (B) 12. (D) 13. (B) 14. (C) 15. (A)

16. (D) 17. (C) 18. (B) 19. (B) 20. (D)

21. (D) 22. (C) 23. (B) 24. (A) 25. (C)

26. (A) 27. (A) 28. (D) 29. (B) 30. (B)

31. (A) 32. (*) 33. (D) 34. (D) 35. (C)

36. (B) 37. (A) 38. (C) 39. (C) 40. (C)

41. (C) 42. (A) 43. (D) 44. (B) 45. (A)

46. (A) 47. (A) 48. (C) 49. (D) 50. (B)

51. (D) 52. (D) 53. (B) 54. (A) 55. (B)

56. (C) 57. (A) 58. (D) 59. (C) 60. (A)

61. (A) 62. (A) 63. (C) 64. (B) 65. (A)

66. (C) 67. (A) 68. (A) 69 (D) 70 (A)

71. (B) 72. (D) 73. (C) 74 (A) 75 (D)

76. (D) 77. (B) 78. (A) 79 (C) 80 (D)

81. (B) 82. (D) 83. (B) 84. (C) 85. (C)

86. (D) 87. (B) 88. (A) 89 (B) 90. (D)

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
602
For E-books/Materials/Notes-PDFs|PPTs
UNIT 10 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit,Previous
www.matterhere.com
Year Papers

5. For the R-L circuit shown in Fig. Q.5, the input (C) current controlled current source
voltage vi ( t)  u( t). The current i( t) is (D) current controlled voltage source
i(t) 1H
10. Voltage series feedback (also called series-shunt
feedback) results in
vi(t) 2W
(A) increase in both input and output impedances
(B) decrease in both input and output impedances

Fig Q.5 (C) increase in input impedance and decrease in


output impedance
(A) (B)
(D) decrease in input impedance and increase in
i(t) i(t)
output impedance
0.5 1
0.31 0.63

11. The circuit in Fig. Q.11 is a


t(sec) t(sec)
2

(C) (D)
vo
i(t) i(t) R R
vi
0.5 1
0.31 0.63
C C
t(sec) t(sec)
2

6. The impurity commonly used for realizing the base


Fig Q.11
region of a silicon n-p-n transistor is
(A) Gallium (B) Indium (A) low-pass filter (B) high-pass filter
(C) band-pass filter (D) band-reject filter
(C) Boron (D) Phosphorus

12. Assuming VCEsat = 0.2 V and b = 50, the minimum


7. If for a silicon n-p-n transistor, the base-to-emitter
base current ( I B ) required to drive the transistor in Fig.
voltage ( VBE ) is 0.7 V and the collector-to-base voltage
Q.12 to saturation is
( VCB ) is 0.2 V, then the transistor is operating in the 3 V
IC
(A) normal active mode (B) saturation mode
1 kW
(C) inverse active mode (D) cutoff mode IB

8. Consider the following statements S1 and S2.

S1 : The b of a bipolar transistor reduces if the base


Fig Q12.
width is increased.
(A) 56 mA (B) 140 mA
S2 : The b of a bipolar transistor increases if the
doping concentration in the base is increased. (C) 60 mA (D) 3 mA

Which one of the following is correct ?


13. A master-slave flip-flop has the characteristic that
(A) S1 is FALSE and S2 is TRUE
(A) change in the input is immediately reflected in
(B) Both S1 and S2 are TRUE the output
(C) Both S1 and S2 are FALSE (B) change in the output occurs when the state of the
(D) S1 is TRUE and S2 is FALSE master is affected
(C) change in the output occurs when the state of the
9. An ideal op-amp is an ideal slave is affected
(A) voltage controlled current source (D) both the master and the slave states are affected
(B) voltage controlled voltage source at the same time
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
604
ForEC-04
E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 10.2

14. The range of signed decimal numbers that can be 19. The impulse response h[ n] of a linear time-invariant
represented by 6-bit 1s complement numbers is system is given by
(A) 31 to +31 (B) 63 to +64 h[ n] = u[ n + 3] + u[ n - 2 ] - 2 u[ n - 7 ]
(C) 64 to +63 (D) 32 to +31
where u[ n] is the unit step sequence. The above
15. A digital system is required to amplify a system is
binary-encoded audio signal. The user should be able to Vout

control the gain of the amplifier from a minimum to a


maximum in 100 increments. The minimum number of
bits required to encode, in straight binary, is

(A) 8 (B) 6
Vin
(C) 5 (D) 7 0

Fig Q.18
16. Choose the correct one from among the alternatives
(A) stable but not causal
A, B, C, D after matching an item from Group 1 with
(B) stable and causal
the most appropriate item in Group 2.
(C) causal but unstable
Group 1 Group 2 (D) unstable and not causal

P: Shift register 1: Frequency division


20. The distribution function FX ( x) of a random variable
Q: Counter 2: Addressing in memory chips
X is shown in Fig. Q.20. The probability that X = 1 is
R: Decoder 3: Serial to parallel data conversion
Fx(x)
1.0
(A) (B) (C) (D)
0.55
P3 P3 P2 P1 0.25
x
Q2 Q1 Q1 Q3 -2 0 1 2

R1 R2 R3 R2 Fig Q.20

17. Fig. Q.17 shows the internal schematic of a TTL (A) zero (B) 0.25
AND-OR-Invert (AOI) gate. For the inputs shown in
(C) 0.55 (D) 0.30
Fig. Q.17, the output Y is
A
B 21. The z-transform of a system is
y
Input are
Floating z
H ( z) =
z - 0.2
Fig Q.17
If the ROC is |z |< 0.2, then the impulse response
(A) 0 (B) 1
of the system is
(C) AB (D) AB
(A) (0.2) n u[ n] (B) (0.2) n u[ -n - 1]
18. Fig. Q.18 is the voltage transfer characteristic of
(C) -(0.2) n u[ n] (D) -(0.2) n u[ -n - 1]
(A) an NMOS inverter with enhancement mode
transistor as load
(B) an NMOS inverter with depletion mode transistor 22. The Fourier transform of a conjugate symmetric
as load function is always
(C) a CMOS inverter (A) imaginary (B) conjugate anti-symmetric
(D) a BJT inverter (C) real (D) conjugate symmetric
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
605
ForEC-04
E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 10.2

33. Consider the Bode magnitude plot shown in Fig. 37. Consider the following statements S1 and S2.
Q.33. The transfer function H ( s) is S1 : At the resonant frequency the impedance of a
20 log H(jw) series RLC circuit is zero.
S2 : In a parallel GLC circuit, increasing the
0 20 dB/dec
conductance G results in increase in its Q factor.
-20 -20 dB/dec
Which one of the following is correct ?
40 dB/dec
(A) S1 is FALSE and S2 is TRUE
1 10 100 w (B) Both S1 and S2 are TRUE
Fig Q.33 (C) S1 is TRUE and S2 is FALSE
( s + 10) 10( s + 1) (D) Both S1 and S2 are FALSE
(A) (B)
( s + 1)( s + 100) ( s + 10)( s + 100)
10 2 ( s + 1) 10 3( s + 100) 38.. In an abrupt pn junction, the doping
(C) (D)
( s + 10)( s + 100) ( s + 1)( s + 10) concentrations on the p-side and n-side are
N A = 9 10 16
cm 3
respectively. The p-n junction is
V ( s)
34. The transfer function H ( s) = o of an RLC reverse biased and the total depletion width is 3 mm.
Vi ( s)
circuit is given by The depletion width on the p-side is
10 6 (A) 2.7 mm (B) 0.3 mm
H ( s) =
s + 20 s + 106
2
(C) 2.25 mm (D) 0.75 mm

The Quality factor (Q-factor) of this circuit is


39. The resistivity of a uniformly doped n-type silicon
(A) 25 (B) 50
sample is 0.5 W-cm. If the electron mobility (m n ) is 1250
(C) 100 (D) 5000 cm 2 /V-sec and the charge of an electron is 1.6 10 -19
Coulomb, the donor impurity concentration ( N D) in the
35. For the circuit shown in Fig. Q.35, the initial sample is
conditions are zero. Its transfer function (A) 2 1016 cm 3 (B) 1 1016 cm 3
H ( s) = VC ( s) Vi ( s) is
(C) 2.5 1015 cm 3 (D) 5 1015 cm 3
1 106
(A) 2 (B) 2
s + 10 3 s + 106 s + 10 3 s + 106
10 kW 10 mH 40. Consider an abrupt p-n junction. Let Vbi be the
built-in potential of this junction and VR be the applied
reverse bias. If the junction capacitance ( C j ) is 1 pF for
vi(t) 100 mF vo(t)
Vbi + VR = 1 V, then for Vbi + VR = 4 V, C j will be
(A) 4 pF (B) 2 pF

Fig Q35.
(C) 0.25 pF (D) 0.5 pF

10 3 106 41. Consider the following statements S1 and S2.


(C) (D)
s 2 + 10 3 s + 106 s 2 + 106 s + 106 S1 : The threshold voltage ( VT ) of a MOS capacitor
decreases with increase in gate oxide thickness.
36. A system described by the following differential S2 : The threshold voltage ( VT ) of a MOS capacitor
equation decreases with increase in substrate doping
concentration.
d2 y dy
+3 + 2 y = x( t)
dt 2 dt Which one of the following is correct ?
is initially at rest. For input x( t) = 2 u( t), the output (A) S1 is FALSE and S2 is TRUE
y( t) is (B) Both S1 and S2 are TRUE
-t -2 t -t -2 t
(A) (1 - 2 e + e ) u( t) (B) (1 + 2 e - e ) u( t) (C) Both S1 and S2 are FALSE
-t -2 t -t -2 t
(C) (0.5 + e + 15
. e ) u( t) (D) (0.5 + 2 e + 2 e ) u( t) (D) S1 is TRUE and S2 is FALSE
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
607
For E-books/Materials/Notes-PDFs|PPTs
UNIT 10 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit,Previous
www.matterhere.com
Year Papers

42. The drain of an n-channel MOSFET is shorted to 46. A bipolar transistor is operating in the active region
the gate so that VGS = VDS . The threshold voltage ( VT ) of with a collector current of 1 mA. Assuming that the b of
the MOSFET is 1 V. If the drain current ( I D) is 1 mA for the transistor is 100 and the thermal voltage ( VT ) is 25
VGS = 2 V, then for VGS = 3 V, I D is mV, the transconductance ( g m ) and the input resistance

(A) 2 mA (B) 3 mA ( rp) of the transistor in the common emitter


configuration, are
(C) 9 mA (D) 4 mA
(A) g m = 25 mA/V and rp = 15.625 kW
43. The longest wavelength that can be absorbed by (B) g m = 40 mA/V and rp = 4.0 kW
silicon, which has the bandgap of 1.12 eV, is 1.1 mm. If (C) g m = 25 mA/V and rp = 2.5 kW
the longest wavelength that can be absorbed by another
(D) g m = 40 mA/V and rp = 2.5 kW
material is 0.87 mm, then the bandgap of this material
is 47. The value of C required for sinusoidal oscillations of
(A) 1.416 eV (B) 0.886 eV frequency 1 kHz in the circuit of Fig. Q.47 is
(C) 0.854 eV (D) 0.706 eV 1 kW 2.1 kW

44. The neutral base width of a bipolar transistor,


biased in the active region, is 0.5 mm. The maximum C

electron concentration and the diffusion constant in the


1 kW
base are 1014 cm 3 and Dn = 25 cm 2 sec respectively.
Assuming negligible recombination in the base, the
collector current density is (the electron charge is 1 kW C

1.6 10 -19 Coulomb)

(A) 800 A/cm2 (B) 9 A/cm2 Fig Q.47

1
(C) 200 A/cm2 (D) 2 A/cm2 (A) mF (B) 2p mF
2p

45. Assume that the b of the transistor is extremely 1


(C) mF (D) 2 p 6 mF
large and VBE = 0.7 V, I C and VCE in the circuit shown in 2p 6

Fig. Q.45 are


48. In the op-amp circuit given in Fig. Q.48, the load
5 V
current iL is
IC R1
4 kW 2.2 kW
R1
vi
+
vo
VEC
-

1 kW 300 kW
R2 R1
iL RL

Fig Q.45
Fig Q.48
(A) I C = 1 mA, VCE = 4.7 V
vs vs
(B) I C = 0.5 mA, VCE = 375
. V (A) - (B)
R2 R2
(C) I C = 1 mA, VCE = 2.5 V
vs vs
(C) - (D)
(D) I C = 0.5 mA, VCE = 39
. V RL R1

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
608
For E-books/Materials/Notes-PDFs|PPTs
UNIT 10 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit,Previous
www.matterhere.com
Year Papers

57. Consider the sequence of 8085 instructions given 60. A 1 kHz sinusoidal signal is ideally sampled at 1500
below samples /sec and the sampled signal is passed through
LXI H, 9258 an ideal low-pass filter with cut-off frequency 800 Hz.
MOV A, M The output signal has the frequency
(A) zero Hz (B) 0.75 kHz
CMA
(C) 0.5 kHz (D) 0.25 kHz
MOV M , A

Which one of the following is performed by this 61. A rectangular pulse train s( t) as shown in Fig. Q.61
sequence? is convolved with the signal cos 2 ( 4 p 10 3 t). The
(A) Contents of location 9258 are moved to the convolved signal will be a
accumulator s(t)

(B) Contents of location 9258 are compared with the 1

contents of the accumulator


(C) Contents of location 8529 are complemented and t
1 ms 0
stored in location 8529
Fig Q.61
(D) Contents of location 5892 are complemented and
stored in location 5892 (A) DC (B) 12 kHz sinusoid
(C) 8 kHz sinusoid (D) 14 kHz sinusoid
58. A Boolean function f of two variables x and y is
defined as follows : 62. Consider the sequence
f (0, 0) = f (0, 1) = f (1, 1) = 1; f (1, 0) = 0 x[ n] = [ -4 - j5 1 + j2 5 ]

Assuming complements of x and y are not

available, a minimum cost solution for realizing f The conjugate anti-symmetric part of the sequence is
using only 2-input NOR gates and 2-input OR gates (A) [-4 - j2.5 j2 4 - j2.5]
(each having unit cost) would have a total cost of
(B) [- j2.5 1 j2.5]
(A) 1 unit (B) 4 units
(C) [- j2.5 j2 0]
(C) 3 units (D) 2 units
(D) [-4 1 4]

59. It is desired to multiply the numbers 0AH by 0BH


63. A causal LTI system is described by the difference
and store the result in the accumulator. The numbers
equation
are available in registers B and C respectively. A part of
the 8085 program for this purpose is given below: 2 y[ n] = ay[ n - 2 ] - 2 x[ n] + bx[ n - 1]

MVI A, 00H The system is stable only if


LOOP: (A) a = 2, b < 2

(B) a > 2, b > 2

(C) a < 2, any value of b

HLT (D) b < 2, any value of a

END
64. A causal system having the transfer function
The sequence of instructions to complete the 1
program would be H ( s) =
s+2
(A) JNZ LOOP, ADD B, DCR C
is excited with 10u( t). The time at which the
(B) ADD B, JNZ LOOP, DCR C output reaches 99% of its steady state value is
(C) DCR C, JNZ LOOP, ADD B (A) 2.7 sec (B) 2.5 sec
(D) ADD B, DCR C, JNZ LOOP (C) 2.3 sec (D) 2.1 sec
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
610
ForEC-04
E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 10.2

65. The impulse response h[ n] of a linear time invariant -2 2


69. If A = , then sin At is
system is given as 1 -3
-2 2 n = 1, - 1 sin( -4 t) + 2 sin( -t) - sin( -4 t) + 2 sin( -t)
(A)
- sin( -4 t) + sin( -t) 2 sin( -4 t) + sin( -t)
h[ n] = 4 2 n = 2, - 2
0 otherwise sin( -2 t) sin(2 t)
(B)
sin( t) sin( -3t)

sin( 4 t) + 2 sin( t) 2 sin( -4 t) - 2 sin( -t)


If the input to the above system is the sequence (C)
- sin( -4 t) + sin( t) 2 sin( 4t) + sin( t)
e jpn 4 , then the output is
(A) 4 2 e jpn 4
(B) 4 2 e - jpn 4
cos( -t) + 2 cos( t) 2 cos( -4 t) - 2 sin( -t)
(D)
- cos( -4 t) + sin( -t) -2 cos( 4 t) + cos( t)
(C) 4 e jpn 4
(D) -4 e jpn 4

66. Let x( t) and y( t) with Fourier transforms F ( f ) and 70. The open-loop transfer function of a unity feedback
Y ( f ) respectively be related as shown in Fig. Q.66. Then system is
Y ( f ) is K
G( s) =
x(t) y(t) s( s + s + 2)( s + 3)
2

1
The range of K for which the system is stable is
21
t
-2 0 t (A) > K >0 (B) 13 > K > 0
-2 0 2 4
21
-1 (C) <K < (D) -6 < K <
4
Fig Q.66
71. For the polynomial
1 1
(A) - X ( f 2) e - j 2 pf (B) - X ( f 2) e j 2 pf
2 2 P ( s) = s 5 + s 4 + 2 s 3 + 2 s 2 + 3s + 15

(C) - X ( f 2) e j 2 pf (D) - X ( f 2) e - j 2 pf the number of roots which lie in the right half of
the s-plane is
67. A system has poles at 0.01 Hz, 1 Hz and 80 Hz; (A) 4 (B) 2
zeros at 5 Hz, 100 Hz and 200 Hz. The approximate
(C) 3 (D) 1
phase of the system response at 20 Hz is
(A) 90 (B) 0 72. The state variable equations of a system are :
(C) 90 (D) 180 x& 1 = -3 x1 - x2 = u, x& 2 = 2 x1 , y = x1 + u

68. Consider the signal flow graph shown in Fig. Q.68. The system is
x (A) controllable but not observable
The gain 5 is
x1 (B) observable but not controllable
x1 a x2 b x3 c x4 d x5 1

(C) neither controllable nor observable


e f g
(D) controllable and observable
Fig Q.68

1 - ( be + cf + dg) 1 0
(A) 73. Given A = At
, the state transition matrix e is
abcd 0 1
bedg given by
(B)
1 - ( be + cf + dg)
0 e- t 0 et
(A) - t (B) t
abcd e 0 e 0
(C)
1 - ( be + cf + dg) + bedg
e - t 0 e t 0
1 - ( be + cf + dg) + bedg (C) -t
(D) t
(D) 0 e 0 e
abcd
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
611
For E-books/Materials/Notes-PDFs|PPTs
UNIT 10 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit,Previous
www.matterhere.com
Year Papers

74. Consider the signal x( t) shown in Fig. Q.74. Let h( t) 78. Consider a binary digital communication system
denote the impulse response of the filter matched to with equally likely 0s and 1s. When binary 0 is
x( t), with h( t) being non-zero only in the interval 0 to 4 transmitted the voltage at the detector input can lie
between the levels -0.25 V and +0.25 V with equal
sec. The slope of h( t) in the interval 3 t 4 sec is
probability; when binary 1 is transmitted, the voltage at
x(t)
1
the detector can have any value between 0 and 1 V with
equal probability. If the detector has a threshold of 0.2V
t(sec) (i.e. if the received signal is greater than 0.2V, the bit is
0 1 2 3 4
taken as 1), the average bit error probability is
(A) 0.15 (B) 0.2
Fig. Q.74 (C) 0.05 (D) 0.5
(A) sec 1 (B) 1 sec 1
79. A random variable X with uniform density in the
(C) 1/2 sec 1 (D) 1 sec 1
interval 0 to 1 is quantized as follows:
75. A 1 mW video signal having a bandwidth of 100 if 0 X 0.3, xq = 0
MHz is transmitted to a receiver through a cable that if 0.3 X 1, xq = 0.7
has 40 dB loss. If the effective one-sided noise spectral
where xq is the quantized value of X. The
density at the receiver is 10 20 Watt/Hz, then the
root-mean square value of the quantization noise is
signal-to-noise ratio at the receiver is
(A) 0.573 (B) 0.198
(A) 50 dB (B) 30 dB
(C) 2.205 (D) 0.266
(C) 40 dB (D) 60 dB
80. Choose the correct one from among the alternatives
76. A 100 MHz carrier of 1V amplitude and a 1 MHz A, B, C, D after matching an item from Group 1 with
modulating signal of 1V amplitude are fed to a balanced the most appropriate item in Group 2.
modulator. The output of the modulator is passed Group 1 Group 2
through an ideal high-pass filter with cut-off frequency
of 100 MHz. The output of the filter is added with 100 1 : FM P : Slope overload
MHz signal of 1V amplitude and 90 phase shift as
2 : DM Q : m-law
shown in Fig. Q.76. The envelope of the resultant signal
3 : PSK R : Envelope detector
is
4 : PCM S : Capture effect
Balanced HPF
1 Mhz, 1 V y(t) T : Hilbert transfer
Modulator 100 Mhz

U : Matched filter
o
100 Mhz, 1 V 100 Mhz, 1 V 90 (A) (B) (C) (D)
Fig Q.76 1T 1S 1S 1U

(A) constant (B) 1 + sin(2 p 106 t) 2P 2U 2P 2R


3U 3P 3U 3S
(C) 5 4 - sin(2 p 106 t) (D) 5 4 + cos(2 p 106 t)
4S 4T 4Q 4Q

77. Two sinusoidal signals of same amplitude and 81. Three analog signals, having bandwidth 1200 Hz,
frequencies 10 kHz and 10.1 kHz are added together. 600 Hz and 600 Hz, are sampled at their respective
The combined signal is given to an ideal frequency Nyquist rates, encoded with 12 bit words, and time
detector. The output of the detector is division multiplexed. The bit rate for the multiplexed
(A) 0.1 kHz sinusoid (B) 20.1 kHz sinusoid signal is
(A) 1, 15.2 kbps (B) 28.8 kbps
(C) a linear function of time (D) a constant
(C) 27.6 kbps (D) 38.4 kbps
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
612
ForEC-04
E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 10.2

82. Consider a system shown in Fig. Q.82. Let X ( f ) and (C) B1 = 20 kHz, B2 = 10 kHz
Y ( f ) denote the Fourier transforms of x( t) and y( t) (D) B1 = 10 kHz, B2 = 10 kHz
respectively. The ideal HPF has the cutoff frequency 10
kHz. 85. Consider a 300 W, quarter-wave long (at 1 GHz)
X(f ) transmission line as shown in Fig. Q.85. It is connected
to a 10 V, 50W source at one end and is left open
circuited at the other end. The magnitude of the voltage
at the open circuit end of the line is
f (kHz)
-3 -1 1 3

Balanced HPF Balanced


x(t) y(t) 10 V, 50 W source Zo = 300 W
Modulator 10 kHz Modulator

~ ~ l/4
10 kHz 13 kHz
Fig Q.85
Fig Q.82
(A) 10 V (B) 5 V
The positive frequencies where Y ( f ) has spectral
(C) 60 V (D) 60/7 V
peaks are
(A) 1 kHz and 24 kHz (B) 2 kHz and 24 kHz 86. In a microwave test bench, why is the microwave
(C) 1 kHz and 14 kHz (D) 2 kHz and 14 kHz signal amplitude modulated at 1 kHz ?
(A) To increase the sensitivity of measurement
83. A parallel plate air-filled capacitor has plate area of
(B) To transmit the signal to a far-off place
10 4 m 2 and plate separation of 10 3 m. It is connect- ed
(C) To study amplitude modulation
to a 0.5 V, 3.6 GHz source. The magnitude of the
(D) Because crystal detector fails at microwave
displacement current is ( e o = 1 36 p 10 -9 F m)
frequencies
(A) 10 mA (B) 100 mA
r
(C) 10 A (D) 1.59 mA 87. If E = (a$ x + ja$ y) e jkz - jwt and
r
jkz - jwt
H = ( k wm )(a$ y + ja$ x ) e ,the time-averaged Poynting
84. A source produces binary data at the rate of 10 vector is
kbps. The binary symbols are represented as shown in (A) null vector (B) ( k wm )a$ z
Fig.Q.84
(C) (2k wm )a$ z (D) ( k 2wm )a$ z
Binary 1 Binary 1

1V 88. Consider an impedance Z = R + jX marked with


point P in an impedance Smith chart as shown in Fig.
0.1
t(ms) 0 t(ms) Q.88. The movement from point P along a constant
0 0.1
resistance circle in the clockwise direction by an angle
-1 V
45 is equivalent to
Fig Q.84
.5
r=0
The source output is transmitted using two
modulation schemes, namely Binary PSK (BPSK) and
x=0
Quadrature PSK (QPSK). Let B1 and B2 be the
bandwidth requirements of BPSK respectively.
Assuming that the bandwidth of the above rectangular x = -0.5
P
pulses is 10 kHz, B1 and B2 are x = -1

(A) B1 = 20 kHz, B2 = kHz


Fig. Q.88
(B) B1 = 10 kHz, B2 = 10 kHz
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
613
For E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com

CHAPTER

10.3
EC-05

Duration : Three Hours Maximum Marks : 5. The function x( t) is shown in the figure. Even and
150 odd parts of a unit step function u( t) are respectively,
x(t)
Question 1- 30 Carry one Mark each.
1

t
1. The following differential equation has 0

1
3
d2 y dy
3 2 + 4 + y +2 x
2
Fig. Q5
dt dt 1 1 1 1
(A) , x( t) (B)
, x( t)
(A) degree = 2, order = 1 2 2 2 2
(B) degree = 3, order = 2 1 1 1 1
(C) ,
x( t) (D)
,
x( t)
(C) degree = 4, order = 3 2 2 2 2

(D) degree = 2, order =3 6. The region of convergence of z - transform of the


n n
5 6
2. Choose the function f ( t);
t for which a sequence   u( n)
  u(
n
1) must be
6 5
Fourier series cannot be defined. 5 5
(A) z (B) z
(A) 3 sin(25 t) (B) 4 cos(20 t  3)  2 sin(710 t) 6 6

t
(C) e sin(25 t) (D) 1 5 6 6
(C) z (D) z
6 5 5
3. A fair dice is rolled twice. The probability that an odd
7. The condition on R, L and C such that the step
number will follow on even number is
1 1 response y( t) in the figure has no oscillations, is
(A) (B)
2 6 L R

1 1
(C) (D)
3 4
u(t) C y(t)

4. A solution of the following differential equation is


given by
Fig. Q7
d2 y dy 1 L L

5  6y 0 (A) R  (B) R 
dt 2 dt 2 C C
(A) y e 2 x  e
3x (B) y e 2 x  e 3x L 1
(C) R  2 (D) R
(C) y e
2 x  e
3x (D) y e
2 x  e
3x C LC
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
615
For E-books/Materials/Notes-PDFs|PPTs
UNIT 10 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit,Previous
www.matterhere.com
Year Papers

8. The ABCD parameters of an ideal n:1 transformer (A) abundance of Silicon on the surface of the Earth.
 n 0 (B) larger bandgap of Silicon in comparison to
shown in the figure are  . The value of x will be
 0 X i
Germanium.
i1 2

+ + (C) favorable properties of Silicon - dioxide (SiO2)


n:1
(D) lower melting point.
v1 v2

14. The effect of current shunt feedback in an amplifier


- -
is to
Fig. Q8
(A) increase the input resistance and decrease the
1 output resistance.
(A) n (B)
n (B) increase both input and output resistance
1 (C) decrease both input and output resistance.
(C) n2 (D)
n2
(D) decrease the input resistance and increase the
output resistance.
9. In a series RLC circuit, R 2 k, L 1 H and
1 15. The input resistance of the amplifier shown in the
C . The resonant frequency is
400 figure is
1 30 k
(A) 2  10 4 Hz (B)  10 4 Hz
 10 k

(C) 10 Hz 4
(D) 2   10 Hz 4
vo

10. The maximum power that can be transferred to the ~


load resistor RL from the voltage source in the figure is Ri
100 
Fig. Q15
30
(A) k (B) 10 k
4
10 V RL
(C) 40 k (D) infinite

Fig. Q10 16. The first and the last critical frequency of an RC -
driving point impedance function must respectively be
(A) 1 W (B) 10 W
(A) a zero and a pole
(C) 0.25 W (D) 0.5 W (B) a zero and a zero
(C) a pole and a pole
11. The bandgap of Silicon at room temperature is
(A) 1.3 eV (B) 0.7 eV (D) a pole and a zero

(C) 1.1 eV (D) 1.4 eV 17. The cascode amplifier is a multistage configuration
of
12. A Silicon PN junction at a temperature of 20 C has (A) CC - CB (B) CE - CB
a reverse saturation current of 10 pico - Amperes (pA).
(C) CB - CC (D) CE - CC
The reserve saturation current at 40 C for the same
bias is approximately 18. Decimal 43 in Hexadecimal and BCD number
(A) 30 pA (B) 40 pA system is respectively
(A) B2, 0100 011
(C) 50 pA (D) 60 pA
(B) 2B, 0100 0011

13. The primary reason for the widespread use of (C) 2B, 0011 0100
Silicon in semiconductor device technology is (D) B2, 0100 0100
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
616
ForEC-05
E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 10.3

19. The Boolean function f implemented in the figure 23. The power in the signal
using two input multiplexes is  
s( t) 8 cos 20 
  4 sin(15 t) is
0 MUX  2
f (A) 40 (B) 41
A 1
(C) 42 (D) 82

C 0 MUX 24. Which of the following analog modulation scheme


requires the minimum transmitted power and
C 1
minimum channel bandwidth?
B (A) VSB (B) DSB - SC
Fig. Q19 (C) SSB (D) AM
(A) ABC  ABC (B) ABC  ABC
25. A linear system is equivalently represented by two
(C) ABC  A B C (D) A BC  ABC sets of state equations:

X& AX  BU And W& CW  DU


20. Which of the following can be impulse response of a
causal system? The eigenvalues of the representations are also
computed as [ ] and [ ]. Which one of the following
(A) (B)
statements is true?
h(t) h(t)
(A) [ ] [ ] and X W (B) [ ] [ ] and X  W

(C) [ ]  [ ] and X W (D) [ ] [ ] and X  W


t t

(C) (D)
26. Which one of the following polar diagrams
h(t) h(t)
corresponds to a lag network?

(A) (B)
t t Im Im
= 0 =
Re
n
1
21. Let x( n)   u( n), y( n) x 2 ( n) and Y ( e j ) be the
2  = 0 =
Re
j0
Fourier transform of y( n) then Y ( e ) is
(C) (D)
1 Im
(A) (B) 2 Im
4
= = 0
Re
4
(C) 4 (D)
3 = 0
Re
=

22. Find the correct match between group 1 and group 2


27. Despite the presence of negative feedback, control
Group 1 Group II
systems still have problems of instability because the
P. {1  km( t)} A sin( c t) W. Phase Modulation (A) Components used have non-linearities
Q. km( t) A sin( c t) X.Frequency Modulation (B) Dynamic equations of the subsystem are not
known exactly.
R. A sin( c t  km( t)) Y. Amplitude Modulation
(C) Mathematical analysis involves approximations.
(A) P-Z, Q-Y, R-X, S-W (B) P-W, Q-X, R-Y, S-Z
(D) System has large negative phase angle at high
frequencies.
(C) P-X, Q-W, R-Z, S-Y (D) P-Y, Q-Z, R-W, S-X
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
617
ForEC-05
E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 10.3

37. Given an orthogonal matrix (A) 5 V and 2  (B) 7.5 V and 2.5 
1 1 1 1 (C) 4 V and 2  (D) 3 V and 2.5 
1 1
1
1
A  , [ AA T ]
1 is 41. If R1 R2 R3 R and R3 11
. R in the bridge
 1
1 0 0 
0 0 1
1 circuit shown in the figure, then the reading in the ideal

voltmeter connected between a and b is
 14 0 0 0   12 0 0 0 
0 1 0 0  0 1 0 0 
(A)  4  (B)  2  R1
R4
0 0 1
2
0 0 0 1
2
0
0 0 0 1  0 0 0 1 
 2  2 10 V a V b

1 0 0 0  0 0 01
4
0 1 0 0 0 1 0 0  R2 R3

(C)   (D)  4 
0 0 1 0 0 0 14 0 
0 0 0 1  0 0 0 1 
  4 Fig. Q41

38. For the circuit show in the figure, the instantaneous (A) 0.238 V (B) 0.138 V

current ii ( t) is (C)
0.238 V (D) 1 V.
j2
j2

42. The h parameters of the circuit shown in the figure


i1
~ are
10 60O A
O
5 0 A
~ 3 I1 10  I2

+ +

Fig. Q38 V1 20  V2

10 3 10 3
(A) 90  Amps. (B) 
90  Amps. - -
2 2
(C) 5 60  Amps (D) 5 
60  Amps Fig. Q42

 0.1 0.1 10


1
39. Impedance Z as shown in the given figure is (A)   (B)  

0.1 0.3  1 0.05 
j5  j2 
30 20  10 1
(C)   (D)  
j10  20 20  
1 0.05 
j10  j2 

43. A square pulse of 3 volts amplitude is applied to C-R


circuit shown in the figure. The capacitor is initially
Fig. Q39
uncharged. The output voltage VO at time t 2 sec is
(A) j29  (B) j9  I1 0.1 F -j2 I2

(C) j19  (D) j39  Vi + +


3V
40. For the circuit shown in figure, Thevenin's voltage V1 1 k V2

and Thevenin's equivalent resistance at terminals a - b t


-
2 sec -
is
Fig. Q43

(A) 3 V (B)
3 V
a
!V
(C) 4 V (D)
4 V
0.5I1 
b

44. A Silicon sample A is doped with 1018 atoms/cm 3 of


Fig. Q40 boron. Another sample b of identical dimension is doped
with 1018 atoms/cm 3 phosphorus. The ratio of electron to
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
619
For E-books/Materials/Notes-PDFs|PPTs
UNIT 10 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit,Previous
www.matterhere.com
Year Papers

hole mobility is 3. The ratio of conductivity of the 48. The OP-amp circuit shown in the figure is filter. The
sample A to B is type of filter and its cut. Off frequency are respectively.
1 10 k
(A) 3 (B)
3 10 k
2 3
(C) (D) vo
3 2 vi
1 F
45. A Silicon PN junction diode under reverse bias has 1 k

depletion region of width 10 m. The relative


permitivity of Silicon, " r 117
. and the preemptively of Fig. Q48

12
free space " o 8.85  10 F/m. The depletion (A) high pass, 1000 rad/sec.
capacitance of the diode per square meter is
(B) Low pass, 1000 rad/sec.
(A) 100 F (B) 10 F
(C) high pass, 1000 rad/sec.
(C) 1 F (D) 20 F
(D) low pass, 10000 rad/sec.
46. For an npn transistor connected as shown in figure
49. In an ideal differential amplifier shown in the
VBE 0.7 volts. Given that reverse saturation current of
figure, a large value of ( RE )
the junction at room temperature 300 K is 10
13 A, the
VCC
emitter current is
RC RC

IC

# V2
V1
VBE RE



VEE
Fig. Q46
Fig. Q49
(A) 30 mA (B) 39 mA
(A) increase both the differential and common - mode
(C) 49 mA (D) 20 mA
gains
47. The voltage eo is indicated in the figure has been (B) increases the common mode gain only
measured by an ideal voltmeter. Which of the following (C) decreases the differential mode gain only
can be calculated ? (D) decreases the common mode gain only.
1 M
50. For an n-channel MOSFET and its transfer curve
shown in the figure, the threshold voltage is
eo
VD=5 V
ID
D
1 M
Transfer
G
VG=1 V
Characteristics
S
Fig. Q47
VGS VS=1 V
1V
(A) Bias current of the inverting input only
Fig. Q50
(B) Bias current of the inverting and non-inverting
inputs only (A) 1 V and the device is in active region

(C) Input offset current only (B) -1 V and the device is in saturation region
(D) Both the bias currents and the input offset (C) 1 V and the device is in saturation region
current.
(D) -1 V and the device is in active region.

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
620
For E-books/Materials/Notes-PDFs|PPTs
UNIT 10 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit,Previous
www.matterhere.com
Year Papers

57. The given figure shows a ripple counter using 60. Match the following and choose the correct
positive edge triggered flip-flops. If the present state of combination.
the counter is Q2Q1Q0 001 then its next state Q2Q1Q Group 1
will be E. Continuos and periodic signal
1 1 1 F. Continuous and periodic signal
T0 Q0 T1 Q1 T2 Q2 G. Discrete and aperiodic signal
H. Discrete and periodic signal

CLK Q0 Q1 Q2 Group 2
1. Fourier representation is continuous and aperiodic
Fig. Q57
2. Fourier representation is discrete and aperiodic
(A) 010 (D) 100
3. Fourier representation is continuous
(B) 111 (D) 101
4. Fourier representation is discrete and periodic
(A) E-3, F-2, G-4, H-1 (A) E-1, F-3, G-2, H-4
58. What memory address range is NOT represents
by chip # 1 and chip # 2 in the figure A0 to A15 in this (C) E-1, F-2, G-3, H-4 (D) E-2, F-1, G-4, H-3

figure are the address lines and CS means chip select.


61. A signal x( n) sin( 0 n  %) is the input to a linear
A0 - A7
256 bytes time- invariant system having a frequency response
Chip #1 H ( e j ). If the output of the system Ax( n
n0 ) then the
most general form of will be
(A)
n0 w0  $ for any arbitrary real
A8 (B)
n0 w0  2k for any arbitrary integer k
A9 (C) n0 w0  2 k for any arbitrary integer k
A9 (D)
n0 w0 %
A8
62. For a signal the Fourier transform is X ( f )). Then
the inverse Fourier transform of X ( 3 f  2) is given by
A0 - A7 256 bytes
j 4 t
1  t  j 3t 1 t

(A) x e (B) x e 3
Chip #2 2 2  3  3

(C) 3 x( 3t) e
j 4 t (D) x( 3t  2)

A10 - A16 not used


63. The polar diagram of a conditionally stable system
Fig. Q58
for open loop gain K 1 is shown in the figure. The open

(A) 0100 - 02FF. (B) 1500 - 16FF loop transfer function of the system is known to be
stable. The closed loop system is stable for
(C) F900-FAFF (D) F800 - F9FF
Im

59. The output y( t) of a linear time invariant system is


related to its input x( t) by the following equation -8 -2
Re
-0.2

y( t) 0.5 x( t
td  T)  x( t
td )  0.5 x( t
td  T)

The filter transfer function H( ) of such a system


is given by
(A) (1  cos T) e
jt d (B) (1  0.5 cos T) e
jt d
(C) (1
cos T) e
jt d (D) (1
0.5 cos T) e
jt d Fig. Q63
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
622
ForEC-05
E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 10.3

1 1 1 1 66. A ramp input applied to an unity feedback system


(A) K 5 and K (B) K and K 5
2 8 8 2 results in 5% steady state error. The type number and
1 1 zero frequency gain of the system are respectively
(C) K and 5 K (D) K and 5 K
8 8
(A) 1 and 20 (B)0 and 20
1 1
64. In the derivation of expression for peak percent (C) 0 and (D) 1 and
20 20
overshoot

&  67. A double integrator plant G( s) K s 2 , H ( s) 1 is to
M p exp   100%
 1
&2  be compensated to achieve the damping ratio and and
 
undamped natural frequency,  5 rad/s which one of
Which one of the following conditions is NOT
the following compensator Ge ( s) will be suitable ?
required? s3 s  9.9
(A) (B)
(A) System is linear and time invariant s  9.9 s3
(B) The system transfer function has a pair of s
6 s
6
(C) (D)
complex conjugate poles and no zeroes. s  8.33 s
(C) There is no transportation delay in the system.
68. An unity feedback system is given as
(D) The system has zero initial conditions.
K (1
s)
G( s) .
65. Given the ideal operational amplifier circuit shown s( s  3)
in the figure indicate the correct transfer characteristics Indicate the correct root locus diagram.
assuming ideal diodes with zero cut-in voltage.
(A) (B)
10 V j j
vi
vo
( (

10 V

2 k
(C) (D)
j j
0.5 k
2 k
( (

Fig. Q65

(A) (B) 69. A MOS capacitor made using P type substrate is in


Vi
Vo Vo
the accumulation mode. The dominant charge in the
 !V  !V channel is due to the presence of
(A) holes

' V 5 V Vi
5 V ' V
Vi (B) electrons
(C) positively charged ions


!V
!V (D) negatively charged ions
(C) (D)
Vo Vo 70. A device with input x( t) and output y( t) is
 !V
characterized y( t) x 2 ( t). An FM signal with frequency
5 V
deviation of 90 kHz and modulating signal bandwidth

5 V 5 V Vi
5 V 5 V
Vi of 5 kHz is applied to this device. The bandwidth of the


5 V
output signal is

!V (A) 370 kHz (B) 190 kHz
(C) 380 kHz (C) 95 kHz
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
623
ForEC-05
E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 10.3

COMMON DATA QUESTION 78, 79, 80: 81b. If in addition following code exists from 019H
Given, rd 20 k, I DSS 10 mA, Vp
8 V onwards,
20 V ORI 40 H
ADD M
2 k
What will be the result in the accumulator after
the last instruction is executed?
+
2 M + (A) 40 H (B) 20 H
vi vo (C) 60 H (D) 42 H
2V

Statement for Linked Answer Question 82a and
Zi Zo
82b:
Fig. Q78 The dopen loop transfer function of a unity
feedback system is given by
78. Z i and Z O of the circuit are respectively
20
(A) 2 M and 2 k (B) 2 M and k 82a. The gain and phase crossover frequencies in
11
rad/sec are, respectively
20
(C) and 2 k (D) and k (A) 0.632 and 1.26 (B) 0.632 and 0.485
11
(C) 0.485 and 0.632 (D) 1.26 and 0.632
79. I D and VDS under DC conditions are respectively
(A) 5.625 mA and 8.75 V (B) 7.500 mA and 5.00 V 82b. Based on the above results, the gain and phase
margins of the system will be
(C) 4.500 mA and 11.00 V (D) 6.250 mA and 7.50 V
(A)
7.09 dB and 87.5  (B) 7.09 dBand 87.5 
80. Transconductance in milli-Siemens (mS) and (C) 7.09 dB and
87.5  (D)
7.09 and
87.5 
voltage gain of the amplifier are respectively
(A) 1.875 mS and 3.41 (B) 1.875 mS and
3.41 Statement for linked answer question 83a and 83b

(C) 3.3 mS and


6 (D) 3.3 mS and 6 Asymmetric three - level midtread quantizer is to
be designed assuming equiprobable occurrence of all
Linked Answer Questions : Q.81a to 85b Carry quantization levels.
Two Marks Each
83a. If the probability density function is divided into
Statement For Linked Answer Questions 81a and three regions as shown in the figure, the value of a in
81b: the figure is
p(x)
Consider an 8085 microprocessor system.

81a. The following program starts at location 0100H. Region 1 Region 2 Region 3

LXI SP, OOFF x



3
1
a a1 3
LXI H, 0701 Fig. Q83
1 2
MVI A, 20H (A) 3
(B) 3
1 1
SUB M (C) 2
(D) 4

The content of accumulator when the program


83b. The quantization noise power for the quantization
counter reaches 0109 H is
region between - a and + a in the figure is
(A) 20 H (B) 02 H 4 1
(A) 81
(B) 9
(C) 00 H (D) FF H 5 2
(C) 81
(D) 81

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
625
For E-books/Materials/Notes-PDFs|PPTs
UNIT 10 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit,Previous
www.matterhere.com
Year Papers

y[n]
Statement of Linked Answer Questions 84a and
2
84b
(C) 1

Voltage standing wave pattern in a lossless

transmission line with characteristic impedance 50 and n


-6 -5 -4 -3 -2 -2
-1 0 1 2 3 4 5 6
a resistive load is shown in the figure. y[n]
2
V(z)
1
4
(D)

n
-6 -5 -4 -3 -2 -1 0 1 2 3 4 5 6
1

 /2

Fig. Q84a and Q84b


85b. The Fourier transform of y[2 n] will be

(A) e
2 j [cos 4   2 cos 2   2 ]
84a. The value of the load resistance is
(B) [cos 2   2 cos   2 ]
(A) 50  (B) 200 
(C) e
j [cos 2   2 cos   2 ]
(C) 12.5  (D) 0
(D) e
2 j [cos 2   2 cos   2 ]
84b. The reflection coefficient is given by
(A)
0.6 (B)
1
(C) 0.6 (D) 0
************

Statement of Linked Answer Question 85a and


85b:
A sequence x (n) has non-zero values as shown in
the figure.(A)
x[n]
2
1


n
-6 -5 -4 -3 -2 -1 0 1 2 3 4 5 6

Fig. Q85

85a. The sequence


) n 
+ x
1  for n even
y[ n] *  2  will be
+ 0 for n odd
,

y[n]
2
1
(A)

n
-6 -5 -4 -3 -2 -1 0 1 2 3 4 5 6
y[n]
2
1
(B)

n
-6 -5 -4 -3 -2 -1 0 1 2 3 4 5 6

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
626
For E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com

CHAPTER

10.4
EC-06

Duration : Three Hours Maximum Marks : 150 (A) e2 t u( t) (B) e 2 t u( t)


(C) e  t u( t) (D) e t u( t)
Q.1 to carry Q.20 one marks each and Q.21 to Q.85
carry two marks each. 6. A low-pass filter having a frequency response
H ( j)  A( ) e j(  ) does not produce any phase
 1 1 1
1. The rank of the matrix  1 1 0  is
distortions if
 
 1 1 1 (A) A( )  C2 , ( )  k3 (B) A( )  C2 , ( )  k
(C) A( )  C, ( )  k2 (D) A( )  C, ( )  k1
(A) 0 (B) 1
(C) 2 (D) 3 7. The values of voltage ( VD) across a tunnel-diode
corresponding to peak and valley currents are Vp , VD
2.

P Where P is a vector, is equal to respectively. The range of tunnel-diode voltage for
(A) P

P  P 2
(B) P ( P)
2
VDwhich the slope of its I  VD characteristics is
negative would be
(C) 2P
P (D) ( P)  2P
(A) VD  0 (B) 0  VD  Vp
3. 
P ds where P is a vector, is equal to (C) Vp  VD  Vv (D) VD  Vv
(A)  P dl (B) 

P dl
8. The concentration of minority carriers in an extrinsic
(C) 
P dl (D)  Pdv semiconductor under equilibrium is
(A) Directly proportional to the doping concentration
4. A probability density function is of the form
(B) Inversely proportional to the doping concentration
 x
p( x)  Ke , x  ( , ) (C) Directly proportional to the intrinsic concentration

The value of K is (D) Inversely proportional to the intrinsic


(A) 0.5 (B) 1 concentration

(C) 0.5 (D) 


9. Under low level injection assumption, the injected
minority carrier current for an extrinsic semiconductor
5. A solution for the differential equation
is essentially the
x( t) 2 x( t)  ( t)
(A) Diffusion current (B) Drift current
With initial condition x(0 )  0 (C) Recombination current (D) Induced current
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
628
ForEC-06
E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 10.4

10. The phenomenon known as Early Effect in a 15. The Dirac delta function is defined as
bipolar transistor refers to a reduction of the effective !1 t 0
(A) ( t)  "
base-width caused by # 0 otherwise
(A) Electron Hole recombination at the base !1 t 0
(B) ( t)  "
(B) The reverse biasing of the base collector # 0 otherwise
junction 
!1 t 0
(C) The forward biasing of emitter-base junction
(C) ( t)  "
#0 otherwise
and  ( t) dt  1


(D) The early removal of stored base charge during ! t 0 

saturation-to-cut off switching (D) ( t)  "


#0 otherwise
and  ( t) dt  1


11. The input impedance ( Z i ) and the output impedance


16. If the region of convergence of x1 [ n] x2 [ n] is
( Z 0 ) of an ideal trans-conductance (voltage controlled
1 2
current source) amplifier are  z  then the region of convergence of x1 [ n]  x2 [ n]
3 3
(A) Z i  0, Z 0  0 (B) Z i  0, Z 0   includes
(C) Z i  , Z 0  0 (D) Z i  , Z 0   1 2
(A)  z  3 (B)  z 3
3 3
12. An n-channel depletion MOSFET has following two 3 1 2
(C)  z 3 (D) z 
points on its I D  VGS curve : 2 3 3

(i) VGS  0 at I D  12 mA and


17. The open-loop function of a unity-gain feedback
(ii) VGS  6 Volts at I D  0 mA
control system is given by
Which of the following Q point will give the K
G( s) 
highest trans conductance gain for small signals? ( s 1)( s 2)
(A) VGS  6 Volts (B) VGS  3 Volts
The gain margin of the system in dB is given by
(C) VGS  0 Volts (D) VGS  3 Volts
(A) 0 (B) 1

13. The number of product terms in the minimized (C) 20 (D)


sum-of-product expression obtained through the
18. In the system shown below, x( t)  (sin t) u( t) In
following K map is (where, d denotes dont care
steady-state, the response y( t) will be
states)
x(t) 1 y(t)
s+1
1 0 0 1
Fig Q. 18

0 d 0 0
1  $ 1  $
(A) sin t  (B) sin t
0 0 d 1 2  4 2  4

1 0 0 1 1
(C) e  t sin t (D) sin t  cos t
2
(A) 2 (B) 3
(C) 4 (D) 5 19. The electric field of an electromagnetic wave
propagation in the positive direction is given by
14. Let x( t)  X ( j) be Fourier Transform pair. The
E  a$ x sin( t  %z) a$ y sin( t  %z $ 2)
Fourier Transform of the signal x(5 t  3) in terms of
X ( j) is given as The wave is
j 3 j 3 (A) Linearly polarized in the zdirection
1   j  1  j 
(A) e 5
X (B) e 5
X
5  5  5  5  (B) Elliptically polarized

1  j 3  j  1 j 3  j  (C) Left-hand circularly polarized


(C) e X (D) e X
5  5  5  5  (D) Right-hand circularly polarized
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
629
ForEC-06
E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 10.4

30. A two-port network is represented by ABCD, 34. In the figures shown below, assume that all the
parameters given by capacitors are initially uncharged. If vi ( t)  10 u( t) Volts,
V1   A B   V2  vo( t)is given by
 I   C D  I 2  1k
 1 

If port 2 is terminated by the input impedance


seen at port 1 is given by
Vi(t) 4 ,F 1 ,F
A BRL ARL C 4k VO(t)
(A) (B)
C DRL BRL D
 
DRL A ARL B Fig. Q.34
(C) (D)
C BRL D CRL
(A) 8 e 0 .004 t Volts (B) 8(1  e 0 .004 t ) Volts

31. In the two port network shown in the figure below (C) 8u( t) Volts (D) 8 Volts
z12 and z 21 are respectively
35. Consider two transfer functions
I1 I2
1 s
G1 ( s)  And G2 ( s)  2
s 2 as b s as b
%I1 ro
re The 3dB bandwidths of their frequency responses
are, respectively
Fig Q.31 (A) a 2  4 b, a2 4 b (B) a 2 4 b, a2  4 b

(A) re and %ro (B) 0 and %ro (C) a 2  4 b, a2  4 b (D) a 2 4 b, a2 4 b


(C) 0 and %ro (D) re and %ro
36. A negative resistance Rneg is connected to a passive
32. The first and the last critical frequencies network N having driving point impedance Z1 ( s) as
(singularities) of a driving point impedance function of a shown below. For Z 2 ( s) to be positive real,
passive network having two kinds of elements, are a Rneg

pole and a zero respectively. The above property will be


satisfied by N
(A) RL network only
(B) RC network only
Z2(s) Z1(s)
(C) LC network only Fig Q.36
(D) RC as well as RL networks
(A) Rneg  Re Z1 ( jw), *w (B) Rneg  Z1 ( jw) , *w
33. A 2 mH inductor with some initial current can be (C) Rneg  Im Z1 ( jw), *w (D) Rneg  +Z1 ( jw), *w
represented as shown below, where s is the Lap lace
Transform variable. The value of initial current is 37. In the circuit shown below, the switch was
Is connected to position 1 at t < 0 and at t  0, it is changed
to position 2. Assume that the diode has zero voltage
0.002s
drop and a storage time ts . For 0  t  ts , vR is given by
(all in Volts)
1 mV 1

+
2
Fig Q.33

5V 5V 1 k- vR

(A) 0.5A (B) 2.0A


_
(C) 1.0 A (D) 0.0 A
Fig Q.37
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
631
For E-books/Materials/Notes-PDFs|PPTs
UNIT 10 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit,Previous
www.matterhere.com
Year Papers

(A) vR  5 (B) vR  5 The voltage VC across the capacitor at t  1 is


(C) 0  vR  5 (D) 5  vR  0 (A) 0 Volt (B) 6.3 Volts

38. The majority carriers in an ntype semiconductor (C) 9.45 Volts (D) 10 Volts

have an average drift velocity v in a direction


42. For the circuit shown below, assume that the zener
perpendicular to a uniform magnetic field B. The
diode is ideal with a breakdown voltage of 6 volts. The
electric field E induced due to Hall effect acts in the
waveform observed across R is
direction.
6V
(A) v
B (B) B
v

(C) along v (D) opposite to v
12sin t R VR
39. Find the correct match between Group 1 and Group ~
2. 

Group 1 Group 2 Fig Q.42


6V
E-Varactor diode 1-Voltage reference
F-PIN diode 2-High frequency switch (A)

G-Zener diode 3-Tuned circuits


6V
H-Schottky diode 4-Current controlled attenuator
(A) E-4, F-2, G-1, H-3 (B) E-2, F-4, G-1, H-3
(C) E-3, F-4, G-1, H-2 (D) E-1, F-3, G-2, H-4 (B)

40. A heavily doped n- type semiconductor has the


following data:
12 V
Hole-electron ratio :0.4
Doping concentration :4.2
108 atoms/m 3 12 V

Intrinsic concentration .
10 4 atoms/m 3
:15
(D)
The ratio of conductance of the n-type
semiconductor to that of the intrinsic semiconductor of
same material and ate same temperature is given by
6 V
(A) 0.00005 (B) 2,000
(C) 10,000 (D) 20,000
(C)
41. For the circuit shown in the following figure, the 6 V
capacitor C is initially uncharged. At t  0 the switch S
is closed. In the figures shown the OP AMP is supplied Q. 43 A new Binary Coded Pentary (BCP) number
with and the ground has been shown by the symbol system is proposed in which every digit of a base5
S C1 ,F number is represented by its corresponding 3bit
binary code. For example, the base5 number 24 will be
1 k- VC +
represented by its BCP code 010100. In this numbering
vo
system, the BCP code 10001001101 corresponds of the
following number is base5 system
10 V
(A) 423 (B) 1324
Fig Q.41 (C) 2201 (D) 4231

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
632
ForEC-06
E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 10.4

44. An I / O peripheral device shown in Fig.(b) below is (A) (B)


to be interfaced to an 8085 microprocessor. To select the
I/O device in the I/O address range D4 H D7 H, its
chipselect ( CS) should be connected to the output of
the decoder shown in as below:
(C) (D)
D0 DATA
LSB D1
A2
D2 IORD
3-to-8 D3 IOWR I/O
A3 Peripheral
Decoder D4
D5 A1 47. Two D flip flops, as shown below, are to be
A4 MSB D6 A0 connected as a synchronous counter that goes through
D7
the following sequence
A7
A6 00 . 01 . 11 . 10 . 00 . K
A5 CS
Fig Q.44 D0 Q0 D1 Q1

(A) output 7 (B) output 5 Clock CK CK MSB

(C) output 2 (D) output 0 Q0 Q1

45. For the circuit shown in figures below, two 4 bit Fig Q.47

parallel in serial out shift registers loaded with the The inputs D0 and D1 respectively should be
data shown are used to feed the data to a full adder. connected as,
Initially, all the flip flops are in clear state. After (A) Q1 and Q0 (B) Q0 and Q1
applying two clock pulses, the outputs of the full-adder
(C) Q1Q0 and Q1Q0 (D) Q1 Q0 and Q1Q0
should be
A S
1 0 1 1 D Q 48. Following is the segment of a 8085 assembly
Full Adder
CLK
B language program
Ci CO
LXI SP, EFFF H
0 0 1 1 D Q CALL 3000 H
CLK Q D :
CLK :
:
3000 H LXI H, 3CF4
CLK
Fig Q.45
PUSH PSW
SPHL
(A) S  0 C0  0 (B) S  0 C0  1 POP PSW
(C) S  1 C0  0 (D) S  1 C0  1 RET
On completion of RET execution, the contents of
46. A 4 bit D / A converter is connected to a free
SP is
running 3 big UP counter, as shown in the following
(A) 3CF0 H (B) 3CF8 H
figure. Which of the following waveforms will be
(C) EFFD H (D) EFFF H
observed at VO ?
1 k-
Q2 D3
49. The point P in the following figure is stuck at 1.
D2
vo The output f will be
Q1 D1
Clock A

Q0 D3
B P
f
3 - Bit Counter D/A Converter
1 k-

C
Fig Q.46
Fig Q.49
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
633
ForEC-06
E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 10.4

$ $ 1 1
(A) (B) (C) RC  (D) RC )
2 3 c c
$ $
(C) (D)
4 6 64. In the following figure the minimum value of the
constant C , which is to be added to y1 ( t) and y2 ( t)
59. A linear system is described by the following state such that y1 ( t) and y2 ( t) and are different, is
equation Q is quantizer with L levels,
stepwise / allowable signal
dynamic range [V, V]
 0 1
X& ( t)  AX ( t) BU ( t), A   
1 0  x(t) with range y1(t)
y2(t)
V , V
2 2
Q Q
The state transition matrix of the system is
 cos t sin t   cos t sin t  C
(A)  (B) 
cos t  t 
Fig Q.64
 sin t   sin t  cos

 cos t  sin t   cos t  sin t  /


(C)  (D)  (A) / (B)
 sin t cos t   cos t sin t  2
/2 /
(C) (D)
60. The minimum step- size required for a Delta 12 L
Modulator operating at 32 K , samples/sec to track the
65. A message signal with 10 kHz bandwidth is lower
signal (here u( t) is the unit function) side Band SSB modulated with carrier fc1  106 Hz
x( t)  125 t( u( t)  u( t  1)(250  125 t)( u( t  1)  u( t  2)) frequency the resulting signal is then passed through a
Narow Band Frequency Modulator with carrier
So that slope overload is avoided, would be
frequency fc 2  10 9 Hz. The bandwidth of the output
10
(A) 2 (B) 2 8 would be
(C) 2 6 (D) 2 4 (A) 4
10 4 Hz (B) 2
106 Hz
(C) 2
10 9 Hz (D) 2
1010 Hz
61. A zero mean white Gaussian noise is passed
through an ideal lowpass filter of bandwidth 10 kHz. 66. A medium of relative permitivity 0 r 2  2 forms an
The output is then uniformly sampled with sampling interface with free space. A point source of
period ts  0.03 msec. The samples so obtained would be electromagnetic energy is located in the medium at a
(A) correlated (B) statistically independent depth of 1 meter from the interface. Due to the total
internal reflection, the transmitted beam has a circular
(C) uncorrelated (E) orthogonal
cross-section over the interface. The area of the beam
cross-section at the interface is given by
62. A source generates three symbols with probabilities
(A) 2 $ m 2 (B) $2 m 2
0.25, 0.25, 0.50 at a rate of 3000 symbols per second.
(C) $
m2 (D) $ m 2
Assuming independent generation of symbols, the most 2

efficient source encoder would have average bit rate as 67. A medium is divide into regions I and II about x  0
(A) 6000 bits/sec (B) 4500 bits/sec plane, as shown in the figure below. An electromagnetic
(C) 3000 bits/sec (D) 1500 bits/sec wave with electric field E1  4 a$ x 3a$ y 5 a$ z is incident
normally on the interface from region I. The electric file
63. The diagonal clipping in Amplitude Demodulation E2 in region II at the interface is
(using envelope detector) can be avoided if RC time Region I Region II
,1=,o ,2=,o
constant of the envelope detector satisfies the following 0r1=4 0r2=4
13=0 12=0
condition, (here W is message bandwidth and c is
E1 E2
carrier frequency both in rad /sec)
x<0 x=0 x>0
1 1 Fig Q.67
(A) RC  (B) RC )
W W
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
635
For E-books/Materials/Notes-PDFs|PPTs
UNIT 10 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit,Previous
www.matterhere.com
Year Papers

(A) E2  E1 (B) 4 a$ x 0.75 a$ y  125


. a$ z 72. If %DC is increase by 10%, the collector to- emitter
(C) 3a$ x 3a$ y 5 a$ z (D) 3a$ x 3a$ y 5 a$ z voltage drop
(A) increases by less than or equal to 10%
68. When a planes wave traveling in free-space is (B) decreases by less than or equal to 10%
incident normally on a medium having the fraction of (C) increases by more than 10%
power transmitted into the medium is given by (D) decreases by more than 10%
(A) 8/9 (B) 1/2
(C) 1/3 (D) 5/6 73. The small signal gain of the amplifier vc vs is
(A) 10 (B) 5.3
69. A rectangular wave guide having TE10 mode as (C) 5.3 (D) 10
dominant mode is having a cut off frequency 18-GHz
for the mode TE30 . The inner broad wall dimension of Common Data for Question 74, 75 :
the rectangular wave guide is Let g( t)  p( t)4 p( t) where 4 denotes convolution
(A) 5/3cms (B) 5 cms and p( t)  u( t)  u( t  1) with u( t) being the unit step
(C) 5/2 cms (D) 10 cms function.

70. A mast antenna consisting of a 50 meter long 74. The impulse response of filter matched to the signal
vertical conductor operates over a perfectly conducting s( t)  g( t)  ( t  2)4 g( t) is given as:
ground plane. It is base-fed at a frequency of 600 kHz. (A) s(1  t) (B) s(1  t)
The radiation resistance of the antenna in Ohms is (C) s( t) (D) s( t)
2 $2 $2
(A) (B)
5 5 75. An Amplitude Modulated signal is given as

4 $2 x AM  100( p( t) 0.5 g( t)) cos c t


(C) (D) 20 $2
5
In the interval. One set of possible values of the
modulating signal and modulation index would be
Common Data for Question 71,72,73:
(A) t, 0.5 (B) t, 10
.
In the transistor amplifier circuit show in the (C) t, 2.0 (D) t 2 , 0.5
figure below, the transistor has the following
parameters: Linked Answer Question : Q.75 to Q.85 carry two

%DC  60, VBE  0.7 V, hie . , hfe .  marks each.

The capacitance can be assumed to be infinite. Statement of Linked Answer Question 76 & 77:
12 V
A regulated power supply, shown in figure below,
has an unregulated input (UR) of 15 volts and
1 k-
53 k- generates a regulated output Use the component values
5.3 k- + shown in the figure.
vC
Q1

CC 15 V (UR)
vS
~ 1 k- 10 k-
+
vo
Fig Q.70 12 k-

71. Under the DC conditions, the collector to- emitter


voltage drop is
(A) 4.8 Volts (B) 5.3 Volts 6V 24 k-

(C) 6.0 Volts (D) 6.6 Volts


Fig Q.76

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
636
For E-books/Materials/Notes-PDFs|PPTs
UNIT 10 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit,Previous
www.matterhere.com
Year Papers

take 400 ,s for an electromagnetic wave to travel from


source end to load end and vice versa. At t  400 ,s, ANSWER
the voltage at the load end is found to be 40 volts.
1. C 2. D 3. A 4. C 5. A
84. The load resistance is 6. B 7. C 8. B 9. A 10 .B
(A) 25 Ohms (B) 50 Ohms
11. D 12. D 13. A 14. A 15. D
(C) 75 Ohms (D) 100 Ohms
16. D 17.D 18. A 19. C 20. A
85. The steady state current through the load
resistance is 21. A 22. B 23. D 24. C 25. D

(A) 1.2 Amps (B) 0.3 Amps 26. C 27. A 28. C 29. A 30. D
(C) 0.6 Amps (D) 0.4 Amps
31. B 32. B 33. A 34. B 35. B

36. B 37. D 38. A 39. C 40. D


***********
41. D 42. B 43. D 44. B 45. D

46. B 47. A 48. B 49. D 50. B

51. C 52. B 53. C 54. B 55. D

56. B 57. C 58. D 59.A 60. B

61. B 62. B 63. D 64. C 65. B

66. D 67. C 68. A 69. C 70. A

71. C 72. B 73. A 74. D 75. A

76. C 77. B 78. A 79. 80. C

81. B 82. C 83.C 84. 85.

www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
638
For E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com

CHAPTER

10.7
EC-09

Q.1 - Q. 20 carry one mark each.


12 V
1. The order of the differential equation
3
d 2 y  dy 
1
10 V
   y e is
4

dr 2  dt  10 min
0
(A) 1 (B) 2
(A) 220 J (B) 12 kJ
(C) 3 (D) 4
(C) 13.2 kJ (D) 14.4 kJ
2. The Fourier series of a real periodic function has only
5. In an n-type silicon crystal at room temperature,
P. Cosine terms if it is even which of the following can have a concentration of
Q. sine terms if it is even
4 1019 cm-3?
R. cosine terms if it is odd
(A) Silicon atoms (B) Holes
S. sine terms if it is odd
(C) Dopant atoms (D) Valence electrons
Which of the above statements are correct?
(A) P and S (B) P and R 6. The full forms of the abbreviations TTL and CMOS in
(C) Q and S (D) Q and R reference to logic families are
(A) Triple Transistor Logic and Chip Metal Oxide
3. A function is given by f ( t) sin t  cos 2 t. Which of
2 Semiconductor
the following is true ? (B) Tristate Transistor Logic and Chip Metal Oxide
(A) f has frequency components at 0 and 1 / 2 Hz Semiconductor

(B) f has frequency components at 0 and 1 / Hz (C) Transistor Transistor Logic and Complementary
Metal Oxide Semiconductor
(C) f has frequency components at 1 / 2 and 1 / Hz
(D) Tristate Transistor Logic and Complementary
(D) f has frequency components at 0.1 / 2 and 1 / Hz Metal Oxide Silicon

4. A fully charged mobile phone with a 12 V battery is 7. The ROC of Z-transform of the discrete time sequence
n
good for a 10 minute talk-time. Assume that, during the 1 1
x( n)   u( n)
  u(
n
1) is
talk-time, the battery delivers a constant current of 2 A  3 2 
and its voltage drops linearly from 12 V to 10 V as 1 1 1
(A) z (B) z 
shown in the figure. How much energy does the battery 3 2 2

deliver during this talk-time ?


www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
662
ForEC-09
E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 10.7

1 11. A fair coin is tossed 10 times. What is the


(C) z (D) 2 z 3
3 probability that ONLY the first two tosses will yield
heads ?
8. The magnitude plot of a rational transfer function 2 2
1 10 1
G( s) with real coefficients is shown below. Which of the (A)   (B) C2  
2  2 
following compensators has such a magnitude plot ?
10 10
1 10 1
(C)   (D) C2  
20 dB 2  2 

12. If the power spectral density of stationary random


process is a sinc-squared function of frequency, the
- 40 dB shape of its autocorrelation is

(A) Lead compensator (A) (B)

(B) Lag compensator


(C) PID compensator|
(D) Lead-lag compensator

9. A white noise process X ( t) with two-sided power (C) (D)


spectral density 1 10
10 W/Hz is input to a filter whose
magnitude squared response is shown below.

1 + f ( z)
f
13. If f ( z) c0  c1 z
1 , then 
unit circle z
dz is given by
-10 kHz 10 kHz
(A) 2 c1 (B) 2 (1  c0 )

The power of the output process Y ( t) is given by (C) 2 jc1 (D) 2 j(1  c0 )

(A) 5 10
7 W (B) 1 10
6 W
14. In the interconnection of ideal sources shown in the
(C) 2 10
6 W (D) 1 10
5 W figure, it is known that the 60 V source is absorbing
power.
10. Which of the following statements is true regarding
the fundamental mode of the metallic waveguides Which of the following can be the value of the
shown ? current source I ?
20 V

.P: Coaxial Q: Cylindrical

I 60 V

12 A
R: Rectangular

(A) Only P has no cutoff-frequency


(B) Only Q has no cutoff-frequency (A) 10 A (B) 13 A

(C) Only R has no cutoff-frequency (C) 15 A (D) 18 A

(D) All three have cut-off frequencies 15. The ratio of the mobility to the diffusion coefficient
in a semiconductor has the units
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
663
For E-books/Materials/Notes-PDFs|PPTs
UNIT 10 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit,Previous
www.matterhere.com
year Papers

(A) V
1 (B) cm. V -1 20. Two infinitely long wires carrying current are as
(C) V.cm -1
(D) V.s shown in the figure below. One wire is in the y
z plane
and parallel to the y
axis. The other wire is in the x
y
16. In a microprocessor, the service routine for a certain plane and parallel to the x
axis. Which components of
interrupt starts from a fixed location of memory which the resulting magnetic field are non-zero at the origin ?
cannot be externally set, but the interrupt can be
z 1A
delayed or rejected Such an interrupt is
(A) non-maskable and non-vectored
(B) maskable and non-vectored
(C) non-maskable and vectored y
(D) maskable and vectored

17. If the transfer function of the following network is


1A
V0 ( s) 1 x
the value of the load resistance RL is
Vi ( s) 2  sCR

+ + (A) x, y, z components (B) x, y components


(C) y, z components (D) x, z components

Q. 21 to Q. 60 carry two marks each.


21. Consider two independent random variables X and
(A) R/4 (B) R/2 Y with identical distributions. The variables X and Y
1 1 1
take values 0, 1 and 2 with probabilities , and
(C) R (D) 2 R 2 4 4
respectively. What is the conditional probability
dx 1 0  P ( X  Y 2 X
Y 0) ?
18. Consider the system Ax  Bu with A  
dt 0 1  1
(A) 0 (B)
16
 p
and B   where p and q are arbitrary real numbers. 1
q  (C) (D) 1
6
Which of the following statements about the
controllability of the system is true ? sin x
22. The Taylor series expansion of at x is given
x

(A) The system is completely state controllable for by
any nonzero values of p and q ( x
) 2 ( x
) 2
(A) 1  ... (B)
1
...
(B) Only p 0 and q 0 result in controllability 3! 3!
(C) The system is uncontrollable for all values of p ( x
) 2 ( x
) 2
(C) 1
... (D)
1  ...
and q 3! 3!
(D) We cannot conclude about controllability from the  

given data 23. If a vector field V is related to another vector field A


 
through V  A, which of the following is true? Note :
19. For a message signal m( t) cos(2 fm t) and carrier of C and SC refer to any closed contour and any surface
frequency fc , which of the following represents a single whose boundary is C.
       
side-band (SSB) signal ? (A)  V . dl  A. dS
C
(B)  A. dl  V . dS
(A) cos(2 fm t) cos(2 fc t) SC C SC

       
(B) cos(2 fc t) (C)   V . dl   A. dS (D)   A. dl  V . dS
C
(C) cos[2 ( fc  fm ) t ] SC C SC

(D) [1  cos(2 fm t) cos(2 fc t)]


www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
664
ForEC-09
E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 10.7

24. Given that F ( s) is the one-sided Laplace transform


t
of f ( t), the Laplace transform of 
0
f ( ) d is
1
(A) sF ( s)
f (0) (B) F ( s)
s 100 V
s 1
(C) 
0
F ( ) d (D) [ F ( s)
f (0)]
s

25. Match each differential equation in Group I to its (A) 0.2 e


125t u( t) mA (B) 20 e
1250 t u( t) mA
family of solution curves from Group II. (C) 0.2 e
1250 t u( t) mA (D) 20 e
1000 t u( t) mA
Group 1 Group II
dy y 29. In the circuit shown, what value of RL maximizes
P. 1. Circles
dx x the power delivered to RL ?
dy y
Q.
2. Straight lines
dx x
dy x
R. 3. Hyperbolas
dx y
dy x
S.

dx y 100 V
(A) P
2, Q
3, R
3, S
1
(B) P
1, Q
3, R
2, S
1
(C) P
2, Q
1, R
3, S
3 8
(A) 2.4  (B) 
3
(D) P
3, Q
2, R
1, S
2
(C) 4  (D) 6 
26. The eigen values of the following matrix are
30. The time domain behavior of an RL circuit is

1 3 5 

3
1 6  represented by
  di
 0 0 3  L  Ri V0 (1  Be
Rt / L sin t) u( t).
dt
(A) 3, 3  5 j, 6
j (B)
6  5 j, 3  j, 3
j V
For an initial current of i(0) 0 , the steady state
(C) 3  j, 3
j, 5  j (D) 3,
1  3 j,
1
3 j R
value of the current is given by
V 2 V0
27. An AC source of RMS voltage 20 V with internal (A) i( t)  0 (B) i( t) 
R R
impedance Z s (1  2 j) feeds a load of impedance
V0 2 V0
Z L (7  4 j) in the figure below. The reactive power (C) i( t)  (1  B) (D) i( t)  (1  B)
R R
consumed by the load is
31. In the circuit below, the diode is ideal. The voltage V
is given by

1A
(A) 8 VAR (B) 16 VAR|
(C) 28 VAR (D) 32 VAR
(A) min( Vi ,1) (B) max( Vi 1)
28. The switch in the circuit shown was on position a
(C) min(
Vi ,1) (C) max(
Vi ,1)
for a long time, and is move to position b at time t 0.
The current i( t) for t  0 is given by
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
665
ForEC-09
E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 10.7

(A) NAND: first (0,1) then (0,1) NOR: first (1,0) then (
(0,0)
(B) NAND: first (1,0) then (1,0) NOR: first (1,0) then 0
(1,0)
(C) NAND: first (1,0) then (1,0) NOR: first (1,0) then
(0,0)
(D) NAND: first (1,0) then (1,1) NOR: first (0,1) then A) Causal, LP (B) BIBO, LTI
(0,1) (C) BIBO, Causal, LTI (D) LP, LTI

39. What are the counting states (Q1 , Q2 ) for the counter 42. The 4-point Discrete Fourier Transform (DFT) of a
shown in the figure below? discrete time sequence {1,0,2,3} is
Q Q (A) [0,-2+2j,2,-2-2j] (B) [2,2+2j,6,2-2j]
Q (C) [6,1-3j,2,1+3j] (D) [6-1+3j,0,-1,-3j]
JK JK
Clock Flip Flop Flip Flop

K 1 43. The feedback configuration and the pole-zero


s2
2 s  2
locations of G( s) are shown below. The root
s2  2 s  2
(A) 11, 10, 00, 11, 10,... (B) 01, 10, 11, 00, 01,... locus for negative values of k, i.e. for
 k 0, has
breakaway/break-in points and angle of departure at
(C) 00, 11, 01, 10, 00,... (D) 01, 10, 00, 01, 10,...
pole P (with respect to the positive real axis) equal to
40. A system with transfer function H ( z) has impulse Im (s)
+ O X
response h(.) defined as h(2) 1, h( 3)
1 and h( k) 0 + k G(s)
-
otherwise. Consider the following statements. Re (s)
S1: H ( z) is a low-pass filter. Q P

S2: H ( z) is an FIR filter.


(A)  2 and 0 (B)  2 and 45
Which of the following is correct?
(C)  3 and 0 (D)  3 and 45
(A) Only S2 is true
(B) Both S1 and S2 are false s2  1
44. An LTI system having transfer function
(C) Both S1 and S2 are true, and S2 is a reason for s2  2 s  1
S1 and input x( t) sin( t  1) is in steady state. The output
(D) Both S1 and S2 are true, but S2 is not a reason is sampled at a rate s rad/s to obtain the final output
for S1 { x( k)}. Which of the following is true?
(A) y(.) is zero for all sampling frequencies s
41. Consider a system whose input x and output y are
(B) y(.) is nonzero for all sampling frequencies s
related by the equation
(C) y(.) is nonzero for s  2, but zero for s 2

y( t)  x( t
) h(2 ) d (D) y(.) is zero for s  2, but nonzero for 2 2



where h( t) is shown in the graph. 45. The unit step response of an under-damped second
Which of the following four properties are order system has steady state value of -2. Which one of
possessed by the system ? the following transfer functions has these properties ?
BIBO: Bounded input gives a bounded output.
2.24
3.82
(A) 2 (B) 2
s  2.59 s  112
. s  191
. s  191
.
Causal: The system is causal,

2.24
382
LP: The system is low pass. (C) (D)
s
2.59 s  112
2
. s
191
2
. s  191
.
LTI: The system is linear and time-invariant.
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
667
For E-books/Materials/Notes-PDFs|PPTs
UNIT 10 Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit,Previous
www.matterhere.com
year Papers

46. A discrete random variable X takes values from 1 to 


B0 z$  1 
(D) j  , r 0
5 with probabilities as shown in the table. A student  0  x 2  y 2 
calculates the mean of X as 3.5 and her teacher
calculates the variance of X as 1.5. Which of the 50. A transmission line terminates in two branches,
following statements is true ? each of length ! / 4, as shown. The branches are
terminated by 50 loads. The lines are lossless and
k 1 2 3 4 5 have the characteristic impedances shown. Determine
the impedance Z i as seen by the source.
P ( X k) 0.1 0.2 0.4 0.2 0.1

(A) Both the student and the teacher are right


(B) Both the student and the teacher are wrong
(C) The student is wrong but the teacher is right
(D) The student is right but the teacher is wrong

Q. 47 A message signal given by (A) 200 (B) 100


(C) 50 (D) 25
1 1
1n( t)   cos 1 t
  sin 2 t
2  2 
Common Date Questions
is amplitude-modulated with a carrier of frequency Common Date for Questions 51 and 52:
c to generate Consider a silicon p-n junction at room
s( t) [1  m( t)]cos c t temperature having the following parameters:
Doping on the n-side 1 1017 cm
3
What is the power efficiency achieved by this
Depletion width on the n-side 0.1 m
modulation scheme ?
Depletion width on the p-side 10
. m
(A) 8.33% (B) 11.11%
Intrinsic carrier concentration 1.4 1010 cm
3
(C) 20% (D) 25%
Thermal voltage 26 mV

48. A communication channel with AWGN operating at Permittivity of free space 8.85 10
14 F.cm
1

a signal to noise ratio SNR >> 1 and bandwidth B has Dielectric constant of silicon 12

capacity C1 . If the SNR is doubled keeping B constant,


51 The built-in potential of the junction
the resulting capacity C2 is given by
(A) is 0.70 V
(A) C2 2 C1 (B) C2 C1  B
(B) is 0.76 V
(C) C2 C1  2 B (D) C2 C1  0.3B
(C) is 0.82

49. A magnetic field in air is measured to be (D) cannot be estimated from the data given


 x y  52. The peak electric field in the device is
B B0  2 y$
2 x$ 
x y x y 
2 2
(A) 0.15 MV . cm
1 , directed from p-region to n-region

What current distribution leads to this field ? (B) 0.15 MV . cm


1 , directed from n-region to p-region
[ Hint : The algebra is trivial in cylindrical coordinates.] (C) 1.80 MV . cm
1 , directed from p-region to n-region

B0 z$  1  (D) 1.80 MV . cm
1 , directed from n-region to p-region
(A) j  , r 0
 0  x 2  y 2 
Common Data for Questions 53 and 54:

B0 z$  2 
(B) j
 2 , r 0
 0  x  y2 

(C) j 0, r 0
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere
Page Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
668
ForEC-09
E-books/Materials/Notes-PDFs|PPTs Jobs-Exams-Tests_Papers,
GATE EC BY RK Kanodia etc. More n More-Visit, www.matterhere.com
Chap 10.7

The Nyquist plot of a stable transfer function G( s) Consider the CMOS circuit shown, where the gate
is shown in the figure. We are interested in the stability voltage VG of the n-MOSFET is increased from zero,
of the closed loop system in the feedback configuration while the gate voltage of the p-MOSFET is kept
shown. constant at 3 V. Assume that, for both transistors, the
Im magnitude of the threshold voltage is 1 V and the
product of the trans-conductance parameter and the
+ (W/L) ratio, i.e. the quantity Cax (W / L), is 1 mA . V
2 .
+ G(s)
Re -
-1-0.5

-j

53. Which of the following statements is true?


(A) G( s) is an all-pass filter
(B) G( s) has a zero in the right-half plane
(C) G( s) is the impedance of a passive network
(D) G( s) is marginally stable 57. For small increase in VG beyond 1 V, which of the
following gives the correct description of the region of
54. The gain and phase margins of G( s) for closed loop operation of each MOSFET ?
stability are (A) Both the MOSFETs are in saturation region
(A) 6 dB and 180 (B) 3 dB and 180 (B) Both the MOSFETs are in triode region
(C) 6 dB and 90 (D) 3 dB and 90 (C) n-MOSFET is in triode and p-MOSFET is in
saturation region
Common Data for Questions 55 and 56:
(D) n-MOSFET is in saturation and p-MOSFET is in
The amplitude of a random signal is uniformly triode region.
distributed between -5 V and 5 V.

55. If the signal to quantization noise ratio required in 58. Estimate the output voltage V0 for VG 15
. V.
uniformly quantizing the signal is 43.5 dB, the step size [Hint : Use the appropriate current-voltage equation for
of the quantization is approximately each MOSFET, based on the anser to Q. 57.]
(A) 0.0333 V (B) 0.05 V
Statement for Linked Answer Questions 59 and
(C) 0.0667 V (D) 0.10 V
60:
56. If the positive values of the signal are uniformly
quantized with a step size of 0.05 V, and the negative Two products are sold from a vending machine,
values are uniformly quantized with a step size of 0.1 V, which has two push buttons P1 and P2 . When a button is
the resulting signal to quantization noise ratio is pressed, the price of the corresponding product is
approximately displayed in a 7-segment display.
(A) 46 dB (B) 43.8 dB
If no buttons are pressed, '0' is displayed,
(C) 42 dB (D) 40 dB signifying 'Rs. 0'.

Linked Answer Questions If only P1 is pressed, '2' is displayed, signifying 'Rs.


2'.
Statement for Linked Answer Questions 57 and 58
: If only P2 is pressed, '5' is displayed, signifying 'Rs.
5'.

If both P1 and P2 are pressed, 'E' is displayed,


signifying 'Error'.
www.gatehelp.com
For Updates,Like: www.fb.com/matterhere Nareddula Rajeev Reddy (NRR) Want any? Whatsapp@ +91-7893356131
Page
669

Anda mungkin juga menyukai