Anda di halaman 1dari 14

Percobaan IV

RANGKAIAN LOGIKA SEKUENSIAL


GOMGOM SILALAHI (14S16048)
Tanggal Percobaan : 09/OKTOBER/2017
[ELS2104][SISTEM DIGITAL]
[Laboratorium Sistem Digital] Teknik Elektro

Abstrak In this experiment, we will analyze one of the II. LANDASAN TEORETIS
other logic circuits, ie sequential logic sequences. This series
Pada praktikum sebelumnya praktikan telah merancang
will be modeled using Finite State Machine (FSM) in a case
rangkaian kombinasional. Pada praktikum kali ini praktikan
study. The FSM of this case study will be implemented with
akan mencoba merancang rangkaian sekuensial. Perbedaan
FPGA and VGA driver modules. In practice this has the
mendasar rangkaian kombinasional dengan rangkaian
purpose of designing sequential circuit for implementation
sekuensial adalah ada tidaknya memori statenya. Keluaran
in FPGA, to know and understand how to use hierarchy in
rangkaian sekuensial bergantung pada state dan bergantung
circuit design, to know and understand how to use FPGA as
pada masukannya (rangkaian Mealy) atau hanya bergantung
prototype system to verify circuit function.
pada statenya (rangkaian
KEYWORD ;Sekuensial , BCD counter ,flipflop, FPGA, Moore).Terdapat beberapa model yang digunakan untuk
VHDL membantu merancang rangkaian sekuensial. Salah satunya
yang paling banyak digunakan adalah Finite State Machine
(FSM). Dinamakan FSM karena jumlah state yang mungkin
I. PENDAHULUAN terbatas dan rangkaian sekuensial bekerja mirip dengan mesin
yang beroperasi dengan urutan state. Level abstraksi
Implementasi fungsi gerbang logika pada rangkaian dapat perancangan FSM pun bertingkat-tingkat. Pada praktikum kali
dilakukan dengan berbagai cara, salah satunya adalah ini disarankan menggunakan level abstraksi behavioral. Pada
rangkaian logika sekuensial. Keluaran dari rangkaian logika perancangan dengan level ini, sebelum mengimplementasikan
sekuensial akan bergantung pada memori statenya. Secara menggunakan VHDL, praktikan cukup membuat state diagram
sederhana, suatu rangkaian sekuensial dapat bergantung atau flow chart transisi statenya. Pada praktikum kali ini akan
pada state dan masukkan sebelumnya (rangkaian Mealy) atau dicontohkan cara membuat FSM dengan menggunakan state
hanya bergantung pada statenya (rangkaian Moore) diagram. Komponen-komponen yang harus ada pada state
Rangkaian Logika Sekuensial adalah rangkaian yang dapat diagram adalah deklasari input dan output, definisi state,
menyimpan data dan brubah nilainya ketika terjadi perubahan transisi, dan keluarannya.
input,contoh dari rangkaian ini adalah flip-flop dan latch. Gambar di bawah adalah contoh gambar state diagram FSM
Rangkaian ini sangat banyak digunakan pada dunia sistem Mealy dan implementasinya alam VHDL.
digital, contoh sederhananya adalah digunakan pada BCD
counter dan Divide by N.
Pada rangkaian sekuensial , kita harus menentukan berbagai
keadaan yang akan terjadi serta membuatnya kedalam suatu
Flow Chart yang biasa disebut state diagram. Pada percobaan
ini selain mencoba membuat rangkaiannya, akan dilakukan
juga simulasi penerapan rangkaian sekuensial tersebut, baik
kedalam sebuah FPGA maupun pada VGA driver.
Adapun tujuan praktikum ini antara lain:
1. Mendesain sekuensial rangkaian untuk implementasi
didalam FPGA.
2. Mengenal dan memahami cara menggunakan hierarki
dalam desain rangkaian
3. Mengenal dan memahami cara menggunakan FPGA
sebagai prototype system untuk memverifikasi fungsi
rangkaian.
III. HASIL DAN ANALISIS

1. IMPLEMENTASI MODUL VGA DRIVER


Pada percobaan pertama ini , kita akan mengimplementasikan
codingan berupa bahasa FPGA dengan output data diri kita ke
dalam LCD dengan bantuan perangkat hardware XILINK.

Berikut adalah codingan dengan bahasa FPGA dengan output data


diri pada LCD monitor.
Codingan pada ram 1 sampai ram 5 yang kita gunakan menggunakan
bilangan hexadesimal agar Xilink bisa mengelola input menjadi
output.
Selanjutnya ,pada percobaan ini kita akan menggunakan codingan
yang kita pakai pada percobaan pertama, lalu kita akan melakukan
kompilasi semua file tersebut dan mengimplementasikannya pada
FPGA board, lalu menghubungkan VGA keluaran FPGA dengan
layar LCD/monitor.
Berikut adalah hasil tampilan board FPGA
Pada kasus ini kita menggunakan clockdiv sebagai counter
waktu. Saat mode darurat dinyalakan akan bernilai 1 yang
menyebabkan lampu berkedib-kedib. Jika bernilai 0 maka
rangkaian bergantung pada mode siang atau malam
Case 1 ( Utara Selatan merahdantimurbarathijau)

2. IMPLEMENTASI DESAIN FSM PADA FPGA

Pada percobaan kedua ini kita akan mengaplikasikan kasus


dalam lalu lintas, dengan script VHDL dengan code seperti
dibawah ini:

Case 2 ( Tomboldaruratditekan)
IV. KESIMPULAN
Rangkaian logika sekuensial adalah rangkaian logika yang
bergantung pada memori state.Implementasi yang digunakan
diatas menggunakan Finite State Machine, yaitu suatu metode
pemodelan (dalam hal ini rangkaian Mealy) dari desain
rangkaian logika sekuensial).
Pada praktikum ini praktikan diharapkan mengerti dengan
jelas tentang bahasa VHDL dalam pengimplementasiannya
kedalam software Xilink yang digunakan pada praktikum ini
.Error ketika pengimplementasian kedalam Xilink bisa
disebabkan karena kesalahan pada pengimputan codingan
ataupun pada jumlah penggunaan bit dalam codingan yang
bisa 2 bit atau 3 bit.
Percobaan dalam merangkai , diharapkan agar mengerti posisi
dari setiap rangkain pada breadboard.Dengan menggunakan
FPGA sebagai prototype system maka rangkaian sekuensial
dapat diverivikasi dengan menggunakan input button dan flex
switch serta output 7-segmen pada FPGA

V. REFERENSI
1. Stephen Brown and Zvonko Vranesic,Fundamentals
of Digital Logic with VHDL Design Third
Edition,McGraw-Hill, San Francisco,2009
2. Hutabarat.T.Hutabarat,et.al,Buku Petunjuk Praktikum
Digital, Labdasar Elektro, Bandung ,2011
3. R.H. Katz,Contemporary Logic Design
Second Edition , Pearson Prentince-Hall, NJ, 2005
4. Frank Vahid, Digital Design, Hal. 165-170, John
Wiley & Sons Inc., California,2007
5. Z. Vranesic, Fundamentals of Digital Logic, Hal. 271-
278, McGraw-Hill, New York,2005
Lampiran
Source code untuk percobaan 1, implementasi modul VGA Driver ( menampilkan nama, nim, dll pada LCD melalui
VGA modul driver):
Tampilan Nama, NIM, Prodi, Asal, Nama Cantik pada LCD.
Source Code untuk percobaan 2, Menggabungkan desain FSM dengan VGA Driver (Percobaan ini menggabungkan desain
lampu lalulintas).
Rangkaian untuk percobaan lampu lalulintas:

Anda mungkin juga menyukai