Anda di halaman 1dari 382

7UѭӡQJĈҥLKӑF.

KRD+ӑF7ӵ1KLrQĈ+4*+&0
.KRD9ұWOê±9ұWOêNӻWKXұW

Ĉӄ&ѬѪ1*0Ð1+Ӑ&
&Ѫ6Ӣ/,1+.,ӊ1%È1'Ү1
7+Ð1*7,1&+81*
(H˱ͣng d̳n: mô t̫ các thông tin c˯ b̫n cͯa môn h͕c)
7rQP{QKӑFWLӃQJ9LӋW &ѫVӣOLQKNLӋQEiQGүQ
7rQP{QKӑFWLӃQJ$QK )XQGDPHQWDOVRIVHPLFRQGXFWRUGHYLFHV
0mVӕP{QKӑF 
7KXӝFNKӕLNLӃQWKӭF &KX\rQQJjQK *LiRGөFFKX\rQQJKLӋS 
6ӕWtQFKӍ 
6ӕWLӃWOêWKX\ӃWVӕEXәL 
6ӕWLӃWWKӵFKjQKVӕEXәL 
0{QKӑFWLrQTX\ӃW 9ұWOêFKҩWUҳQ
0{QKӑFVRQJKjQK 

0Ð7Ҧ0Ð1+Ӑ& &2856('(6&5,37,21 
+ӑFSKҫQWUDQJEӏNLӃQWKӭFQӅQWҧQJÿӇKLӇXQJX\rQWҳFKRҥWÿӝQJFӫDFiFOLQKNLӋQEiQ
GүQ EDR JӗP Vӵ KuQK WKjQK Yj WUX\ӅQ GүQ KҥW WҧL WURQJ YұW OLӋX EiQ GүQ FҩX WU~F Yj KRҥW
ÿӝQJFӫDGLRGHYjWUDQVLVWRUÿLӇQKuQK

0Ө&7,Ç80Ð1+Ӑ& &2856(*2$/6 
6LQKYLrQKӑF[RQJP{QKӑFQj\FyNKҧQăQJ
0өFWLrX 0{Wҧ PӭFWәQJTXiW  &Ĉ5&',2FӫDFKѭѫQJWUuQK

+LӇX ÿѭӧF Vӵ KuQK WKjQK FӫD KҥW WҧL 


WURQJFKҩWEiQGүQ 

* 




* +LӇX ÿѭӧF FiF TXi WUuQK WUX\ӅQ GүQ 


FӫDKҥWWҧLWURQJFKҩWEiQGүQ 
+LӇX ÿѭӧF QJX\rQ WҳF KRҥW ÿӝQJ Fѫ 
* 
EҧQFӫDGLRGH

+LӇX ÿѭӧF QJX\rQ WҳF KRҥW ÿӝQJ Fѫ 
* 
EҧQFӫDWUDQVLVWRUWUѭӡQJ )(7 


Ĉ͉ c˱˯ng môn h͕c C˯ sͧ linh ki͏n bán d̳n 


7UѭӡQJĈҥLKӑF.KRD+ӑF7ӵ1KLrQĈ+4*+&0
.KRD9ұWOê±9ұWOêNӻWKXұW

+LӇX ÿѭӧF FiF QJX\rQ WҳF KRҥW ÿӝQJ 


* Fѫ EҧQ FӫD FiF OLQK NLӋQ TXDQJ ÿLӋQ 

WӱEiQGүQ

&+8Ҭ1ĈҪ85$0Ð1+Ӑ&
&KXҭQ 0ѭFÿӝ
0{Wҧ 0ӭFFKLWLӃW±KjQKÿӝQJ 
ÿҫXUD ,78 

* 9ұQGөQJFѫKӑFOѭӧQJWӱ[iFÿӏQKPұWÿӝWUҥQJWKiLYjFҩXWU~F 8
YQJQăQJOѭӧQJFӫDÿLӋQWӱWURQJFKҩWEiQGүQ
* 9ұQGөQJYұWOêWKӕQJNr[iFÿӏQKQӗQJÿӝKҥWWҧLWURQJFKҩWEiQ 8
GүQ
* +LӇXÿѭӧFWtQKFKҩWÿLӋQFӫDFKҩWEiQGүQWӯFҩXWU~FYQJQăQJ 87
OѭӧQJ
* +LӇXÿѭӧFFѫFKӃWUX\ӅQGүQKҥWWҧLFѫEҧQWU{LYjNKXӃFKWiQ 7
WURQJFKҩWEiQGүQYjYұQGөQJÿѭӧFFiFSKѭѫQJWUuQKPұWÿӝGzQJ
+LӇXÿѭӧFêQJKƭDFӫDSKѭѫQJWUuQKOLrQWөFYjYұQGөQJÿӇWtQK 7
*
SKkQEӕQӗQJÿӝKҥWWҧLWKLӇXVӕWURQJFKҩWEiQGүQ

* +LӇXÿѭӧFFҩXWҥRGLRGHFҩXWU~FYQJQăQJOѭӧQJFӫDÿLӋQWӱӣ 7
YQJWLӃS[~FFӫDFiFFKҩWEiQGүQ
7tQKWRiQÿѭӧFÿһFWUѭQJGzQJWKӃWURQJFiFFKӃÿӝSKkQFӵFWKXұQ 7
*
YjQJKӏFKFӫDGLRGH

+LӇXÿѭӧFFҩXWҥRFӫD026)(7FҩXWU~FYQJQăQJOѭӧQJFӫD 7
*
ÿLӋQWӱWURQJFҩXWU~F026)(7

* +LӇXYjWtQKWRiQÿѭӧFÿһFWUѭQJGzQJWKrWURQJ026)(7 7

* +LӇXÿѭӧFQJX\rQWҳFKRҥWÿӝQJFѫEҧQFӫDPӝWVӕOLQKNLӋQTXDQJ ,
ÿLӋQWӱQKѭÿҫXGzTXDQJKӑFSLQPһWWUӡLFҧPELӃQ«

1Ӝ,'81*0Ð1+Ӑ&/é7+8<ӂ7
&KXҭQÿҫX +RҥWÿӝQJ +RҥWÿӝQJ
677 7rQFKӫÿӅ
UD Gҥ\KӑF ÿiQKJLi
7KX\ӃWJLҧQJ
1ăQJOѭӧQJYjWUҥQJWKiLFӫDÿLӋQWӱ *
 *LҧLEjLWұSPүX 
WURQJFKҩWEiQGүQ


7KX\ӃWJLҧQJ
 1ӗQJÿӝKҥWWҧLWURQJFKҩWEiQGүQ ** 
* *LҧLEjLWұSPүX

Ĉ͉ c˱˯ng môn h͕c C˯ sͧ linh ki͏n bán d̳n 


7UѭӡQJĈҥLKӑF.KRD+ӑF7ӵ1KLrQĈ+4*+&0
.KRD9ұWOê±9ұWOêNӻWKXұW

7KX\ӃWJLҧQJ
 'zQJÿLӋQWURQJFKҩWEiQGүQ 
** *LҧLEjLWұSPүX
7KX\ӃWJLҧQJ
 'LRGHEiQGүQ 
** *LҧLEjLWұSPүX
7KX\ӃWJLҧQJ
 7UDQVLVWRUWUѭӡQJ )(7  ** 
*LҧLEjLWұSPүX

 &iFOLQKNLӋQTXDQJÿLӋQWӱ 7KX\ӃWJLҧQJ 
*

1Ӝ,'81**,Ҧ1*'Ҥ<7+Ӵ&+¬1+
ĈÈ1+*,È

&iFFKXҭQÿҫXUD 7ӍOӋ
0m 7rQ 0{Wҧ JӧLê 
ÿѭӧFÿiQKJLi  
*LҧL FiF EjL WұS ÿѭӧF FKR EҵQJ
.LӇPWUD
.7*. WLӃQJ $QK WKHR FiF FKӫ ÿӅ WURQJ *** 
JLӳDNǤ **
SKҫQQӝLGXQJKӑFOêWKX\ӃW

***
.LӇPWUD 7KLWӵOXұQSK~WJӗPSKҫQ
.7&. *** 
FXӕLNǤ OêWKX\ӃWYjEjLWұS
***

7¬,1*8<Ç10Ð1+Ӑ&
  %HWW\/LVH$QGHUVRQ5LFKDUG/$QGHUVRQ“Fundamentals of Semiconductor
Devices”0F*UDZ+LOO
  6LPRQ06]H“Semiconductor devices: Physics and Technology”QG(GLWLRQ
:LOH\

&È&48<Ĉӎ1+&+81*
x ĈӕLYӟLEҩWNǤVӵJLDQOұQQjRWURQJTXiWUuQKOjPEjLWұSKD\EjLWKLVLQKYLrQSKҧLFKӏX
PӑLKuQKWKӭFNӹOXұWFӫD.KRD7UѭӡQJYjEӏÿLӇPFKRP{QKӑFQj\
x &iFEjLOjPEjLWұSYӅQKjQӃXEӏSKiWKLӋQOjVDRFKpSVӁEӏWUӯÿLӇPTXiWUuQK
QӃXӣPӭFÿӝQJKLrPWUӑQJ FKRQKLӅXQJѭӡLFKpSQJѭӡLJLӕQJQKDXWUӣOrQ VӁEӏFҩP
WKLFXӕLNǤFҧQJѭӡLVӱGөQJEjLFKpSYjQJѭӡLFKRFKpSEjL
x %jLWұSSKҧLKRjQWKjQKEjLWұSYӅQKjGR*9JLDR

Ĉ͉ c˱˯ng môn h͕c C˯ sͧ linh ki͏n bán d̳n 


)XQGDPHQWDOVRI
6HPLFRQGXFWRU'HYLFHV
2EMHFWVRIWKHFRXUVH

‫ ڹ‬:K\WRVWXG\VHPLFRQGXFWRUGHYLFHV"
Ɣ )RXQGDWLRQRIHOHFWURQLFLQGXVWU\
‫ ڹ‬,QWURGXFWLRQWRSK\VLFDOSULQFLSOHVRI
VHPLFRQGXFWRUGHYLFHV
Ɣ (VVHQWLDOWRWKHXQGHUVWDQGLQJRIDGYDQFHG
FRXUVHVLQHOHFWURQLFV
Ɣ ,7LVEDVHGRQHOHFWURQLFWHFKQRORJ\
&KDSWHU,QWURGXFWLRQ
 6HPLFRQGXFWRUGHYLFHV
 6HPLFRQGXFWRUWHFKQRORJ\
 6XPPDU\
7RSLFV
‫ ڹ‬EXLGLQJEORFNVRIVHPLFRQGXFWRUGHYLFHV
‫ ڹ‬LPSRUWDQWVHPLFRQGXFWRUGHYLFHV WKHLU
UROHVLQHOHFWURQLFDSSOLFDWLRQV
‫ ڹ‬LPSRUWDQWVHPLFRQGXFWRUWHFKQRORJLHV 
WKHLUUROHVLQGHYLFHSURFHVVLQJ
‫ ڹ‬7HFKQRORJ\WUHQGVWRZDUGKLJKGHQVLW\
KLJKVSHHGORZSRZHUFRQVXPSWLRQ
QRQYRODWLYLW\
 6HPLFRQGXFWRUGHYLFHV

)LJXUH *URVVZRUOGSURGXFW *:3 DQGVDOHVYROXPHVRIWKHHOHFWURQLFV


DXWRPRELOHVHPLFRQGXFWRUDQGVWHHOLQGXVWULHVIURPWRDQGSURMHFWHG
WR
6HPLFRQGXFWRUGHYLFHV
‫ ڹ‬%XLGLQJEORFNV
‫ ڹ‬0DMRUVHPLFRQGXFWRUGHYLFHV

%XLGLQJEORFNV

'HYLFH
%XLGLQJEORFNV

)LJXUH%DVLFGHYLFHEXLOGLQJEORFNV a 0HWDOVHPLFRQGXFWRULQWHUIDFH
b p-n MXQFWLRQ c KHWHURMXQFWLRQLQWHUIDFHDQG d PHWDOR[LGH
VHPLFRQGXFWRUVWUXFWXUH
0DMRUVHPLFRQGXFWRUGHYLFHV
<HDU 6HPLFRQGXFWRUGHYLFHV ,QYHQWRU 5HI
 0HWDOVHPLFRQGXFWRUFRQWDFW
 /LJKWHPLWWLQJGLRGH
 %LSRODUWUDQVLVWRU
 SQMXQFWLRQ
 7K\ULVWRU
 6RODUFHOO
 +HWHURMXQFWLRQELSRODUWUDQVLVWRU
 7XQQHOGLRGH
 026)(7
0DMRUVHPLFRQGXFWRUGHYLFHV
<HDU 6HPLFRQGXFWRUGHYLFHV
 /DVHU
 +HWHURVWUXFWXUHODVHU
 7UDQVIHUUHG±HOHFWURQGLRGH
 ,03$77GLRGH
 0(6)(7
 1RQYRODWLOHVHPLFRQGXFWRUPHPRU\
 &KDUJHFRXSOHGGHYLFH
 5HVRQDQWWXQQHOLQJGLRGH
 02')(7
 5RRPWHPSHUDWXUHVLQJOHHOHFWURQFHOO
 QP026)(7
7KHILUVW
WUDQVLVURU
)LJXUH a $VFKHPDWLFGLDJUDPRIWKHILUVWQRQYRODWLOHVHPLFRQGXFWRU
PHPRU\ 1960 ZLWKDIORDWLQJJDWH b $OLPLWLQJFDVHRIWKHIORDWLQJJDWH
1960²WKHVLQJOHHOHFWURQPHPRU\FHOO
6HPLFRQGXFWRUWHFKQRORJ\
‫ ڹ‬.H\VHPLFRQGXFWRUWHFKQRORJLHV
‫ ڹ‬7HFKQRORJ\WUHQGV
.H\VHPLFRQGXFWRU7HFK
<HDU 7HFKQRORJLHV
 &]RFKUDOVNLFU\VWDOJURZWK
 %ULGJPDQFU\VWDOJURZWK
 ,,,9FRPSRXQGV
 'LIIXVLRQ
 /LWKRJUDSKLFSKRWRUHVLVW
 2[LGHPDVNLQJ
 (SLWD[LDO&9'JURZWK
 ,RQLPSODQWDWLRQ
 +\EULGLQWHJUDWHGFLUFXLW
 0RQROLWKLFLQWHJUDWHGFLUFXLW
 3ODQDUSURFHVV
<HDU 7HFKQRORJLHV
 &026
 '5$0
 3RO\VLOLFRQVHOIDOLJQHGJDWH
 02&9'
 'U\HWFKLQJ
 0ROHFXODU%HDP(SLWD[\
 0LFURSURFHVVRU
 7UHQFKLVRODWLRQ
 &KHPLFDOPHFKDQLFDOSROLVKLQJ
 &RSSHULQWHUFRQQHFW
&]RFKUDOVNLFU\VWDOJURZWK
'LIIXVLRQ
,RQLPSODQWDWLRQ
/LWKRJUDSKLFSKRWRUHVLVW
&9'
02&9'
VSXWWHULQJ
0ROHFXODU
%HDP(SLWD[\
+\EULGLQWHJUDWHG
FLUFXLW
&026 '5$0
0RQROLWKLFLQWHJUDWHGFLUFXLW
)LUVW
PLFURSURFHVVRU
0LFURSURFHVVRU
7HFKQRORJ\WUHQGV
‫ ڹ‬6PDOOHUVL]H
‫ ڹ‬6SHHG
‫& ڹ‬RVW
‫( ڹ‬QHUJ\FRQVXPSWLRQ
)LJXUH
([SRQHQWLDOLQFUHDVHRIG\QDPLF
UDQGRPDFFHVVPHPRU\GHQVLW\
YHUVXV\HDUEDVHGRQWKH
6HPLFRQGXFWRU,QGXVWU\
$VVRFLDWLRQ 6,$ URDGPDS
)LJXUH ([SRQHQWLDO
LQFUHDVHRI
PLFURSURFHVVRU
FRPSXWDWLRQDOSRZHU
YHUVXV\HDU
)LJXUH
*URZWKFXUYHVIRUGLIIHUHQW
WHFKQRORJ\GULYHUV
6XPPDU\
‫ ڹ‬026)(7
‫ ڹ‬1RQYRODWLOHVHPLFRQGXFWRUPHPRU\
‫ ڹ‬/LWKRJUDSKLFSKRWRUHVLVW
‫ ڹ‬,&
‫' ڹ‬5$0
‫ ڹ‬0LFURSURFHVVRU
(QGRI&KDSWHU
&KDSWHU

(QHUJ\%DQGV &DUULHU&RQFHQWUDWLRQ
LQ7KHUPDO(TXLOLEULXP
7RSLFV
 6HPLFRQGXFWRU0DWHULDOV
 %DVLF&U\VWDO6WUXFWXUH
 %DVLF&U\VWDO*URZWK7HFKQLTXH
 9DOHQFH%RQGV
 (QHUJ\%DQGV
 ,QWULQVLF&DUULHU&RQFHQWUDWLRQ
 'RQRUV $FFHSWRUV
6XPPDU\
 6HPLFRQGXFWRU0DWHULDOV
‡ 6ROLGVWDWHPDWHULDOV± FODVVHV
± ,QVXODWRUV
± 6HPLFRQGXFWRUV
± &RQGXFWRUV
‡ (OHFWULFDOFRQGXFWLYLW\´ 6FP
‡ 5HVLVWLYLW\²  ´ šFP
6HPLFRQGXFWRU0DWHULDOV

)LJXUH 7\SLFDOUDQJHRIFRQGXFWLYLWLHVIRULQVXODWRUVVHPLFRQGXFWRUVDQG
FRQGXFWRUV
± 6HPLFRQGXFWRUFRQGXFWLYLW\VHQVLWLYHWR
‡ 7HPSHUDWXUH
‡ ,OOXPLQDWLRQ
‡ 0DJQHWLFILHOG
‡ 0LQXWHDPRXQWRILPSXULW\DWRPV ­ J± JLQ.J

“0RVWLPSRUWDQWPDWHULDOVIRUHOHFWULFDSSOLFDWLRQ
&ODVVLILFDWLRQ
‡ (OHPHQW6HPLFRQGXFWRUV
± 6LQJOHVSHFLHVRIDWRPV6LOLFRQ 6L *HUPDQLXP *H
± 6LOLFRQ
‡ %HWWHUSURSHUWLHVDWURRPWHPSHUDWXUHKLJKTXDOLW\VLOLFRQ
GLR[LGH
‡ /RZFRVWVLOLFD VLOLFDWHVRI(DUWKFUXVWVHFRQG
DEXQGDQW
‡ 6LOLFRQWHFKQRORJ\PRVWDGYDQFHGDPRQJDOOVHPLFRQGXFWRU
WHFKQRORJLHV
‡ &RPSRXQG6HPLFRQGXFWRUV
± 0XOWLSOHVSHFLHVRIDWRPV:K\"
± 7RREWDLQPDQ\GLIIHUHQWSURSHUWLHV
7DEOH 3RUWLRQRIWKH3HULRGLF7DEOHUHODWHGWR6HPLFRQGXFWRUV

3HULRG &RO,, ,,, ,9 9 9,

2 B C N O
Boron Carbon Nitrogen Oxygen
3 Mg Al Si P S
Magnesium Aluminum Silicon Phosphorus Sulfur
4 Zn Ga Ge As Se
Zinc Gallium Germanium Arsenic Selenium
5 Cd In Sn Sb Te
Cadmium Indium Tin Antimony Tellurium
6 Hg Pb
Mercury Lead
7DEOH 6HPLFRQGXFWRU0DWHULDOV
*HQHUDO 6HPLFRQGXFWRU
CdS Cadmium
&ODVVLILFDWLR Symbol Name
Q
CdSe
Element Si Silicon
Ge Germanium CdTe
Binary
IV-VI PbS
compound
IV-IV SiC Silicon carbide PbSe Lead
III-V AlP Alumi.
phosphide PbTe

AlAs Alumi. arsenide Ternary AlxGa1-x As


GaN Gallium nitride compound
GaP Gallium AlxIn1-x As
phosphide
GaxIn1-x As
GaAs Gallium arsenide
InP Indium GaxIn1-x P
phosphide
Quaternary AlxGa1-x Asy Sb
InSb Indi. antimonide
compound 1-y
II-VI ZnO Zinc oxide
GaxIn1 xAs1 y Py
 %DVLF&U\VWDO6WUXFWXUH
‡ 6LQJOHFU\VWDO'
SHULRGLFIDVKLRQ
± 8QLWFHOO
± /DWWLFH

)LJXUH $JHQHUDOL]HGSULPLWLYHXQLWFHOO
)LJXUH 7KUHHFXELFFU\VWDOXQLWFHOOV a 6LPSOHFXELF b %RG\
FHQWHUHGFXELF c )DFHFHQWHUHGFXELF
7KHGLDPRQGVWUXFWXUH

)LJXUH a 'LDPRQGODWWLFH b =LQFEOHQGHODWWLFH


&U\VWDO3ODQHV 0LOOHU,QGLFHV
‡ 7KHFU\VWDOSURSHUWLHVDORQJGLIIHUHQW
SODQHVDUHGLIIHUHQW
‡ (OHWULFDO RWKHUFKDUDFWHULVWLFVGHSHQGRQ
FU\VWDORULHQWDWLRQ
“0LOOHULQGLFHVGHILQLQJYDULRXVSODQHVLQD
FU\VWDO
0LOOHU,QGLFHV
‡ )LQGWKHLQWHUFHSWVRIWKH
SODQHRQ&DUWHVLDQ
FRRUGLQDWHVLQWHUPVRI
ODWWLFHFRQWDQW
‡ 7DNHWKHUHFLSURFDOVRI
WKHVHQXPEHUVUHGXFH
WKHPWRVPDOOHVWLQWHJHUV
KDYLQJWKHVDPHUDWLR
‡ (QFORVHWKHUHVXOWLQ
SDUHQWKHVHV KNO DVWKH
0LOOHULQGLFHVIRUDVLQJOH
SODQH
)LJXUH $  FU\VWDOSODQH
)LJXUH 0LOOHULQGLFHVRIVRPH
LPSRUWDQWSODQHVLQDFXELFFU\VWDO
 %DVLF&U\VWDO*URZWK7HFKQLTXH
‡ 6LOLFRQFU\VWDOXVHGLQHOHFWURQLFV
‡ 6WDUWLQJPDWHULDOVDQG 6L2

6L&  6L2  6L VROLG  6L2  &2 JDV


6L VROLG   +&O JDV 6L+&O  + JDV
6L+&O  + JDV 6L VROLG   +&O JDV
3XUH SRO\FU\VWDOOLQH VLOLFRQ
)LJXUH
6LPSOLILHGVFKHPDWLFGUDZLQJRI
WKH&]RFKUDOVNLSXOOHU
&ORFNZLVH &: 
FRXQWHUFORFNZLVH &&: 
,QJRW :DIHU
 9DOHQFH%RQGV

)LJXUH a $WHWUDKHGURQERQG b 6FKHPDWLFWZRGLPHQVLRQDO


UHSUHVHQWDWLRQRIDWHWUDKHGURQERQG
)LJXUH 7KHEDVLFERQGUHSUHVHQWDWLRQRILQWULQVLFVLOLFRQ a $EURNHQ
ERQGDW3RVLWLRQ$UHVXOWLQJLQDFRQGXFWLRQHOHFWURQDQGDKROH b $
EURNHQERQGDWSRVLWLRQ%
 (QHUJ\%DQGV
‡ (QHUJ\OHYHOVRI,VRODWHG$WRPV
‡ (QHUJ\± 0RPHQWXP'LDJUDP
‡ &RQGXFWLRQLQ0HWDOV6HPLFRQGXFWRUV
,QVXODWRUV

,VRODWHG$WRPV%RKU0RGHO
4XDQWXPQXPEHUVQOPV
)LJXUH 7KHVSOLWWLQJRIDGHJHQHUDWHVWDWHLQWRDEDQGRIDOORZHGHQHUJLHV
)LJXUH 6FKHPDWLFUHSUHVHQWDWLRQRIDQLVRODWHGVLOLFRQDWRP
)LJXUH )RUPDWLRQRIHQHUJ\EDQGVDVDGLDPRQGODWWLFHFU\VWDOLV
IRUPHGE\EULQJLQJLVRODWHGVLOLFRQDWRPVWRJHWKHU
(QHUJ\± 0RPHQWXP'LDJUDP

)LJXUH 7KHSDUDEROLFHQHUJ\ E YVPRPHQWXP p FXUYHIRUDIUHHHOHFWURQ


)LJXUH
$VFKHPDWLFHQHUJ\PRPHQWXP
GLDJUDPIRUDVSHFLDO
VHPLFRQGXFWRUZLWKmn m
DQG
mp m
,QGLUHFW
6HPLFRQG 'LUHFW
6HPLFRQG

)LJXUH (QHUJ\EDQGVWUXFWXUHVRI6LDQG*D$V&LUFOHV ž LQGLFDWHKROHV


LQWKHYDOHQFHEDQGVDQGGRWV ‡ LQGLFDWHHOHFWURQVLQWKHFRQGXFWLRQEDQGV
&RQGXFWLRQLQ0HWDOV6HPLFRQGXFWRUV
,QVXODWRUV

)LJXUH 6FKHPDWLFHQHUJ\EDQGUHSUHVHQWDWLRQVRI a DFRQGXFWRUZLWKWZR


SRVVLELOLWLHV HLWKHUWKHSDUWLDOO\ILOOHGFRQGXFWLRQEDQGVKRZQDWWKHXSSHUSRUWLRQRUWKH
RYHUODSSLQJEDQGVVKRZQDWWKHORZHUSRUWLRQ  b DVHPLFRQGXFWRUDQG c DQ
LQVXODWRU
 ,QWULQVLF&DUULHU&RQFHQWUDWLRQ
‡ ,QWULQVLFVHPLFRQGXFWRU
‡ )HUPL± 'LUDFGLVWULEXWLRQIXQFWLRQ
)LJXUH )HUPLGLVWULEXWLRQIXQFWLRQF E YHUVXV E ± EF IRUYDULRXVWHPSHUDWXUHV
'HQVLW\RI6WDWHV
,QWULQVLF&DUULHU&RQFHQWUDWLRQ

)LJXUH ,QWULQVLFVHPLFRQGXFWRU a 6FKHPDWLFEDQGGLDJUDP b 'HQVLW\RI


VWDWHV c )HUPLGLVWULEXWLRQIXQFWLRQ d &DUULHUFRQFHQWUDWLRQ
,QWULQVLFQaS
)LJXUH
,QWULQVLFFDUULHUGHQVLWLHVLQ6LDQG
*D$VDVDIXQFWLRQRIWKHUHFLSURFDORI
WHPSHUDWXUH
 'RQRUV 
$FFHSWRUV

)LJXUH 6FKHPDWLFERQGSLFWXUHVIRU a nW\SH6LZLWKGRQRU DUVHQLF DQG


b pW\SH6LZLWKDFFHSWRU ERURQ 
)LJXUH 0HDVXUHG
LRQL]DWLRQHQHUJLHV LQH9 IRU
YDULRXVLPSXULWLHVLQ6LDQG
*D$V7KHOHYHOVEHORZWKH
JDSFHQWHUDUHPHDVXUHG
IURPWKHWRSRIWKHYDOHQFH
EDQGDQGDUHDFFHSWRUOHYHOV
XQOHVVLQGLFDWHGE\D IRU
GRQRUOHYHO7KHOHYHOVDERYH
WKHJDSFHQWHUDUHPHDVXUHG
IURPWKHERWWRPRIWKH
FRQGXFWLRQEDQGDQGDUH
GRQRUOHYHOVXQOHVVLQGLFDWHG
E\A IRUDFFHSWRUOHYHO
1RQGHJHQHUDWH6HPLFRQGXFWRU

)LJXUH 6FKHPDWLFHQHUJ\EDQGUHSUHVHQWDWLRQRIH[WULQVLF
VHPLFRQGXFWRUVZLWK a GRQRULRQVDQG b DFFHSWRULRQV
)LJXUHn7\SHVHPLFRQGXFWRU a 6FKHPDWLFEDQGGLDJUDP
b 'HQVLW\RIVWDWHV c )HUPLGLVWULEXWLRQIXQFWLRQ d &DUULHUFRQFHQWUDWLRQ1RWH
WKDWnp ni
0DVVDFWLRQODZ
([DPSOH± SDJH 1' 

)LJXUH %DQGGLDJUDPVKRZLQJ)HUPLOHYHOEF DQGLQWULQVLF)HUPL


OHYHOEi
'RQRU $FFHSWRU &RGRSLQJ
&KDUJH
1HXWUDOLW\
6ROXWLRQV

0DMRULW\FDUULHU
0LQRULW\FDUULHU
)LJXUH
)HUPLOHYHOIRU6LDQG*D$VDVD
IXQFWLRQRIWHPSHUDWXUHDQG
LPSXULW\FRQFHQWUDWLRQ7KH
GHSHQGHQFHRIWKHEDQGJDSRQ
WHPSHUDWXUHLVVKRZQ
)LJXUH
(OHFWURQGHQVLW\DVD
IXQFWLRQRIWHPSHUDWXUH
IRUD6LVDPSOHZLWKD
GRQRUFRQFHQWUDWLRQRI
 FP
'HJHQHUDWH6HPLFRQGXFWRU
&RQGLWLRQ 1' !1&
() !(& RU() (9
%DQGJDSVKLIW
6XPPDU\
‡ (QHUJ\EDQGV
± 2XWHUPRVWFRQGXFWLRQ YDOHQFHEDQGV
± &RQGXFWLYLW\RSWLFDOSURSHUWLHV
‡ &KDUJHFDUULHUFRQFHQWUDWLRQ
‡ )HUPLOHYHOGHSHQGVRQGHQVLW\
WHPSHUDWXUH
‡ 'HQVLW\RIVWDWHV
‡ &DUULHUGHQVLWLHVHOHFWURQLQFRQGXFWLRQ
EDQG KROHLQYDOHQFHEDQG
‡ 'HSHQGHQFHRIFRQGXFWLYLW\RQGHQVLW\
WHPS
(QGRI&KDSWHU
3UREOHP
‡ 3UREOHP± )LJD
3UREOHP
3UREOHP
3UREOHP
3UREOHP
&+$37(5

CARRIER TRANSPORTATION
PHENOMENA

6HPLFRQGXFWRU'HYLFHV(E\606]H
&RS\ULJKW‹-RKQ:LOH\ 6RQV,QF$OOULJKWVUHVHUYHG
CARRIER TRANSPORTATION PHENOMENA

1. Carrier drift
2. Carrier diffusion
3. Generation & Recombination Process
4. Continuity Equation
5. Thermionic Emission Process
6. Tunneling Process
7. High-field Effects
Summary
6HPLFRQGXFWRU'HYLFHV(E\606]H
&RS\ULJKW‹-RKQ:LOH\ 6RQV,QF$OOULJKWVUHVHUYHG
1. Carrier drift
1.1 Mobility

Figure 1. Schematic path of an electron in a semiconductor.


(a) Random thermal motion. (b) Combined motion due to random
thermal motion and an applied electric field.
6HPLFRQGXFWRU'HYLFHV(E\606]H
&RS\ULJKW‹-RKQ:LOH\ 6RQV,QF$OOULJKWVUHVHUYHG
Average thermal Electron drift:
 
velocity:  P Q Y WK  N7
TWF
YQ  İ
PQ
Momentum:  TdžWF PQ YQ
TWF
PQ {
PQ
Electron mobility
Electron drift: YQ  PQİ

Hole drift: Y S P Sİ

6HPLFRQGXFWRU'HYLFHV(E\606]H
&RS\ULJKW‹-RKQ:LOH\ 6RQV,QF$OOULJKWVUHVHUYHG
2 most important scattering
mechanisms:


Lattice scattering: WFO o P / a 7 
Impurity scattering:

W F L o P , a 7   1 7

Probability of collisions:
  

WF WFODWWLFH WFLPSXULW\

  
Mobility: 
P P/ P,

Figure 2.
Electron mobility in silicon versus temperature for various
donor concentrations. Insert shows the theoretical temperature
dependence of electron mobility.3
Figure 3.
Mobilities and diffusivities
in Si and GaAs at 300 K as
a function of impurity
concentration.3

Semiconductor Devices, 2/E E\606]H


&RS\ULJKW‹-RKQ:LOH\ 6RQV,QF$OOULJKWVUHVHUYHG
1.2 Resistivity

Figure 4. Conduction process in an n-type semiconductor


(a) at thermal equilibrium and (b) under a biasing condition.
Force-potential energy G( F
 Tİ 
relation: G[

 G( F  G( L
İ
T G[ T G[

Electrostatic G\
potential: İ {
G[

(L
o \ 
T

6HPLFRQGXFWRU'HYLFHV(E\606]H
&RS\ULJKW‹-RKQ:LOH\ 6RQV,QF$OOULJKWVUHVHUYHG
Q
,Q
Drift current: -Q ¦ TY L  TQY Q TQP Q İ
$ L 

-Q  TQY Q TQP Q İ

-S TSY Q TSP S İ

Figure 5. Current conduction in a uniformly doped semiconductor


bar with length L and cross-sectional area A.
Semiconductor Devices, 2/E E\606]H
&RS\ULJKW‹-RKQ:LOH\ 6RQV,QF$OOULJKWVUHVHUYHG
Sum of electron and - -Q  -S TQP Q  TSP S İ
hole currents:
Conductivity: V T QP Q  SP S

 
Resistivity: U
V T QP Q  SP S

Extrinsic
semiconductors:

n>>p U
TQP Q


p>>n U
TSP S

6HPLFRQGXFWRU'HYLFHV(E\606]H
&RS\ULJKW‹-RKQ:LOH\ 6RQV,QF$OOULJKWVUHVHUYHG
Measuring resistivity:

9
U : ˜ &)
,

d / s !  : CF | .

Figure 6. Measurement of resistivity using a four-point probe.


Figure 7. Resistivity versus impurity concentration for Si and GaAs.

Semiconductor Devices, 2/E E\606]H


&RS\ULJKW‹-RKQ:LOH\ 6RQV,QF$OOULJKWVUHVHUYHG
1.3 Hall effect

Lorentz force:
& &
F qv x u B z

Figure 8. Basic setup to measure carrier concentration using the Hall effect.
Force balance: Tİ \ TY [ %]

Hall field: İ\ Y [ %]

Hall voltage : 9+ İ\:


§ -S ·
dž\ ¨¨ ¸¸%] 5 + - S %]
© TS ¹
 for hole
Hall coefficient 5+
TS

5 +  for electron
TQ

 - S %] , $ % ] ,%] :
hole density o S
T5 + Tdž \ T 9+ : T9+ $

6HPLFRQGXFWRU'HYLFHV(E\606]H
&RS\ULJKW‹-RKQ:LOH\ 6RQV,QF$OOULJKWVUHVHUYHG
2. Carrier diffusion

2.1 Diffusion Process

6HPLFRQGXFWRU'HYLFHV(E\606]H
&RS\ULJKW‹-RKQ:LOH\ 6RQV,QF$OOULJKWVUHVHUYHG
2.1 Diffusion Process


 Q  O ˜ O 
)  Q  O ˜ Y WK
WF

)  Q O ˜ Y WK


) )  )  Y WK >Q  O  Q O @


­ª GQ º ª GQ º ½
)  Y WK ®«Q   O »  «Q   O » ¾
¯¬ G[ ¼ ¬ G[ ¼ ¿ Figure 9. Electron concentration
versus distance; l is the mean free
GQ GQ path. The directions of electron and
 Y WK O { ' Q current flows are indicated by arrows.
G[ G[
' Q { Y WK O dn
Diffusion current: Jn qF qDn
dx
Diffusion coefficient
2.2 Einstein relation:

  
N7
 PQ Y WK  N7 Y WK
PQ
P Q WF PQ PQ
PQ WF
T T

§P P · § N7 ·§ P Q P Q ·
' Q { Y WK O Y WK Y WK WF WK
Y ¨¨ Q Q ¸¸ ¨¨ ¸¸¨¨ ¸¸
© T ¹ © P Q ¹© T ¹

§ N7 ·
'Q ¨¨ ¸¸P Q
© T ¹

6HPLFRQGXFWRU'HYLFHV(E\606]H
&RS\ULJKW‹-RKQ:LOH\ 6RQV,QF$OOULJKWVUHVHUYHG
2.3 Current density equations:
Sum of drift and
diffusion components: GQ
-Q TP Q QH  T' Q For electron
G[

GS
-S TP S SH  T' S For hole
G[
Total conduction
current density: - FRQG -Q  -S

6HPLFRQGXFWRU'HYLFHV(E\606]H
&RS\ULJKW‹-RKQ:LOH\ 6RQV,QF$OOULJKWVUHVHUYHG
3. Generation & Recombination Process
3.1 Direct recombination:
Rate of direct recombination: 5 E QS

Figure 10. Direct generation and recombination of electron-hole pairs:


(a) at thermal equilibrium and (b) under illumination.

Semiconductor Devices, 2/E E\606]H


&RS\ULJKW‹-RKQ:LOH\ 6RQV,QF$OOULJKWVUHVHUYHG

Thermal equilibrium: * WK 5 WK E Q QR S QR

Light: 5 EQ Q S Q E Q QR  'Q ˜ S QR  'S


* * /  * WK

Charge neutrality: 'Q 'S

GS Q
*5 * /  * WK  5
GW
GS Q
Steady state: 
GW  

o */ 5  * WK { 8
8 E Q QR  S QR  'S 'S
Net recombination rate 6HPLFRQGXFWRU'HYLFHV(E\606]H
&RS\ULJKW‹-RKQ:LOH\ 6RQV,QF$OOULJKWVUHVHUYHG
Low-injection case: S QR  'S  Q QR
S Q  S QR
8 # EQ QR 'S

EQ QR

S Q  S QR 
8# WS {
WS E Q QR
Lifetime of excess
minority carrier

6HPLFRQGXFWRU'HYLFHV(E\606]H
&RS\ULJKW‹-RKQ:LOH\ 6RQV,QF$OOULJKWVUHVHUYHG
Constant illumination:
Steady state: S Q  S QR
*/ 8
WS
SQ S QR  W S * /

Light off t=0:


GS Q S Q  S QR
* /  * WK  5 8 
GW WS

S Q W S QR  W S * / H[S  W  WF

Figure 11.
Decay of photoexcited carriers.
a) n-type sample under constant
illumination.
(b) Decay of minority carriers (holes)
with time.
(c) Schematic setup to measure
minority carrier lifetime. Semiconductor Devices, 2/E E\606]H
&RS\ULJKW‹-RKQ:LOH\ 6RQV,QF$OOULJKWVUHVHUYHG
3.2 Indirect recombination:


)
  H ( W  ( )  N7

5D Y WK V Q Q1 W   )

5E HQ 1 W )
5F Y WK V S S1 W )
5G H S 1 W   )

Figure 12.
Indirect generation-recombination
processes at thermal equilibrium. Semiconductor Devices, 2/E E\606]H
&RS\ULJKW‹-RKQ:LOH\ 6RQV,QF$OOULJKWVUHVHUYHG
8

Y WK V Q V S 1 W S Q Q Q  Q L
> @ >
V S S Q  Q L H ( L  ( W  N7  V Q Q Q  Q L H ( W  ( L  N7 @
VQ VS VR


S Q
Q Q  Q L
8 Y WK V R 1 W
§ ( W  (L ·
S Q  Q Q  Q L FRVK¨ ¸
© N7 ¹

Low-injection case: Q Q !! S Q
S Q  S QR S Q  S QR
8 | Y WK V R 1 W
Q L § ( W  (L · WS
 FRVK¨ ¸
Q QR © N7 ¹

6HPLFRQGXFWRU'HYLFHV(E\606]H
&RS\ULJKW‹-RKQ:LOH\ 6RQV,QF$OOULJKWVUHVHUYHG
3.3 Surface recombination:

U # vthV p N st ps  pno

Slr { vthV p N st

Figure 13. Schematic diagram of bonds at a clean semiconductor


surface. The bonds are anisotropic and differ from those in the
bulk.5
Semiconductor Devices, 2/E E\606]H
&RS\ULJKW‹-RKQ:LOH\ 6RQV,QF$OOULJKWVUHVHUYHG
3.4 Auger recombination:

5 $XJ %Q  S %QS 

)LJXUH
$XJHUUHFRPELQDWLRQ

Semiconductor Devices, 2/E E\606]H


&RS\ULJKW‹-RKQ:LOH\ 6RQV,QF$OOULJKWVUHVHUYHG
4. Continuity Equation
wQ ª - Q [ $ - Q [  G[ $ º
$G[ »  * Q  5 Q $G[
wW « T 
¬ T ¼

Figure 15. Current flow and generation-recombination processes in


an infinitesimal slice of thickness dx.
Semiconductor Devices, 2/E E\606]H
&RS\ULJKW‹-RKQ:LOH\ 6RQV,QF$OOULJKWVUHVHUYHG
w- Q
Current density: - Q [  G[ - Q [  G[   Taylor series
w[

Continuity equation wQ  w- Q
 * Q  5 Q
for electron: wW T w[

Continuity equation wS  w- S
  * S  5 S
for hole: wW T w[

Low injection, for wQ S wQ S w Q S Q S  Q SR


wH
minority carriers: Q SP Q  PQİ  'Q 
 *Q 
wW w[ w[ w[ WQ

wS Q wİ wS Q w SQ S Q  S QR
S Q P S  P Sİ  'S  * S 
wW w[ w[ w[  WS

Poisson’s equation Gİ UV
must be satisfied: UV S  Q  1 '  1 $
G[ HV
4.1 Steady-State Injection from One Side
wS Q wİ wS Q w SQ S Q  S QR
S Q P S  P Sİ  'S  * S 
wW w[ w[ w[  WS

wS Q w  S Q S Q  S QR
 'S 

wW w[ WS
Bounary conditions: S Q  FRQV WDQ W
S Q f S QR Figure 16.
Solution: Steady-state carrier
 [  /S injection from one side.
SQ [ S QR  >S Q   S QR @H
(a) Semiinfinite sample.

/S ' S WS Diffusion length

Semiconductor Devices, 2/E E\606]H


&RS\ULJKW‹-RKQ:LOH\ 6RQV,QF$OOULJKWVUHVHUYHG
S Q  FRQV WDQ W
Bounary conditions:
S Q : S QR

Solution: §:[·
VLQK ¨ ¸
¨ / ¸
© S ¹
S Q [ S QR  >S Q   S QR @
§:·
VLQK ¨ ¸
¨/ ¸
© S¹ Figure 16.
Current density : [ : İ  Steady-state carrier
injection from one side. (b)
Sample with thickness W.

wS 'S 
-S T' S Q T>S Q   S QR @
w[ : / S VLQK :  / S

6HPLFRQGXFWRU'HYLFHV(E\606]H
&RS\ULJKW‹-RKQ:LOH\ 6RQV,QF$OOULJKWVUHVHUYHG
4.2 Minority Carriers at the Surface

Bounary conditions: wS Q
T' S T8 V T6OU >S Q   S QR @
w[ [ 
S Q f S QR  W S * /

wS Q w SQ S Q  S QR
 'S 
 */ 
wW w[ WS
Solution:
§ W p Slr e  x / L p ·
pn ( x ) pno  W p GL ¨  ¸
¨ L p  W p Slr ¸
© ¹

Figure 17. Surface recombination at x = 0. The minority carrier


distribution near the surface is affected by the surface
recombination velocity.6
§ W S6OU H  [  / S ·
Solution: SQ [ S QR  W S * / ¨  ¸
¨ / S  W S6OU ¸
© ¹
6OU o 
S Q [ o S QR  W S * /

6OU o f
[  /S
SQ [ S QR  W S * /   H

6HPLFRQGXFWRU'HYLFHV(E\606]H
&RS\ULJKW‹-RKQ:LOH\ 6RQV,QF$OOULJKWVUHVHUYHG
4.3 The Heynes-Shockley Experiment
Measurement of minority carrier
mobility and diffusion coefficient

wS Q wS Q w  S Q S Q  S QR
P S İ  'S 

wW w[ w[ WS
E=0
1 § [
¨ W ·¸
S Q [ W H[S    S QR
S' S W ¨ ¸
© ' S W W S ¹
E>0
[ o [  P S İW

)LJXUH
7KH+D\HV6KRFNOH\H[SHULPHQW
a ([SHULPHQWDOVHWXS
b &DUULHUGLVWULEXWLRQVZLWKRXWDQDSSOLHGILHOG
c &DUULHUGLVWULEXWLRQVZLWKDQDSSOLHGILHOG Semiconductor Devices, 2/E E\606]H
&RS\ULJKW‹-RKQ:LOH\ 6RQV,QF$OOULJKWVUHVHUYHG
5. Thermionic Emission Process

f
ª T F  9Q º
Q WK ³ Q ( G( 1 & H[S «
TF ¬ N7 »¼

Figure 19.
(a) The band diagram of an
isolated n-type semi-conductor.
(b) The thermionic emission
process.
6HPLFRQGXFWRU'HYLFHV(E\606]H
&RS\ULJKW‹-RKQ:LOH\ 6RQV,QF$OOULJKWVUHVHUYHG
6. Tunneling Process

K  G \
 (\
P Q G[ 

G \ P Q (
  \
G[  K
Solution:
\ [ $H MN[  %H  MN[ [d
\ [ &H MN[ [tG

N { P Q (  K 
Figure 20.
(a) The band diagram of two isolated
semiconductors with a distance d. (b) One-
dimensional potential barrier. (c) Schematic
representation of the wave function across the
potential barrier. 6HPLFRQGXFWRU'HYLFHV(E\606]H
&RS\ULJKW‹-RKQ:LOH\ 6RQV,QF$OOULJKWVUHVHUYHG
Inside the potential barrier:

K G \
 
 T9 \ (\
P Q G[

G \  P Q T9  (

\
G[  K
Solution: E<qV0
\ [ )HE[  *H E[
E { P Q T9  (  K 

 

§&· ª T9 VLQK EG º
Transmission coefficient: ¨ ¸ «  »
©$¹ ¬  ( T9  ( ¼

Semiconductor Devices, 2/E E\606]H


&RS\ULJKW‹-RKQ:LOH\ 6RQV,QF$OOULJKWVUHVHUYHG
7. High-Field Effects

YV
YQ  YS  J
J
>  İ   İ @

Figure 21. Drift velocity versus electric field in Si.


6HPLFRQGXFWRU'HYLFHV(E\606]H
&RS\ULJKW‹-RKQ:LOH\ 6RQV,QF$OOULJKWVUHVHUYHG
Figure 22. Drift velocity versus electric field in Si and GaAs. Note
that for n-type GaAs, there is a region of negative differential
mobility.8,9
Semiconductor Devices, 2/E E\606]H
&RS\ULJKW‹-RKQ:LOH\ 6RQV,QF$OOULJKWVUHVHUYHG
)LJXUH (OHFWURQGLVWULEXWLRQVXQGHUYDULRXVFRQGLWLRQVRIHOHFWULFILHOGV
IRUDWZRYDOOH\VHPLFRQGXFWRU

Semiconductor Devices, 2/E E\606]H


&RS\ULJKW‹-RKQ:LOH\ 6RQV,QF$OOULJKWVUHVHUYHG
)LJXUH 2QHSRVVLEOHYHORFLW\ILHOGFKDUDFWHULVWLFRIDWZRYDOOH\
VHPLFRQGXFWRU
Semiconductor Devices, 2/E E\606]H
&RS\ULJKW‹-RKQ:LOH\ 6RQV,QF$OOULJKWVUHVHUYHG
)LJXUH
(QHUJ\EDQGGLDJUDPIRU
WKHDYDODQFKHSURFHVV

Semiconductor Devices, 2/E E\606]H


&RS\ULJKW‹-RKQ:LOH\ 6RQV,QF$OOULJKWVUHVHUYHG
)LJXUH
0HDVXUHGLRQL]DWLRQUDWHV
YHUVXVUHFLSURFDOILHOGIRU6L
DQG*D$V

Semiconductor Devices, 2/E E\606]H


&RS\ULJKW‹-RKQ:LOH\ 6RQV,QF$OOULJKWVUHVHUYHG
(1'2)&+$37(5

6HPLFRQGXFWRU'HYLFHV(E\606]H
&RS\ULJKW‹-RKQ:LOH\ 6RQV,QF$OOULJKWVUHVHUYHG
CHAPTER 4

p – n JUNCTION
p-n Junction
1. Basic fabrication steps
2. Thermal equilibrium condition
3. Depletion region
4. Depletion capacitance
5. Current-voltage characteristics
6. Charge storage & transient behavior
7. Junction breakdown
8. Heterojunction
‡ Summary
1. Basic fabrication steps

&ŝŐƵƌĞϰ͘ϭ͘
;ĂͿďĂƌĞŶͲƚLJƉĞ^ŝǁĂĨĞƌ͘
;ďͿŶŽdžŝĚŝnjĞĚ^ŝǁĂĨĞƌďLJĚƌLJŽƌǁĞƚŽdžŝĚĂƚŝŽŶ͘
;ĐͿƉƉůŝĐĂƚŝŽŶŽĨƌĞƐŝƐƚ͘
;ĚͿZĞƐŝƐƚĞdžƉŽƐƵƌĞƚŚƌŽƵŐŚƚŚĞŵĂƐŬ͘

^ĞŵŝĐŽŶĚƵĐƚŽƌĞǀŝĐĞƐ͕ϮͬďLJ^͘D͘^njĞ
ŽƉLJƌŝŐŚƚΞϮϬϬϮ:ŽŚŶtŝůĞLJΘ^ŽŶƐ͘/ŶĐ͘ůůƌŝŐŚƚƐƌĞƐĞƌǀĞĚ͘
&ŝŐƵƌĞϰ͘Ϯ
;ĂͿdŚĞǁĂĨĞƌĂĨƚĞƌƚŚĞĚĞǀĞůŽƉŵĞŶƚ͘
;ďͿdŚĞǁĂĨĞƌĂĨƚĞƌ^ŝKϮ ƌĞŵŽǀĂů͘
;ĐͿdŚĞĨŝŶĂůƌĞƐƵůƚĂĨƚĞƌĂĐŽŵƉůĞƚĞ
ůŝƚŚŽŐƌĂƉŚLJƉƌŽĐĞƐƐ͘
;ĚͿƉͲŶ ũƵŶĐƚŝŽŶŝƐĨŽƌŵĞĚŝŶƚŚĞ
ĚŝĨĨƵƐŝŽŶŽƌŝŵƉůĂŶƚĂƚŝŽŶƉƌŽĐĞƐƐ͘
;ĞͿdŚĞǁĂĨĞƌĂĨƚĞƌŵĞƚĂůŝnjĂƚŝŽŶ͘
;ĨͿƉͲŶ ũƵŶĐƚŝŽŶĂĨƚĞƌƚŚĞĐŽŵƉĞƚĞ
ƉƌŽĐĞƐƐ͘

^ĞŵŝĐŽŶĚƵĐƚŽƌĞǀŝĐĞƐ͕ϮͬďLJ^͘D͘^njĞ
ŽƉLJƌŝŐŚƚΞϮϬϬϮ:ŽŚŶtŝůĞLJΘ^ŽŶƐ͘/ŶĐ͘ůů
ƌŝŐŚƚƐƌĞƐĞƌǀĞĚ͘
2. Thermal equilibrium condition

&ŝŐƵƌĞϰ͘ϯ
^ĞŵŝĐŽŶĚƵĐƚŽƌĞǀŝĐĞƐ͕ϮͬďLJ^͘D͘^njĞ
ƵƌƌĞŶƚͲǀŽůƚĂŐĞĐŚĂƌĂĐƚĞƌŝƐƚŝĐƐŽĨĂƚLJƉŝĐĂů
ŽƉLJƌŝŐŚƚΞϮϬϬϮ:ŽŚŶtŝůĞLJΘ^ŽŶƐ͘/ŶĐ͘ůů ƐŝůŝĐŽŶƉͲŶũƵŶĐƚŝŽŶ͘
ƌŝŐŚƚƐƌĞƐĞƌǀĞĚ͘
2.1 Band diagram

&ŝŐƵƌĞϰ͘ϰ͘
;ĂͿhŶŝĨŽƌŵůLJĚŽƉĞĚƉͲƚLJƉĞĂŶĚŶͲƚLJƉĞƐĞŵŝĐŽŶĚƵĐƚŽƌƐďĞĨŽƌĞƚŚĞũƵŶĐƚŝŽŶŝƐĨŽƌŵĞĚ͘
;ďͿdŚĞĞůĞĐƚƌŝĐĨŝĞůĚŝŶƚŚĞĚĞƉůĞƚŝŽŶƌĞŐŝŽŶĂŶĚƚŚĞĞŶĞƌŐLJďĂŶĚĚŝĂŐƌĂŵŽĨĂƉͲŶ
ũƵŶĐƚŝŽŶŝŶƚŚĞƌŵĂůĞƋƵŝůŝďƌŝƵŵ͘
2.2 Equilibrium Fermi levels

At thermal equilibrium:
Equilibrium Fermi levels (continue)
2.3 Space charge distribution
Poisson’s equation:
For neutral regions:

p-type neutral:

n-type neutral:

Potential difference – built-in potential:


&ŝŐƵƌĞϰ͘ϲ͘
ƵŝůƚͲŝŶƉŽƚĞŶƚŝĂůƐŽŶƚŚĞƉͲƐŝĚĞĂŶĚŶͲƐŝĚĞŽĨĂďƌƵƉƚũƵŶĐƚŝŽŶƐŝŶ^ŝĂŶĚ'ĂƐĂƐĂ
ĨƵŶĐƚŝŽŶŽĨŝŵƉƵƌŝƚLJĐŽŶĐĞŶƚƌĂƚŝŽŶ͘
Transition region:

Depletion region: n = p = 0
3. Depletion region

Doping profiles:
(a) Abrupt junction.
(b) Linearly graded junction

^ĞŵŝĐŽŶĚƵĐƚŽƌĞǀŝĐĞƐ͕ϮͬďLJ^͘D͘^njĞ
ŽƉLJƌŝŐŚƚΞϮϬϬϮ:ŽŚŶtŝůĞLJΘ^ŽŶƐ͘/ŶĐ͘ůů
ƌŝŐŚƚƐƌĞƐĞƌǀĞĚ͘
3.1 Abrupt junction
Poisson’s equations:

&ŝŐƵƌĞϰ͘ϴ͘
;ĂͿ^ƉĂĐĞĐŚĂƌŐĞĚŝƐƚƌŝďƵƚŝŽŶŝŶƚŚĞ
ĚĞƉůĞƚŝŽŶƌĞŐŝŽŶĂƚƚŚĞƌŵĂů
ĞƋƵŝůŝďƌŝƵŵ͘
;ďͿůĞĐƚƌŝĐͲĨŝĞůĚĚŝƐƚƌŝďƵƚŝŽŶ͘dŚĞ
ƐŚĂĚĞĚĂƌĞĂĐŽƌƌĞƐƉŽŶĚƐƚŽƚŚĞ
ďƵŝůƚͲŝŶƉŽƚĞŶƚŝĂů͘
Overall space charge neutrality:

Total depletion layer width:

Maximum field:
Built-in potential:
Heavy doping on p-side:
Forward and Reverse biased:

&ŝŐƵƌĞϰͲϭϬ͘
^ĐŚĞŵĂƚŝĐƌĞƉƌĞƐĞŶƚĂƚŝŽŶŽĨĚĞƉůĞƚŝŽŶ
ůĂLJĞƌǁŝĚƚŚĂŶĚĞŶĞƌŐLJďĂŶĚĚŝĂŐƌĂŵƐ
ŽĨĂƉͲŶ ũƵŶĐƚŝŽŶƵŶĚĞƌǀĂƌŝŽƵƐďŝĂƐŝŶŐ
ĐŽŶĚŝƚŝŽŶƐ͘
ĂͿdŚĞƌŵĂůͲĞƋƵŝůďƌŝƵŵĐŽŶĚŝƚŝŽŶ͘
;ďͿ&ŽƌǁĂƌĚͲďŝĂƐĐŽŶĚŝƚŝŽŶ͘
;ĐͿZĞǀĞƌƐĞͲďŝĂƐĐŽŶĚŝƚŝŽŶ͘

One-sided abrupt junction:


3.2 Linearly graded junction

&ŝŐƵƌĞϰͲϭϭ͘
^ĞŵŝĐŽŶĚƵĐƚŽƌĞǀŝĐĞƐ͕ϮͬďLJ^͘D͘^njĞ
ŽƉLJƌŝŐŚƚΞϮϬϬϮ:ŽŚŶtŝůĞLJΘ^ŽŶƐ͘/ŶĐ͘ůů
>ŝŶĞĂƌůLJŐƌĂĚĞĚũƵŶĐƚŝŽŶŝŶƚŚĞƌŵĂůĞƋƵŝůŝďƌŝƵŵ͘
ƌŝŐŚƚƐƌĞƐĞƌǀĞĚ͘
4. Depletion Capacitance
&ŝŐƵƌĞϰ͘ϭϯ͘
;ĂͿƉͲŶ ũƵŶĐƚŝŽŶǁŝƚŚĂŶĂƌďŝƚƌĂƌLJŝŵƉƵƌŝƚLJ
ƉƌŽĨŝůĞƵŶĚĞƌƌĞǀĞƌƐĞďŝĂƐ͘
;ďͿŚĂŶŐĞŝŶƐƉĂĐĞĐŚĂƌŐĞĚŝƐƚƌŝďƵƚŝŽŶ
ĚƵĞƚŽĐŚĂŶŐĞŝŶĂƉƉůŝĞĚďŝĂƐ͘
;ĐͿŽƌƌĞƐƉŽŶĚŝŶŐĐŚĂŶŐĞŝŶĞůĞĐƚƌŝĐͲĨŝĞůĚ
ĚŝƐƚƌŝďƵƚŝŽŶ͘

^ĞŵŝĐŽŶĚƵĐƚŽƌĞǀŝĐĞƐ͕ϮͬďLJ^͘D͘^njĞ
ŽƉLJƌŝŐŚƚΞϮϬϬϮ:ŽŚŶtŝůĞLJΘ^ŽŶƐ͘/ŶĐ͘ůů
ƌŝŐŚƚƐƌĞƐĞƌǀĞĚ͘
4.1 Capacitance-Voltage Characteristics
4.2 Evaluation of
Impurity Distribution

Linearly graded junction

^ĞŵŝĐŽŶĚƵĐƚŽƌĞǀŝĐĞƐ͕ϮͬďLJ^͘D͘^njĞ
ŽƉLJƌŝŐŚƚΞϮϬϬϮ:ŽŚŶtŝůĞLJΘ^ŽŶƐ͘/ŶĐ͘ůů
&ŝŐƵƌĞϰ͘ϭϰ͘ ƌŝŐŚƚƐƌĞƐĞƌǀĞĚ͘
4.3 Varactor

&ŝŐƵƌĞϰͲϭϱ͘
/ŵƉƵƌŝƚLJƉƌŽĨŝůĞƐĨŽƌŚLJƉĞƌĂďƌƵƉƚ͕
ŽŶĞͲƐŝĚĞĚĂďƌƵƉƚ͕ĂŶĚŽŶĞͲƐŝĚĞĚ
^ĞŵŝĐŽŶĚƵĐƚŽƌĞǀŝĐĞƐ͕ϮͬďLJ^͘D͘^njĞ
ŽƉLJƌŝŐŚƚΞϮϬϬϮ:ŽŚŶtŝůĞLJΘ^ŽŶƐ͘/ŶĐ͘ůů
ƌŝŐŚƚƐƌĞƐĞƌǀĞĚ͘ ůŝŶĞĂƌůLJŐƌĂĚĞĚũƵŶĐƚŝŽŶƐ͘
5 Current-voltage characteristics
5.1 Ideal characteristics

&ŝŐƵƌĞϰͲϭϲ͘
ĞƉůĞƚŝŽŶƌĞŐŝŽŶ͕ĞŶĞƌŐLJďĂŶĚ
ĚŝĂŐƌĂŵĂŶĚĐĂƌƌŝĞƌĚŝƐƚƌŝďƵƚŝŽŶ͘
;ĂͿ&ŽƌǁĂƌĚďŝĂƐ͘
;ďͿZĞǀĞƌƐĞďŝĂƐ͘

^ĞŵŝĐŽŶĚƵĐƚŽƌĞǀŝĐĞƐ͕ϮͬďLJ^͘D͘^njĞ
ŽƉLJƌŝŐŚƚΞϮϬϬϮ:ŽŚŶtŝůĞLJΘ^ŽŶƐ͘/ŶĐ͘ůů
ƌŝŐŚƚƐƌĞƐĞƌǀĞĚ͘
Assumptions for ideal C-V characteristics:

a. Abrupt depletion region


b. Carrier densities at boundaries are related by
electrostatic potential difference across the junction
c. Low injection condition
d. Neither generation nor recombination current in
depletion region
Forward bias:
Diffusion length
In neutral n-region:

In neutral p-region:

Diffusion length
&ŝŐƵƌĞϰͲϭϳ͘
/ŶũĞĐƚĞĚŵŝŶŽƌŝƚLJĐĂƌƌŝĞƌĚŝƐƚƌŝďƵƚŝŽŶĂŶĚ
ĞůĞĐƚƌŽŶĂŶĚŚŽůĞĐƵƌƌĞŶƚƐ͘
;ĂͿ&ŽƌǁĂƌĚďŝĂƐ͘
;ďͿZĞǀĞƌƐĞďŝĂƐ͘dŚĞĨŝŐƵƌĞŝůůƵƐƚƌĂƚĞƐ
ŝĚĞĂůŝnjĞĚĐƵƌƌĞŶƚƐ͘&ŽƌƉƌĂĐƚŝĐĂůĚĞǀŝĐĞƐ͕
ƚŚĞĐƵƌƌĞŶƚƐĂƌĞŶŽƚĐŽŶƐƚĂŶƚĂĐƌŽƐƐƚŚĞ
ƐƉĂĐĞĐŚĂƌŐĞůĂLJĞƌ͘

^ĞŵŝĐŽŶĚƵĐƚŽƌĞǀŝĐĞƐ͕ϮͬďLJ^͘D͘^njĞ
ŽƉLJƌŝŐŚƚΞϮϬϬϮ:ŽŚŶtŝůĞLJΘ^ŽŶƐ͘/ŶĐ͘ůů
ƌŝŐŚƚƐƌĞƐĞƌǀĞĚ͘
Total current:

&ŝŐƵƌĞϰͲϭϴ͘
/ĚĞĂůĐƵƌƌĞŶƚͲǀŽůƚĂŐĞĐŚĂƌĂĐƚĞƌŝƐƚŝĐƐ͘
;ĂͿĂƌƚĞƐŝĂŶƉůŽƚ͘
;ďͿ^ĞŵŝůŽŐƉůŽƚ͘

^ĞŵŝĐŽŶĚƵĐƚŽƌĞǀŝĐĞƐ͕ϮͬďLJ^͘D͘^njĞ
ŽƉLJƌŝŐŚƚΞϮϬϬϮ:ŽŚŶtŝůĞLJΘ^ŽŶƐ͘/ŶĐ͘ůů
ƌŝŐŚƚƐƌĞƐĞƌǀĞĚ͘
5.2 Generation-Recombination &
High Injection Effects

Reverse bias:
Forward bias:

Maximum
condition:
High injection
condition:
5.3 Temperature Effect

Forward bias:

&ŝŐƵƌĞϰͲϮϬ͘
dĞŵƉĞƌĂƚƵƌĞĚĞƉĞŶĚĞŶĐĞŽĨ
ƚŚĞĐƵƌƌĞŶƚͲǀŽůƚĂŐĞ
ĐŚĂƌĂĐƚĞƌŝƐƚŝĐƐŽĨĂ^ŝĚŝŽĚĞϮ͘
;ĂͿ&ŽƌǁĂƌĚďŝĂƐ͘
;ďͿZĞǀĞƌƐĞďŝĂƐ͘
Reverse bias:
6 Charge storage & transient behavior
6.1 Minority-Carrier Storage
6.2 Diffusion Capacitance
6.3 Transient behavior

&ŝŐƵƌĞϰ͘ϮϮ͘
dƌĂŶƐŝĞŶƚďĞŚĂǀŝŽƌŽĨĂƉͲŶ ũƵŶĐƚŝŽŶ
;ĂͿĂƐŝĐƐǁŝƚĐŚŝŶŐĐŝƌĐƵŝƚ͘
;ďͿdƌĂŶƐŝĞŶƚƌĞƐƉŽŶƐĞŽĨƚŚĞĐƵƌƌĞŶƚƐǁŝƚĐŚĞĚĨƌŽŵĨŽƌǁĂƌĚďŝĂƐƚŽƌĞǀĞƌƐĞďŝĂƐ͘
&ŝŐƵƌĞϰͲϮϯ͘
EŽƌŵĂůŝnjĞĚƚƌĂŶƐŝĞŶƚƚŝŵĞ
ǀĞƌƐƵƐƚŚĞƌĂƚŝŽŽĨĨŽƌǁĂƌĚ
ĐƵƌƌĞŶƚƚŽƌĞǀĞƌƐĞĐƵƌƌĞŶƚ͘ϯ

^ĞŵŝĐŽŶĚƵĐƚŽƌĞǀŝĐĞƐ͕ϮͬďLJ^͘D͘^njĞ
ŽƉLJƌŝŐŚƚΞϮϬϬϮ:ŽŚŶtŝůĞLJΘ^ŽŶƐ͘/ŶĐ͘ůů
ƌŝŐŚƚƐƌĞƐĞƌǀĞĚ͘
7 Junction Breakdown
7.1 Tunneling Effect

&ŝŐƵƌĞϰ͘Ϯϰ͘
ŶĞƌŐLJďĂŶĚĚŝĂŐƌĂŵƐƵŶĚĞƌ
ũƵŶĐƚŝŽŶͲďƌĞĂŬĚŽǁŶ
ĐŽŶĚŝƚŝŽŶƐ͘
;ĂͿdƵŶŶĞůŝŶŐĞĨĨĞĐƚ
;ďͿǀĂůĂŶĐŚĞŵƵůƚŝƉůŝĐĂƚŝŽŶ͘
7.2 Avalanche Multiplication
&ŝŐƵƌĞϰͲϮϲ͘
ƌŝƚŝĐĂůĨŝĞůĚĂƚďƌĞĂŬĚŽǁŶǀĞƌƐƵƐďĂĐŬŐƌŽƵŶĚĚŽƉŝŶŐĨŽƌ^ŝĂŶĚ'ĂƐŽŶĞͲƐŝĚĞĚĂďƌƵƉƚ
ũƵŶĐƚŝŽŶƐ͘ϱ
&ŝŐƵƌĞϰͲϮϳ͘
ǀĂůĂŶĐŚĞďƌĞĂŬĚŽǁŶǀŽůƚĂŐĞ
ǀĞƌƐƵƐŝŵƉƵƌŝƚLJĐŽŶĐĞŶƚƌĂƚŝŽŶĨŽƌ
ŽŶĞͲƐŝĚĞĚĂďƌƵƉƚũƵŶĐƚŝŽŶ͘
ǀĂůĂŶĐŚĞďƌĞĂŬĚŽǁŶǀŽůƚĂŐĞ
ǀĞƌƐƵƐŝŵƉƵƌŝƚLJŐƌĂĚŝĞŶƚĨŽƌ
ůŝŶĞĂƌůLJŐƌĂĚĞĚũƵŶĐƚŝŽŶŝŶ^ŝĂŶĚ
'ĂƐ͘
ĂƐŚͲĚŽƚůŝŶĞŝŶĚŝĐĂƚĞƐƚŚĞŽŶƐĞƚ
ŽĨƚŚĞƚƵŶŶĞůŝŶŐŵĞĐŚĂŶŝƐŵ͘ϱ

^ĞŵŝĐŽŶĚƵĐƚŽƌĞǀŝĐĞƐ͕ϮͬďLJ^͘D͘^njĞ
ŽƉLJƌŝŐŚƚΞϮϬϬϮ:ŽŚŶtŝůĞLJΘ^ŽŶƐ͘/ŶĐ͘ůů
ƌŝŐŚƚƐƌĞƐĞƌǀĞĚ͘
&ŝŐƵƌĞϰͲϮϴ͘
ƌĞĂŬĚŽǁŶǀŽůƚĂŐĞĨŽƌ
ĚŝĨĨƵƐĞĚũƵŶĐƚŝŽŶƐ͘/ŶƐĞƚ
ƐŚŽǁƐƚŚĞƐƉĂĐĞĐŚĂƌŐĞ
ĚŝƐƚƌŝďƵƚŝŽŶ͘ϲ

^ĞŵŝĐŽŶĚƵĐƚŽƌĞǀŝĐĞƐ͕ϮͬďLJ^͘
D͘^njĞ
ŽƉLJƌŝŐŚƚΞϮϬϬϮ:ŽŚŶtŝůĞLJΘ
^ŽŶƐ͘/ŶĐ͘ůůƌŝŐŚƚƐƌĞƐĞƌǀĞĚ͘
&ŝŐƵƌĞϰͲϮϵ͘
ƌĞĂŬĚŽǁŶǀŽůƚĂŐĞĨŽƌƉнͲʋͲŶн ĂŶĚƉнͲǀͲŶн ũƵŶĐƚŝŽŶƐ͘t ŝƐƚŚĞƚŚŝĐŬŶĞƐƐŽĨƚŚĞůŝŐŚƚůLJ
ĚŽƉĞĚƉͲƚLJƉĞ;ʋͿŽƌƚŚĞůŝŐŚƚůLJĚŽƉĞĚŶͲƚLJƉĞ;ǀͿƌĞŐŝŽŶ͘
&ŝŐƵƌĞϰͲϯϬ͘
;ĂͿWůĂŶĂƌĚŝĨĨƵƐŝŽŶƉƌŽĐĞƐƐƚŚĂƚĨŽƌŵƐ
ũƵŶĐƚŝŽŶĐƵƌǀĂƚƵƌĞŶĞĂƌƚŚĞĞĚŐĞŽĨƚŚĞ
ĚŝĨĨƵƐŝŽŶŵĂƐŬ͕ǁŚĞƌĞƌũ ŝƐƚŚĞƌĂĚŝƵƐŽĨ
ĐƵƌǀĂƚƵƌĞ͘
;ďͿLJůŝŶĚƌŝĐĂůĂŶĚƐƉŚĞƌŝĐĂůƌĞŐŝŽŶƐ
ĨŽƌŵĞĚďLJĚŝĨĨƵƐŝŽŶƚŚƌŽƵŐŚĂ
ƌĞĐƚĂŶŐƵůĂƌŵĂƐŬ͘

^ĞŵŝĐŽŶĚƵĐƚŽƌĞǀŝĐĞƐ͕ϮͬďLJ^͘D͘^njĞ
ŽƉLJƌŝŐŚƚΞϮϬϬϮ:ŽŚŶtŝůĞLJΘ^ŽŶƐ͘/ŶĐ͘ůů
ƌŝŐŚƚƐƌĞƐĞƌǀĞĚ͘
&ŝŐƵƌĞϰͲϯϭ͘ ƌĞĂŬĚŽǁŶǀŽůƚĂŐĞǀĞƌƐƵƐŝŵƉƵƌŝƚLJĐŽŶĐĞŶƚƌĂƚŝŽŶĨŽƌŽŶĞͲƐŝĚĞĚĂďƌƵƉƚ
ĚŽƉŝŶŐƉƌŽĨŝůĞǁŝƚŚĐLJůŝŶĚƌŝĐĂůĂŶĚƐƉŚĞƌŝĐĂůũƵŶĐƚŝŽŶŐĞŽŵĞƚƌŝĞƐ͕ϳ ǁŚĞƌĞƌũ ŝƐƚŚĞƌĂĚŝƵƐ
ŽĨĐƵƌǀĂƚƵƌĞŝŶĚŝĐĂƚĞĚŝŶ&ŝŐ͘ϯϬ͘
8. Heterojuction
&ŝŐƵƌĞϰͲϯϮ͘
;ĂͿŶĞƌŐLJďĂŶĚĚŝĂŐƌĂŵŽĨƚǁŽ
ŝƐŽůĂƚĞĚƐĞŵŝĐŽŶĚƵĐƚŽƌƐ͘
ďͿŶĞƌŐLJďĂŶĚĚŝĂŐƌĂŵŽĨĂŶŝĚĞĂůŶͲ
Ɖ ŚĞƚĞƌŽũƵŶĐƚŝŽŶĂƚƚŚĞƌŵĂů
ĞƋƵŝůŝďƌŝƵŵ͘
6ROXWLRQV0DQXDOWR$FFRPSDQ\


6(0,&21'8&725'(9,&(6
3K\VLFVDQG7HFKQRORJ\
QG(GLWLRQ


606=(
80&&KDLU3URIHVVRU
1DWLRQDO&KLDR7XQJ8QLYHUVLW\
1DWLRQDO1DQR'HYLFH/DERUDWRULHV
+VLQFKX7DLZDQ


-RKQ:LOH\DQG6RQV,QF

1HZ<RUN&KLFHVWHU:HLQKHLP%ULVEDQG6LQJDSRUH7RURQWR

 
&RQWHQWV
&K,QWURGXFWLRQ

&K(QHUJ\%DQGVDQG&DUULHU&RQFHQWUDWLRQ

&K&DUULHU7UDQVSRUW3KHQRPHQD

&Kp-n-XQFWLRQ

&K%LSRODU7UDQVLVWRUDQG5HODWHG'HYLFHV

&K026)(7DQG5HODWHG'HYLFHV

&K0(6)(7DQG5HODWHG'HYLFHV

&K0LFURZDYH'LRGH4XDQWXP(IIHFWDQG+RW(OHFWURQ'HYLFHV

&K3KRWRQLF'HYLFHV

&K&U\VWDO*URZWKDQG(SLWD[\

&K)LOP)RUPDWLRQ

&K/LWKRJUDSK\DQG(WFKLQJ

&K,PSXULW\'RSLQJ

&K,QWHJUDWHG'HYLFHV

 
&+$37(5

 D )URP)LJDWKHDWRPDWWKHFHQWHURIWKHFXEHLVVXUURXQGE\IRXUHTXLGLVWDQW

QHDUHVWQHLJKERUVWKDWOLHDWWKHFRUQHUVRIDWHWUDKHGURQ7KHUHIRUHWKHGLVWDQFH

EHWZHHQQHDUHVWQHLJKERUVLQVLOLFRQ a c LV

> a  a  @  a  c

E )RUWKH  SODQHWKHUHDUHWZRDWRPV RQHFHQWUDODWRPDQGFRUQHUDWRPV

HDFKFRQWULEXWLQJRIDQDWRPIRUDWRWDORIWZRDWRPVDVVKRZQLQ)LJD IRU

DQDUHDRIa2WKHUHIRUHZHKDYH

 a  î  îDWRPVFP

6LPLODUO\ZHKDYHIRU  SODQH )LJDDQG)LJ 

îî  a 2  îDWRPVFP

DQGIRU  SODQH )LJDDQG)LJ 

§· 
îî  a ¨ ¸ a    îDWRPVFP
©¹ §  ·¸ 
¨ a
¨  ¸¹
©



 7KHKHLJKWVDW;<DQG=SRLQWDUH  ,  , DQG  




 D )RUWKHVLPSOHFXELFDXQLWFHOOFRQWDLQVRIDVSKHUHDWHDFKRIWKHHLJKW

FRUQHUVIRUDWRWDORIRQHVSKHUH

î 0D[LPXPIUDFWLRQRIFHOOILOOHG

QRRIVSKHUHîYROXPHRIHDFKVSKHUHXQLWFHOOYROXPH

îʟ a a 

E )RUDIDFHFHQWHUHGFXELFDXQLWFHOOFRQWDLQVRIDVSKHUHDWHDFKRIWKHHLJKW

FRUQHUVIRUDWRWDORIRQHVSKHUH7KHIFFDOVRFRQWDLQVKDOIDVSKHUHDWHDFKRI

 
WKH VL[ IDFHV IRU D WRWDO RI WKUHH VSKHUHV  7KH QHDUHVW QHLJKERU GLVWDQFH LV

 a  7KHUHIRUHWKHUDGLXVRIHDFKVSKHUHLV a  

î 0D[LPXPIUDFWLRQRIFHOOILOOHG

  ^ʟ > a @`a 

F )RUDGLDPRQGODWWLFHDXQLWFHOOFRQWDLQVRID VSKHUHDWHDFKRIWKHHLJKW

FRUQHUVIRUDWRWDORIRQHVSKHUHRIDVSKHUHDWHDFKRIWKHVL[IDFHVIRUD

WRWDO RI WKUHH VSKHUHV DQG  VSKHUHV LQVLGH WKH FHOO  7KH GLDJRQDO GLVWDQFH

EHWZHHQ  DQG  VKRZQLQ)LJDLV

  
 §a· §a· §a· a
 '  ¨ ¸ ¨ ¸ ¨ ¸    
 ©¹ ©¹ ©¹ 

a
7KHUDGLXVRIWKHVSKHUHLV'  


î 0D[LPXPIUDFWLRQRIFHOOILOOHG

ª S §a ·º
  « ¨  ¸» a ʟ   
¬  ©  ¹¼
7KLVLVDUHODWLYHO\ORZSHUFHQWDJHFRPSDUHGWRRWKHUODWWLFHVWUXFWXUHV


  d   d    d    d   G
 d  d   d   d  
 d ‡ d  d   d   d    d ‡ 

 d   d  ‡ d   d ‡ d   d ‡ d  

î GGFRVʗ G FRVʗ G FRVʗ 


 
GGFRVʗ 


î FRVʗ   


ʗ FRV  


 7DNLQJ WKH UHFLSURFDOV RI WKHVH LQWHUFHSWV ZH JHW   DQG  7KH VPDOOHVW

WKUHHLQWHJHUVKDYLQJWKHVDPHUDWLRDUHDQG7KHSODQHLVUHIHUUHGWRDV  

 
SODQH

 D 7KHODWWLFHFRQVWDQWIRU*D$VLVcDQGWKHDWRPLFZHLJKWVRI*DDQG$VDUH

DQGJPROHUHVSHFWLYHO\7KHUHDUHIRXUJDOOLXPDWRPVDQGIRXU

DUVHQLFDWRPVSHUXQLWFHOOWKHUHIRUH
a  î  î*DRU$VDWRPVFP
'HQVLW\  QRRIDWRPVFPîDWRPLFZHLJKW $YRJDGURFRQVWDQW

 î  î JFP

E ,I*D$VLVGRSHGZLWK6QDQG6QDWRPVGLVSODFH*DDWRPVGRQRUVDUHIRUPHG

EHFDXVH6QKDVIRXUYDOHQFHHOHFWURQVZKLOH*DKDVRQO\WKUHH7KHUHVXOWLQJ

VHPLFRQGXFWRULVnW\SH

  D 7KHPHOWLQJWHPSHUDWXUHIRU6LLVž&DQGIRU6L2LVž&7KHUHIRUH

6L2KDVKLJKHUPHOWLQJWHPSHUDWXUH,WLVPRUHGLIILFXOWWREUHDNWKH6L2ERQG

WKDQWKH6L6LERQG

 E 7KHVHHGFU\VWDOLVXVHGWRLQLWLDWHG WKHJURZWKRIWKHLQJRWZLWKWKH FRUUHFW

FU\VWDORULHQWDWLRQ

 F 7KHFU\VWDORULHQWDWLRQGHWHUPLQHVWKHVHPLFRQGXFWRU¶VFKHPLFDODQGHOHFWULFDO

SURSHUWLHVVXFKDVWKHHWFKUDWHWUDSGHQVLW\EUHDNDJHSODQHHWF
G  7KHWHPSHUDWLQJRIWKHFUXVLEOHDQGWKHSXOOUDWH

[  T 
Eg T  ± IRU6L
T  
?Eg .  H9DQGEg .  H9
[  T 
Eg T  ± IRU*D$V
T  
?Eg .  H9DQGEg .  H9

 7KH GHQVLW\ RI KROHV LQ WKH YDOHQFH EDQG LV JLYHQ E\ LQWHJUDWLQJ WKH SURGXFW

N E >F E @GE IURP WRS RI WKH YDOHQFH EDQG EV WDNHQ WR EH E    WR WKH

ERWWRPRIWKHYDOHQFHEDQG(ERWWRP

 
E bottom
p  ³ N E >±F E @GE  


ZKHUH±) (      ^ >   H
E  E F N7
@`  >  H @
E  E F  kT 


,IEF±E!! kTWKHQ
±F E aH[S > E F  E kT @   
7KHQIURP$SSHQGL[+DQG(TVDQGZHREWDLQ
E bottom
p ʟ >mph@ ³ EH[S> EF±E kT@GE  


/HWx EkT DQGOHWEbottom   f (TEHFRPHV

 f
p ʟ mp h  k7 H[S> EFkT @ ³ xHxGx


ZKHUHWKHLQWHJUDORQWKHULJKWLVRIWKHVWDQGDUGIRUPDQGHTXDOV S 

  î p >ʟ mpkTh@H[S> EF kT @

%\UHIHUULQJWRWKHWRSRIWKHYDOHQFHEDQGDVEV LQVWHDGRIE ZHKDYH

  p  ʟ mpkTh H[S> EF±EV kT@

RU   p NV H[S> EF±EV kT@

ZKHUHNV  ʟ mp kTh 


 )URP(T

NV  ʟ mp kTh 

7KHHIIHFWLYHPDVVRIKROHVLQ6LLV

mp  NV   hʟ kT 

. u  

  
§  u  u   P   · 
  ¨¨ ¸¸
©  ¹ S . u  
 

 îNJ m


6LPLODUO\ZHKDYHIRU*D$V
mp îNJ m

 8VLQJ(T

 
Ei E C  EV   kT  OQ N V N C 

 ECEV  kT OQ ª m p m n  º   




«¬ »¼
$W.
Ei    îîT  îî OQ  
 îT î H9
$W.
Ei    î    H9
$W.
 Ei    î    H9
%HFDXVH WKH VHFRQG WHUP RQ WKH ULJKWKDQG VLGH RI WKH (T LV PXFK VPDOOHU

FRPSDUHGWRWKHILUVWWHUPRYHUWKHDERYHWHPSHUDWXUHUDQJHLWLVUHDVRQDEOHWR

DVVXPHWKDWEiLVLQWKHFHQWHURIWKHIRUELGGHQJDS

³ E  E E  E
E top
C C H  E  E F kT GE
EC
KE  E top x { E  EC

³
 E  E F  kT
EE e C GE
EC

f  §·
*¨ ¸
 kT f 
³ x  H  x Gx

 kT © ¹  kT
. u . u S

§· . S
 ³
x  H  x Gx * ¨
©¹
¸


  kT 


 D p mv îî îNJ±PV


h . u  
 O     îP c
p . u   
m 
E  O n O  î c
mp .

 )URP)LJZKHQni FPWKHFRUUHVSRQGLQJWHPSHUDWXUHLVT 6R

WKDWT  .RU濎

)URPEc±EF kTOQ>NC ND ± NA @
ZKLFKFDQEHUHZULWWHQDVND±NA NCH[S>± EC±EF kT@

7KHQND ± NA îH[S ±  îFP

 
RUND îNA îFP
$ FRPSHQVDWHG VHPLFRQGXFWRU FDQ EH IDEULFDWHG WR SURYLGH D VSHFLILF )HUPL

HQHUJ\OHYHO

)URP)LJDZHFDQGUDZWKHIROORZLQJHQHUJ\EDQGGLDJUDPV

 D  7KH LRQL]DWLRQ HQHUJ\ IRU ERURQ LQ 6L LV  H9  $W  . DOO ERURQ
LPSXULWLHVDUHLRQL]HG7KXV pp NA FP
np ninA  î  îFP

7KH)HUPLOHYHOPHDVXUHGIURPWKHWRSRIWKHYDOHQFHEDQGLVJLYHQE\

EF±EV kTOQ NVND  OQ î  H9

E 7KHERURQDWRPVFRPSHQVDWHWKHDUVHQLFDWRPVZHKDYH
pp NA±ND î±î FP
6LQFHppLVWKHVDPHDVJLYHQLQ D WKHYDOXHVIRU npDQGEFDUHWKHVDPHDV
LQ D   +RZHYHU WKH PRELOLWLHV DQG UHVLVWLYLWLHV IRU WKHVH WZR VDPSOHV DUH

GLIIHUHQW

 
6LQFHND!!niZHFDQDSSUR[LPDWHQ NDDQG
p nin î îFP
§ E  Ei ·
)URPn niH[S ¨ F ¸ 
© kT ¹
ZHKDYH
EF±Ei kTOQ nni  OQ î  H9
7KHUHVXOWLQJIODWEDQGGLDJUDPLV
   




 $VVXPLQJFRPSOHWHLRQL]DWLRQWKH)HUPLOHYHOPHDVXUHGIURPWKHLQWULQVLF)HUPL

OHYHOLVH9IRUFPH9IRUFPDQGH9IRUFP

7KHQXPEHURIHOHFWURQVWKDWDUHLRQL]HGLVJLYHQE\

n # ND>±F ED @ ND>H  E D  E F  kT @

8VLQJWKH)HUPLOHYHOVJLYHQDERYHZHREWDLQWKHQXPEHURILRQL]HGGRQRUVDV
n FPIRU ND FP
n îFPIRUND FP
n îFPIRUND FP
7KHUHIRUHWKHDVVXPSWLRQRIFRPSOHWHLRQL]DWLRQLVYDOLGRQO\IRUWKHFDVHRI
FP


 
 
ND    
  e  ED  EF  kT   H .

   îFP


.
7KHQHXWUDOGRQRU ±îFP îFP
N2 .
î 7KHUDWLRRI D  
 

ND .


 
&+$37(5

 D )RULQWULQVLF6LPn Pp DQGn p ni u


 
:HKDYH U  u   :FP
qnP n  qpP p qni P n  P p

E  6LPLODUO\IRU*D$VPn Pp DQGn p ni u


 
:HKDYH U  u   :FP
qnP n  qpP p qni P n  P p

)RUODWWLFHVFDWWHULQJPnvT-3/2

   
7 .Pn u  FP9V
   
   
7 .Pn u  FP9V
   

  
6LQFH  
P P P
  
?   P FP9V
P  

 D p uFPn ni2/p  u u uFP

Pp FP9VPn FP9V


 
U  |  :FP
qP n n  qP p p qP p p

E p NA±ND u±u uFPn uFP

Pp Pp NA + ND  Pp u  FP9V

Pn Pn NA + ND  FP9V


 
U  |  :FP
qP n n  qP p p qP p p

F p NA %RURQ ±NDNA *DOOLXP  uFPn uFP

Pp Pp NA + NDNA  Pp u  FP9V

Pn Pn NA + NDNA  FP9V

 
U :FP

$VVXPHNDNA!!niWKHFRQGXFWLYLW\LVJLYHQE\

V|qnPn qPn NDNA 

:HKDYHWKDW

  u Pn ND 

6LQFHPRELOLW\LVDIXQFWLRQRIWKHLRQL]HGLPSXULW\FRQFHQWUDWLRQZHFDQXVH

)LJDORQJZLWKWULDODQGHUURUWRGHWHUPLQH PnDQGND .)RUH[DPSOHLIZH

FKRRVHND uWKHQNI ND+NA- uVRWKDW Pn|FP9V

ZKLFKJLYHVV 

)XUWKHUWULDODQGHUURU\LHOGV

ND|uFP

DQG

Pn|FP9V 

ZKLFKJLYHV

V| :FP 

 V  q P n n  P p p  qP p bn  ni  n 

)URPWKHFRQGLWLRQdV/dn ZHREWDLQ

n ni  b 

7KHUHIRUH


U m qȝ p bni  b  b ni b  
    
Ui   b
qP p ni b  

S
$WWKHOLPLWZKHQG!!VCF   7KHQIURP(T
OQ 

V  u  
U u W u CF 
u  u    u   :FP
I  u 

 
)URP)LJCF  d/s  XVLQJWKHa/d FXUYHZHREWDLQ

 u  
V U ˜ I  W ˜ CF  P9
 u    u 

+DOOFRHIILFLHQW

VH A  u   u  u  
RH  FP&
IB zW  u   u  u   u   u 

6LQFHWKHVLJQRIRHLVSRVLWLYHWKHFDUULHUVDUHKROHV)URP(T
 
p 
 u  FP
qRH  u  u 

$VVXPLQJNA|pIURP)LJZHREWDLQU :FP

7KHPRELOLW\PpLVJLYHQE\(TE

 
Pp  FP9V
qpU  u  
u  u  u 

 
6LQFHRvUDQG U KHQFH R v 
qnP n  qpP p nP n  pP p

)URP(LQVWHLQUHODWLRQ D v P 

Pn  P p Dn  D p  

R N D Pn

. R 
N D Pn  N AP p

:HKDYHNA ND 

7KHHOHFWULFSRWHQWLDOILVUHODWHGWRHOHFWURQSRWHQWLDOHQHUJ\E\WKHFKDUJH q 


I  EFEi 
q

7KHHOHFWULFILHOGIRUWKHRQHGLPHQVLRQDOVLWXDWLRQLVGHILQHGDV

dI  dE i
E x   
dx q dx

§ E F  Ei ·
n niH[S ¨ ¸ ND x 
© kT ¹

 
+HQFH

§ ND( x ) ·
EFEi kTOQ ¨¨ ¸¸ 
© ni ¹

§ kT ·  dN D ( x )
( (x)  ¨¨ ¸¸ 
© q ¹ ND( x ) dx

 D )URP(TJn DQG

dn  ax
( x  
Dn dx   kT N  a e   kT a 
Pn n q N  e ax q

E E x     9FP

$WWKHUPDODQGHOHFWULFHTXLOLEULD

dn x
 J n qP n n x (  qD n 
dx

Dn  dn x Dn  NL  N
( x  
P n n x dx P n N   N L  N  x L L
 
NL  N
Dn
 
P n LN   N L  N  x
L 'Q NL  N Dn NL
 V ³ 

P LN   N L  N  x

Pn
OQ
N


 'n 'p W p GL  u   u   FP

n n no  'n N D  'n    |  FP


n i  u   
p  'p 
  |  FP  
ND  

 
 D W p |   V
V pQ th N t  u  
u   u  u 

Lp D pW p  u    u   FP

S lr Q thV s N sts   u  u   u   FPV

E  7KHKROHFRQFHQWUDWLRQDWWKHVXUIDFHLVJLYHQE\(T

 
§ W p S lr ·
p n  pno  W p G L ¨  ¸
¨ L p  W p S lr ¸
© ¹
 u      §   u  ·
    u  ¨
¨    
¸¸ 
 u 
©  u    u  ¹
|   FP  

 V qnP n  qpP p 

%HIRUHLOOXPLQDWLRQ

nn nno  p n p no 

$IWHULOOXPLQDWLRQ

nn nno  'n nno  W p G 

pn p no  'p p no  W p G 
'V > qP n nno  'n  qP p pno  'p @  qP n nno  qP p pno

 q P n  P p W p G

dp
 D  J p GLII qD p 
dx

uuu uH[S x 
 u   

H[S x $FP

E  J n GULIW J total  J p GLII 

H[S x $FP

F J n GULIW qnP n( 

?H[S x  uuuuE

E H[S x 9FP

)RUE ZHKDYH

wp pn  pno w  pn
  Dp 
wt Wp wx 

DWVWHDG\VWDWHWKHERXQGDU\FRQGLWLRQVDUHpn x   pn  DQGpn x W  

 
pno

7KHUHIRUH
ª §W  x ·º
« VLQK ¨ ¸»
« ¨ Lp ¸»
p n x  > p n   p no @« © ¹ 
p no »
« VLQK §¨ W ·¸ »
« ¨ Lp ¸ »
¬ © ¹ ¼

wp n Dp §W ·
J p x   qD p q> p n   p no @ FRWK¨ ¸
wx Lp ¨ Lp ¸
x  © ¹

wp n Dp 
J p x W  qD p q> p n   p no @ 
wx Lp §W ·
VLQK ¨ ¸
x W
¨ Lp ¸
© ¹

7KHSRUWLRQRILQMHFWLRQFXUUHQWWKDWUHDFKHVWKHRSSRVLWHVXUIDFHE\GLIIXVLRQLV

JLYHQE\
J p W 
D 
J p  FRVK W  L p

L p { D pW p  u  u    u   FP


?D   
FRVK    u  


7KHUHIRUHRIWKHLQMHFWHGFXUUHQWFDQUHDFKWKHRSSRVLWHVXUIDFH

,QVWHDG\VWDWHWKHUHFRPELQDWLRQUDWHDWWKHVXUIDFHDQGLQWKHEXONLVHTXDO
'p nEXON 'p nVXUIDFH

W p EXON W p VXUIDFH

VRWKDWWKHH[FHVVPLQRULW\FDUULHUFRQFHQWUDWLRQDWWKHVXUIDFH

 
'pnVXUIDFH ˜ FP
 

7KHJHQHUDWLRQUDWHFDQEHGHWHUPLQHGIURPWKHVWHDG\VWDWHFRQGLWLRQVLQWKH

EXON


G   FPV
 

)URP(TZHFDQZULWH

 
w  'p 'p
Dp G        
wx 
Wp

7KHERXQGDU\FRQGLWLRQVDUH'p x  f  FPDQG'p x   FP

+HQFH   'p x     e  x  L p

ZKHUH   Lp   ˜   PP

 7KHSRWHQWLDOEDUULHUKHLJKW

IB I m  F  YROWV

7KHQXPEHURIHOHFWURQVRFFXS\LQJWKHHQHUJ\OHYHOEHWZHHQE DQG EdELV

dn N E F E dE

ZKHUHN E LVWKHGHQVLW\RIVWDWHIXQFWLRQDQGF E LV)HUPL'LUDFGLVWULEXWLRQ

IXQFWLRQ  6LQFH RQO\ HOHFWURQV ZLWK DQ HQHUJ\ JUHDWHU WKDQ E F  qI m DQG

KDYLQJ D YHORFLW\ FRPSRQHQW QRUPDO WR WKH VXUIDFH FDQ HVFDSH WKH VROLG WKH

WKHUPLRQLFFXUUHQWGHQVLW\LV

f S m  
J ³ qv x ³ E F  qI m h 
v x E  e  E  EF kT dE 

ZKHUH v x LVWKHFRPSRQHQWRIYHORFLW\QRUPDOWRWKHVXUIDFHRIWKHPHWDO6LQFH

WKHHQHUJ\PRPHQWXPUHODWLRQVKLS

P 
E p x  p y  p z 
m m
PdP
'LIIHUHQWLDWLRQOHDGVWR dE 
m

%\ FKDQJLQJ WKH PRPHQWXP FRPSRQHQW WR UHFWDQJXODU FRRUGLQDWHV

SP  dP dp x dp y dp z 

 q f f f  p   p   p    mE f   mkT
 ³ p ³S f ³S f
J pxe x y z dp x dp y dp z
mh x \
+HQFH 
q f  p x   mE f  mkT f  p   mkT f
 ³ p
p x dp x ³ e y dp y ³ e  p z

 mkT
 e dp z
mh x  f  f

ZKHUH p x m E F  qI m  

 
 
f §S ·
6LQFH ³

e  ax dx ¨ ¸ WKHODVWWZRLQWHJUDOV\LHOG 2ʌmkT   
 f
©a¹
p x  mE F
7KHILUVWLQWHJUDOLVHYDOXDWHGE\VHWWLQJ u 
mkT
p x dp x
7KHUHIRUHZHKDYH du 
mkT

7KHORZHUOLPLWRIWKHILUVWLQWHJUDOFDQEHZULWWHQDV

m E F  qI m  mE F qI m
 
mkT kT
f
VRWKDWWKHILUVWLQWHJUDOEHFRPHV mkT ³ e u du mkT e  qIm kT

qI m  kt

Sqmk    qIm §  qI m ·
+HQFH J T e kT
A T  H[S¨¨ ¸¸ 
h © kT ¹

(TXDWLRQLVWKHWXQQHOLQJSUREDELOLW\

mn qV  E   u       u  


 E  u  P  
!  u   

> @ ½°

­°  u VLQK  u   u  u  


 T ®  ¾  u   
°̄  u  u    °¿

(TXDWLRQLVWKHWXQQHOLQJSUREDELOLW\

mn qV  E   u       u  


E  u   P 
!  u   

> @


­°  u VLQK  u   u   ½°


 T  ®   ¾  
°̄  u   u      °¿

>  u VLQK  u   u   ½° @




­° 

 T  ®   ¾  u   
°̄  u  u    °¿

 
)URP)LJ

$VE 9V

Qd|uFPV 6L DQGQd|uFPV *D$V 

t|SV 6L DQGt|SV *D$V 

$VE u9V

Qd|FPV 6L DQGQd|uFPV *D$V 

t|SV 6L DQGt|SV *D$V 

 Eth kT
7KHUPDOYHORFLW\vth
m m
 u  u  u 
  
 u  
  u   PV  u  FPV

)RU HOHFWULF ILHOG RI  YFP GULIW YHORFLW\

vd P n (  u   u   FPV  v th 

)RUHOHFWULFILHOGRI9FP

 P n (  u    u   FPV | v th 

7KHYDOXHLVFRPSDUDEOHWRWKHWKHUPDOYHORFLW\WKHOLQHDUUHODWLRQVKLSEHWZHHQGULIW

YHORFLW\DQGWKHHOHFWULFILHOGLVQRWYDOLG



 
&+$37(5

7KHLPSXULW\SURILOHLV


NDNA  FP 


 u

 [ PP 


a FP


7KHRYHUDOOVSDFHFKDUJHQHXWUDOLW\RIWKHVHPLFRQGXFWRUUHTXLUHVWKDWWKHWRWDOQHJDWLYH

VSDFHFKDUJHSHUXQLWDUHDLQWKHpVLGHPXVWHTXDOWKHWRWDOSRVLWLYHVSDFHFKDUJHSHUXQLW

DUHDLQWKHnVLGHWKXVZHFDQREWDLQWKHGHSOHWLRQOD\HUZLGWKLQWKH nVLGHUHJLRQ

 u  u 
 Wn u  u  


+HQFHWKHnVLGHGHSOHWLRQOD\HUZLGWKLV

 Wn . PP 

7KHWRWDOGHSOHWLRQOD\HUZLGWKLVPP

:HXVHWKH3RLVVRQ¶VHTXDWLRQIRUFDOFXODWLRQRIWKHHOHFWULFILHOGE(x)

,QWKHnVLGHUHJLRQ

 
d( q q
N D Ÿ (( x n ) NDx  K
dx Hs Hs
q
(( x n . PP )  Ÿ K  N D u . u   
 Hs 
?( x n
q
u  u  x  . u   
Hs
(max (( x n  ) . u   9FP

,QWKHpVLGHUHJLRQWKHHOHFWULFDOILHOGLV

d( q q
N A Ÿ (( x p ) u ax   K '
dx Hs H s

(( x p .PP )  Ÿ K ' 
q
H s

u a u . u    

 
?( x p q
H s «¬

u a u ª x   . u    º

»¼

(max (( x p  ) . u   9FP

7KHEXLOWLQSRWHQWLDOLV

( x dx ( x dx  ³ ( x dx
x n  xn
 Vbi ³ ³ p  side n  side 9 
 x p  x p 

)URP Vbi  ( x dx WKHSRWHQWLDOGLVWULEXWLRQFDQEHREWDLQHG


³

:LWK ]HUR SRWHQWLDO LQ WKH QHXWUDO pUHJLRQ DV D UHIHUHQFH WKH SRWHQWLDO LQ WKH pVLGH

GHSOHWLRQUHJLRQLV

V p x ³ ( x dx
x




x q
H s
>
u a u x    u    dx

@ 
qa ª  
«
H s ¬ 
x   u    x   u   
 



 u  u « x    u    x   u    »


ª   º

¬  ¼
:LWKWKHFRQGLWLRQVp  Vn  WKHSRWHQWLDOLQWKHnUHJLRQLV

 
§  ·
Vn x
q
 u  u  ¨¨ x    u   x  u   ¸¸
Hs ©  ¹

§  ·
 u  u ¨¨ x    u   x 

u   ¸¸
©  ¹

7KHSRWHQWLDOGLVWULEXWLRQLV
'LVWDQFH SUHJLRQ QUHJLRQ
  
  
  
  
  
  
  
  
  

  

  

  

  

  

  

  

  


 
  

  

  


Potential Distribution

0.600

0.500

0.400
Potential (V

0.300

0.200

0.100

0.000
-1 -0.5 0 0.5 1 1.5

-0.100
Distance (um)

 


7KHLQWULQVLFFDUULHUVGHQVLW\LQ6LDWGLIIHUHQWWHPSHUDWXUHVFDQEHREWDLQHGE\XVLQJ)LJLQ&KDSWHU



7HPSHUDWXUH .  ,QWULQVLFFDUULHUGHQVLW\ ni 

 Ŀ

 Ŀ

 Ŀ

 Ŀ

 Ŀ

 Ŀ

7KHVbiFDQEHREWDLQHGE\XVLQJ(TDQGWKHUHVXOWVDUHOLVWHGLQWKHIROORZLQJWDEOH

7 ni Vbi (V)
 ( 
 ( 
 ( 
 ( 
 ( 
 ( 

7KXVWKHEXLOWLQSRWHQWLDOLVGHFUHDVHGDVWKHWHPSHUDWXUHLVLQFUHDVHG

7KHGHSOHWLRQOD\HUZLGWKDQGWKHPD[LPXPILHOGDW.DUH

H sVbi  u  u  u   u 


W PP
qN D  u   u 

qN DW  u   u  u  u  
(PD[  u  9FP


Hs  u  u  

 
   
ª  qVR§ N A N D ·º ª  u  u   u  §  N D ·º
(PD[ |« ¨¨ ¸¸» Ÿ  u  
« ¨ 
 ¨
¸¸»
¬ Hs © N A  N D ¹¼ ¬  u  u  ©   N D ¹¼

ND
Ÿ  u 
ND
  


:HFDQVHOHFWQW\SHGRSLQJFRQFHQWUDWLRQRIND uFPIRUWKHMXQFWLRQ

)URP(TDQG(TZHFDQREWDLQWKH1/C2YHUVXVVUHODWLRQVKLSIRUGRSLQJFRQFHQWUDWLRQRI

RUFPUHVSHFWLYHO\

)RUND FP

  Vbi  V  u   V
 u    V 
Cj

qİ s N B  u  u  u  u   u 


)RUN' FP

  Vbi  V  u   V
 u    V 
Cj

qİ s N B  u  u  u  u   u 


)RUND FP

  Vbi  V  u   V
 u    V 
Cj

qİ s N %  u  u  u  u   u 


:KHQWKHUHYHUVHGELDVLVDSSOLHGZHVXPPDUL]HDWDEOHRI 1 C 2j YV VIRUYDULRXV NDYDOXHVDV

IROORZLQJ

 

9 1' ( 1' ( 1' (

 ( ( (


 ( ( (
 ( ( (
 ( ( (
 ( ( (
 ( ( (
 ( ( (
 ( ( (
 ( ( (

+HQFHZHREWDLQDVHULHVRIFXUYHVRI1/C2YHUVXVVDVIROORZLQJ


1/C^2 vs V

7E+16

6E+16

5E+16

4E+16
1/C^2

3E+16

2E+16

1E+16

0
-4.5 -4 -3.5 -3 -2.5 -2 -1.5 -1 -0.5 0
Applied Voltage

7KHVORSHVRIWKHFXUYHVLVSRVLWLYHSURSRUWLRQDOWRWKHYDOXHVRIWKHGRSLQJFRQFHQWUDWLRQ7KH

LQWHUFHSWLRQVJLYHWKHEXLOWLQSRWHQWLDORIWKHp-nMXQFWLRQV

 
7KHEXLOWLQSRWHQWLDOLV

 kT § a  H s kT ·  §   u   u  u  u   u  ·


Vbi OQ¨ ¸ u  u OQ¨ ¸
 q ¨© q  ni ¸¹  ¨
©

 u  u  u  u    ¸
¹
9


)URP(TWKHMXQFWLRQFDSDFLWDQFHFDQEHREWDLQHG


   
Hs ª qaH s  º ª u   u   u  u  u   
º
Cj « » « »
W «¬ Vbi  V R »¼ ¬«    V R ¼»


$WUHYHUVHELDVRI9WKHMXQFWLRQFDSDFLWDQFHLVu)FP

)URP(TZHFDQREWDLQ

  Vbi  V  Vbi  V R 
Ÿ ND Cj 
Cj

qH s N B qH s

 V R  u 
V R !! Vbi Ÿ N D # Cj u . u   
qH s . u  
u . u . u  
 
Ÿ Nd . u  FP

 :HFDQVHOHFWWKHQW\SHGRSLQJFRQFHQWUDWLRQRIîFP

)URP(T

ª º
« V p V nX th N t »
G U « »n
« § Et  Ei · § E  Et ·»
i

« V n H[S¨ ¸  V p H[S¨ i ¸»
¬ © kT ¹ © kT ¹¼

ª º
«  
 u  u  u   »
« » u . u   . u 
«  § . ·  §  . · »
« H[S¨ . ¸   H[S¨ . ¸ »
¬ © ¹ © ¹¼

 
DQG

H s Vbi  V  u  u  u   u   


W  u   FP PP 
qN A  u   u 

7KXV

J gen qGW  u  u  u  u  u    u   $FP  


ni
)URP(TDQG p no 
ND

:HFDQREWDLQWKHKROHFRQFHQWUDWLRQDWWKHHGJHRIWKHVSDFHFKDUJHUHJLRQ

pn
 
ni 
e
 u    §   ·
¨ ¸
e ©  ¹  u  FP  

ND 

 J J p x n  J n  x p
J s e qV  kT   
V
J
Ÿ e   
Js
V

Ÿ  e   

ŸV 9

7KHSDUDPHWHUVDUH

ni uFP Dn FPVHF  

Dp FPVHF Wp0 Wn0 uVHF  

)URP(TDQG(T

 
 ª §¨ qVa ·¸ º
J p xn
qD p p no
e qV  kT
  q
Dp
W po
u
ni
ND «
u «e © kT ¹  »
Lp
¬ »¼
Ÿ

  u   u

u

 u   
ª §¨  ·¸ º
u «e ©  ¹  » 
 u   ND «¬ »¼
Ÿ
ND  u  FP 

 ª §¨ qVa ·¸ º
J n  x p
qDn n po
e qV  kT
  q
Dn
W no
u
ni
NA «
u «e © kT ¹  »
Ln
¬ »¼
Ÿ

  u   u

u

 u   
ª §¨  ·¸ º
u «e ©  ¹  » 
 u   NA «¬ »¼
Ÿ
NA  u  FP 

:H FDQ VHOHFW D p-n GLRGH ZLWK WKH FRQGLWLRQV RI NA  îFP DQG ND 

îFP 

$VVXPHʣ g ʣ p ʣ n VDn FPVHFDQGDp FPVHF

D 7KHVDWXUDWLRQFXUUHQWFDOFXODWLRQ

)URP(TDDQG L p D pW p ZHFDQREWDLQ

qD p p n  qDn n p  §  Dp  Dn ·¸
 J s  qni ¨  
Lp Ln ¨ ND W p NA W n ¸
© ¹

§  ·

 u   u  u   ¨¨  


 

¸
¸
 ©      ¹
 u   $FP 

$QGIURPWKHFURVVVHFWLRQDODUHD$ uFPZHREWDLQ

 
 I s Au Js  u   u  u    u   $ 

E 7KHWRWDOFXUUHQWGHQVLW\LV

§ qV ·
  J J s ¨¨ e kt  ¸¸ 
© ¹

7KXV

§   ·
I V  u   ¨¨ e   ¸¸  u   u  u   u   $
© ¹
 
§ 

·
I  V  u  
¨e   ¸  u   $
¨ ¸
© ¹

§ qV ·
)URP J J s ¨¨ e kt  ¸¸ 
© ¹

ZHFDQREWDLQ

V ª§ J · º ª§   · º
 OQ «¨¨ ¸¸  » Ÿ V  u OQ «¨¨ ¸  »
 ¸
9 
 ¬© J s ¹ ¼ ¬©  u  ¹ ¼

)URP(TDQGDVVXPHDp FPVHFZHFDQREWDLQ

D p ni  qniW
JR # q 
W p ND Wg
 
. u  

 . u  



. u   u . u    u . u . u   u Vbi  V R
     . u   u 

 u 
 Vbi OQ 9 
 u   

7KXV

JR  u     u     V R

 

95 -V
 (
 (
 (
 (
 (
 (
 (
 (
 (
 (
 (

C urrent D ensi ty

3. E -07

3. E -07

2. E -07
Current Densit

2. E -07

1. E -07

5. E -08

0. E +00
0 0. 2 0. 4 0. 6 0. 8 1 1. 2
A ppl i ed V ol tage

:KHQND FPZHREWDLQ

 u 
Vbi OQ 9 
 u   

 


JR  u     u     VR


Current Density

3.00E-08

2.50E-08

2.00E-08
Current Densit

1.50E-08

1.00E-08

5.00E-09

0.00E+00
0 0.2 0.4 0.6 0.8 1 1.2
Applied Voltage

)URP(T
f
Qp q³
 xn
p n  p no dx  

f
 q ³ p no e qV  kT   e
 xn
 x  xn  L p
dx 

7KHKROHGLIIXVLRQOHQJWKLVODUJHUWKDQWKHOHQJWKRIQHXWUDOUHJLRQ

x n

Qp q³
x n
pn  pno dx    

q ³ p no e qV  kT   e
 x n
 x  xn  L p
dx
[ Q

·§¨  L p ·

xn  xn x x
§ qV  n n
¸
¨ kT ¸
qp no  L p ¨ e  ¸¨ e e p
L
¸¸
 © ¹¨© ¹ 

 u    § 

·§    ·


 u   u   u  
¨ e   ¸ ¨ e  e  ¸
  ¨ ¸ ¨ ¸
© ¹© ¹

 u  &FP  

)URP)LJWKHFULWLFDOILHOGDWEUHDNGRZQIRUD6LRQHVLGHGDEUXSWMXQFWLRQ

 
LVDERXWĿ9FP7KHQIURP(TZHREWDLQ

(cW H s (c 
 V B EUHDNGRZQYROWDJH N B  
 q
 u  u   u  u  


 u  u  

 


 9

H s Vbi  V  u . u . u   u 


W # . u   FP .NjP 
qN B . u   u 

:KHQ WKH nUHJLRQ LV UHGXFHG WR PP WKH SXQFKWKURXJK ZLOO WDNH SODFH ILUVW

)URP(TZHFDQREWDLQ

VB ' VKDGHGDUHDLQ)LJLQVHUW § W ·§ W ·
 ¨¨ ¸¸¨¨   ¸¸ 
VB (F :P  © m ¹©
W W m ¹

§W ·§ W · §  ·§  ·
 V B
V B ¨¨ ¸¸¨¨   ¸¸  u ¨ ¸¨   ¸  9
© Wm ¹© Wm ¹ ©  ¹©  ¹

&RPSDUHGWR)LJWKHFDOFXODWHGUHVXOWLVWKHVDPHDVWKHYDOXHXQGHUWKH

FRQGLWLRQVRIW PPDQGNB FP

:HFDQXVHIROORZLQJHTXDWLRQVWRGHWHUPLQHWKHSDUDPHWHUVRIWKHGLRGH

D p ni  qV  kT qWni qV   kT D p ni  qV  kT
 JF q e  e #q e 
W p ND W r W p ND

EcW H sEc 
 VB N D  
 q

 Ÿ

 
AJ F Aq
D p ni  qV / kT
e Ÿ A u . u   u

D p . u    .
e 
 . . u   
W p ND   ND

H s (c  . u . u   (c



(cW
 VB N D  Ÿ  N D  
 q  u . u  

 /HWEc u9FPZHFDQREWDLQND uFP

 7KHPRELOLW\RIPLQRULW\FDUULHUKROHLVDERXWDWND u


?Dp u FPV

 7KXVWKHFURVVVHFWLRQDODUHD$LVuFP

 $VWKHWHPSHUDWXUHLQFUHDVHVWKHWRWDOUHYHUVHFXUUHQWDOVRLQFUHDVHV7KDWLV

WKHWRWDOHOHFWURQFXUUHQWLQFUHDVHV7KHLPSDFWLRQL]DWLRQWDNHVSODFHZKHQWKH

HOHFWURQJDLQVHQRXJKHQHUJ\IURPWKHHOHFWULFDOILHOGWRFUHDWHDQHOHFWURQKROH

SDLU :KHQ WKH WHPSHUDWXUH LQFUHDVHV WRWDO QXPEHU RI HOHFWURQ LQFUHDVHV

UHVXOWLQJ LQ HDV\ WR ORVH WKHLU HQHUJ\ E\ FROOLVLRQ ZLWK RWKHU HOHFWURQ EHIRUH

EUHDNLQJWKHODWWLFHERQGV7KLVQHHGKLJKHUEUHDNGRZQYROWDJH

 D 7KHLOD\HULVHDV\WRGHSOHWHDQGDVVXPHWKHILHOGLQWKHGHSOHWLRQUHJLRQLVFRQVWDQW

)URP(TZHFDQREWDLQ

 
W § ( · § ( · 
³

  ¨  ¸
dx  Ÿ   ¨ ¸ u   Ÿ (critical  u   u  
 u   9FP

©  u  ¹ ©  u  ¹

 î VB  u   u    9

E )URP)LJWKHFULWLFDOILHOGLVî9FP

 
(cW H s(c 
 V B EUHDNGRZQYROWDJH N B  
 q
 u  u  u  u  
 

 
 u   
 u  u 

  9

 u 
 a   FP   
 u   
/  / 
(W (c ª H s º
VB « » a  / 
  ¬ q ¼
/  / 
( c ª  u . u . u   º
 « »
u    / 

 ¬ . u   ¼
. u   (c
/ 

 7KHEUHDNGRZQYROWDJHFDQEHGHWHUPLQHGE\DVHOHFWHGEc


7RFDOFXODWHWKHUHVXOWVZLWKDSSOLHGYROWDJHRI V 9 ZHFDQXVHDVLPLODUFDOFXODWLRQLQ

([DPSOH  ZLWK   UHSODFLQJ  IRU WKH YROWDJH  7KH REWDLQHG HOHFWURVWDWLF

SRWHQWLDOV DUH 9 DQG  u   9  UHVSHFWLYHO\ 7KH GHSOHWLRQ ZLGWKV DUH

 u   FP DQG  u   FP UHVSHFWLYHO\

$OVR E\ VXEVWLWXWLQJ V 9 WR (TV  DQG  WKH HOHFWURVWDWLF SRWHQWLDOV DUH 9 

DQG  u   9  DQG WKH GHSOHWLRQ ZLGWKV DUH  u   FP DQG  u   FP 

UHVSHFWLYHO\

7KHWRWDOGHSOHWLRQZLGWKZLOOEHUHGXFHGZKHQWKHKHWHURMXQFWLRQLVIRUZDUGELDVHGIURP

WKH WKHUPDO HTXLOLEULXP FRQGLWLRQ 2Q WKH RWKHU KDQG ZKHQ WKH KHWHURMXQFWLRQ LV

UHYHUVHELDVHGWKHWRWDOGHSOHWLRQZLGWKZLOOEHLQFUHDVHG

Eg   î H9

Eg 'E C
 Vbi     E F   EV  / q  E C  E F  / q 
q q

 
kT . u  kT  u 
 ±± OQ ± OQ 9
q  u  q  u 

 
ª  N AH H  vbi º  ª  u . u . u . u   u . º 
 x   « » « » 
¬ qN D H  N D  H  N A ¼ ¬ . u  u  u  .  . ¼
 

  u   FP

6LQFH N D x N A x  ? x x 

?W  x . u   FP  PP 

 
&+$37(5

 a 7KHFRPPRQEDVHDQGFRPPRQHPLWWHUFXUUHQWJDLQVLVJLYHQE\

D  JD T  u  


D 
E 
  D    


b 6LQFH I B  DQG I Cp  u   $ WKHQ I CBO LV  u   $ 7KHHPLWWHUFXUUHQWLV

I CEO   E  I CBO
   ˜  u   
 u   $

 )RUDQLGHDOWUDQVLVWRU

D J 
D 
E 
  D

I CBO LVNQRZQDQGHTXDOVWR  u   $ 7KHUHIRUH

I CEO   E  I CBO
   ˜  u   
P$

 D 7KHHPLWWHUEDVHMXQFWLRQLVIRUZDUGELDVHG)URP&KDSWHUZHREWDLQ

kT §¨ N A N D · ª  u  ˜  u  º
Vbi OQ ¸  OQ « » 9 
q ¨© ni  ¸
¹
«¬  u  

»¼

7KHGHSOHWLRQOD\HUZLGWKLQWKHEDVHLV

 
§ NA ·
W ¨¨ ¸¸ 7RWDOGHSOHWLRQ  OD\HUZLGWKRI WKHHPLWWHU  EDVH MXQFWLRQ
© N A  ND ¹
H s § NA ·§  ·
¨¨ ¸¸¨¨ ¸¸ Vbi  V
q © ND 
¹© A N D
N ¹ 
 ˜  u   §  u  ·§  ·
¨¨ ¸¸¨  ¸
  
 u   ©  u 
 
¹©  u    u  ¹
 u   FP  u   PP

6LPLODUO\ZHREWDLQIRUWKHEDVHFROOHFWRUIXQFWLRQ

ª  u  ˜  º
Vbi  OQ «  »
9 

«¬  u   »¼
DQG

 ˜  u   §  ·§  ·


W ¨¨ ¸¸¨   ¸
  
 u   ©  u 

¹©    u  ¹ 
 u   FP  u   PP

7KHUHIRUHWKHQHXWUDOEDVHZLGWKLV

W W%  W  W    u     u   PP 

E 8VLQJ(Ta

p n  pno e qVEB kT

ni qVEB
e kT  u   
e    u  FP   

ND  u 

 ,QWKHHPLWWHUUHJLRQ

DE  FP VL E  ˜   u   FP

n EO
 u  


 u 

,QWKHEDVHUHJLRQ

 
Dp FP VL p D pW p  ˜    u   FP

pno
ni

 u   


ND  u 

,QWKHFROOHFWRUUHJLRQ

DC  FP VLC  ˜    u   FP

nCO
 u   
 u   



7KHFXUUHQWFRPSRQHQWVDUHJLYHQE\(TVDQG

 u   ˜  u   ˜  ˜   


I Ep e  u   $
 u   
I Cp # I Ep  u   $
 u   ˜  u    ˜  ˜   
I En 
e    u   $ 
 u 
 u  ˜  u    ˜ ˜  u  

I Cn 
 u   $
 u 
I BB I Ep  I Cp 

 D 7KHHPLWWHUFROOHFWRUDQGEDVHFXUUHQWVDUHJLYHQE\

IE I Ep  I En  u   $
IC I Cp  I Cn  u   $ 
IB I En  I BB  I Cn  u   $

E :HFDQREWDLQWKHHPLWWHUHIILFLHQF\DQGWKHEDVHWUDQVSRUWIDFWRU

I Ep  u  
J  
IE  u  

I Cp  u  
DT  
I Ep  u  

+HQFHWKHFRPPRQEDVHDQGFRPPRQHPLWWHUFXUUHQWJDLQVDUH

D  JD T 
D 
E 
  D

 
F  7RLPSURYH J WKHHPLWWHUKDVWREHGRSHGPXFKKHDYLHUWKDQWKHEDVH

7RLPSURYH D T ZHFDQPDNHWKHEDVHZLGWKQDUURZHU

 :HFDQVNHWFK p n x p n  FXUYHVE\XVLQJDFRPSXWHUSURJUDP






 :/S
SQ [ SQ 


 







     

',67$1&([


,Q WKH ILJXUH ZH FDQ VHH ZKHQ W L p    W L p  LQ WKLV FDVH  WKH

PLQRULW\FDUULHUGLVWULEXWLRQDSSURDFKHVDVWUDLJKWOLQHDQGFDQEHVLPSOLILHGWR

(T

 8VLQJ(T I Ep LVJLYHQE\

 
§ dp ·
I Ep   A¨¨  qD p n ¸
© dx x  ¸¹
­ ª  §W  x ·º ª  § x · º½
° « FRVK¨ ¸» «  FRVK¨ ¸ » °
° ¨ Lp ¸ » ¨ Lp ¸ »°
A  qD p ®  pno  e qVEB kT « Lp
 « © ¹  p «  L p © ¹
¾
» « · »» °
no
° « § W · » « § W
° VLQK¨ ¸ VLQK¨ ¸
« ¨ Lp ¸ » « ¨ Lp ¸ »°
¯ ¬ © ¹ ¼ ¬ © ¹ ¼¿ x 

­ ª § W ·º ª º½
° « FRVK¨¨ ¸¸ » « »°
D p  p no ° qVEB « © L p ¹ » «   »°
qA ® e kT
 « » « ¾
Lp °
« VLQK§¨ W ·¸ » « VLQK§¨ W · »» °
¸
° « ¨ Lp ¸ » « ¨ Lp ¸ »°
¯ ¬ © ¹¼ ¬ © ¹ ¼¿
ª º
« »
§ W · « qV
qA
D p  p no
Lp
FRWK ¨ ¸ e EB
¨ Lp ¸ «
kT

 
 »
§ W · »»

© ¹ « FRVK¨ ¸
« ¨ Lp ¸ »
¬ © ¹¼ 

6LPLODUO\ZHFDQREWDLQ I Cp 

§ dp ·
I Cp   A¨¨  qD p n ¸¸
© dx x W ¹

­ ª  §W  x ·º ª  § x · º½
° « FRVK¨ ¸» «  FRVK¨ ¸ » °
° « Lp ¨ Lp ¸ » ¨ Lp ¸ »°
 A  qD p ®  pno  e qVEB kT
 « © ¹  p «  L p © ¹
» no « ¾
° « § W · » « § W · »» °
° VLQK¨ ¸ VLQK¨ ¸
« ¨ Lp ¸ » « ¨ Lp ¸ »°
¯ ¬ © ¹ ¼ ¬ © ¹ ¼¿ x W

­ ª º ª § W · º½ 
° « » « FRVK¨¨ ¸¸ » °
D p  pno ° qVEB «  » « © Lp ¹ »°
qA ® e kT
 «   ¾
Lp °
« VLQK§¨ W · »» «« § W · »» °
¸ VLQK ¨ ¸
° « ¨L ¸» « ¨ Lp ¸ »°
¯ ¬ © p ¹¼ ¬ © ¹ ¼¿
ª §W ·º
qA
D p  pno
Lp


§W · «¬

« e qVEB kT

 FRVK¨
¨ Lp
¸»
¸»
VLQK¨ ¸ © ¹¼
¨ Lp ¸
© ¹

 7KHWRWDOH[FHVVPLQRULW\FDUULHUFKDUJHFDQEHH[SUHVVHGE\

 
W
QB qA > pn x  pno @dx
³
W ª x º
³ « pno e qVEB  
kT
qA dx
 ¬ W »¼
W
x
qApno e qVEB kT
x  
W 
qAWpno e qVEB kT


qAWpn 



Wpn 
)URP)LJWKHWULDQJXODUDUHDLQWKHEDVHUHJLRQLV %\PXOWLSO\LQJWKLVYDOXH


E\qDQGWKHFURVVVHFWLRQDODUHDAZHFDQREWDLQWKHVDPHH[SUHVVLRQDV QB 

,Q3UREOHP

 u   ˜  u   ˜  u   ˜  u 


QB
 

 u  &

 ,Q(T


I C  a   e qVEB kT

 a 
qAD p  p n 
#
W
 D p  qAQp n  
W 
D p 
Q B 
W

7KHUHIRUHWKHFROOHFWRUFXUUHQWLVGLUHFWO\SURSRUWLRQDOWRWKHPLQRULW\FDUULHU

FKDUJHVWRUHGLQWKHEDVH

7KHEDVHWUDQVSRUWIDFWRULV

 
ª § W ·º

§W

« e qVEB kT  FRVK¨ ¸»
· «¬ ¨ L p ¸»

VLQK¨ ¸ © ¹¼
¨ Lp ¸
DT #
I Cp © ¹  
I Ep ª º
« »
§ W · « qV kT
FRWK¨ ¸« e
¨ Lp ¸
EB
 

§ W · »»
»

© ¹ « FRVK¨ ¸
« ¨ Lp ¸ »
¬ © ¹¼

)RU W L p    FRVK W L p #  7KXV


DT
§W · § ·
VLQK¨ ¸ ˜ FRWK¨ W ¸
¨ Lp ¸ ¨ Lp ¸
© ¹ © ¹
§W ·
VHFK ¨ ¸

¨ Lp ¸
© ¹

§W ·
 ¨ ¸
 ¨© L p ¸
¹

  W   L p 




 7KHFRPPRQHPLWWHUFXUUHQWJDLQLVJLYHQE\

D JD T
E {  
  D   JD T

6LQFH J #  

DT
E #
  DT

  W   Lp


>
  W 
 Lp  @ 
L p


W   

,I W L p   WKHQ E  #  L p

W  

 L p D pW p  ˜  u    u   FP PP 

7KHUHIRUHWKHFRPPRQHPLWWHUFXUUHQWJDLQLV

 
  u  


E  # L p W  

 u 
  



 ,QWKHHPLWWHUUHJLRQ


P pE .  . 
  . u   ˜  u 

DE . ˜ . FP V . 

,QWKHEDVHUHJLRQ


Pn   . 
  . u   ˜  u 

Dp . ˜. . FP V . 

,QWKHFROOHFWRUUHJLRQ


P pC .  . 
  . u   ˜  u 

DC . ˜ . . FP V . 

 ,QWKHHPLWWHUUHJLRQ

LE DEW E  ˜    u   FP 

p EO
ni

 u  
FP   
NE  u 

,QWKHEDVHUHJLRQ

Ln  ˜    u   FP 

n po
 u  
FP   
 u 

,QWKHFROOHFWRUUHJLRQ

LC  ˜    u   FP 

 
pCO
 u  
FP   

 u 

7KHHPLWWHUFXUUHQWFRPSRQHQWVDUHJLYHQE\

 u   ˜   ˜  ˜   


I En e  u   $ 
 u  

I Ep
 u   ˜   ˜  ˜   
 u  
e   u   $ 

+HQFHWKHHPLWWHUFXUUHQWLV

IE I En  I Ep  u   $ 

$QGWKHFROOHFWRUFXUUHQWFRPSRQHQWVDUHJLYHQE\

 u   ˜   ˜  ˜   


I Cn e  u   $ 
 u  

 u   ˜   ˜  ˜ 


I Cp  u   $ 
 u  

7KHUHIRUHWKHFROOHFWRUFXUUHQWLVREWDLQHGE\

IC I Cn  I Cp  u   $ 

 7KHHPLWWHUHIILFLHQF\FDQEHREWDLQHGE\

I En  u  
J  
IE  u  

7KHEDVHWUDQVSRUWIDFWRULV

I Cn  u  
DT  
I En  u  

7KHUHIRUHWKHFRPPRQEDVHFXUUHQWJDLQLVREWDLQHGE\

D JD T  u   

7KHYDOXHLVYHU\FORVHWRXQLW\

7KHFRPPRQHPLWWHUFXUUHQWJDLQLV

 
D 
E #  
D   

 D 7KHWRWDOQXPEHURILPSXULWLHVLQWKHQHXWUDOEDVHUHJLRQLV

QG ³
W

N AO e  x l dx
N AO l   e W l


 u  ˜  u    e 
u u
 
 u  FP 

E  $YHUDJHLPSXULW\FRQFHQWUDWLRQLV

QG  u 
W  u   
 
 u  FP 

 )RU N A  u  FP   Dn FP  V DQG

Ln DnW n  ˜    u   FP 

DT #  
W

 u
 
 
 Ln


  u  


 
J  

DE QG   u 
 ˜
Dn N E L E   ˜  

7KHUHIRUH

D JD T  

D
E  
D

 7KHPRELOLW\RIDQDYHUDJHLPSXULW\FRQFHQWUDWLRQRI  u  FP  LVDERXW

 FP  9V 7KHDYHUDJHEDVHUHVLVWLYLW\ U B LVJLYHQE\


UB :  FP 
qP n QG W

 
7KHUHIRUH

RB
 u   U B W  u   ˜   u   : 

)RUDYROWDJHGURSRI kT q 

kT
IB $ 
qRB

7KHUHIRUH

IC E  I B  ˜  $ 

 )URP)LJbDQG(TZHREWDLQ

I B P$  I C P$  E
'I C 
'I B

  

  

  

  

  

  

E  LV QRW D FRQVWDQW $W ORZ I B  EHFDXVH RI JHQHUDWLRQUHFRPELQDWLRQ FXUUHQW E  

LQFUHDVHVZLWKLQFUHDVLQJ I B $WKLJK I B  VEB LQFUHDVHVZLWK I B WKLVLQWXUQFDXVHVD

UHGXFWLRQ RI VBC VLQFH VEB  VBC VEC 9  7KH UHGXFWLRQ RI VBC FDXVHV D

ZLGHQLQJRIWKHQHXWUDOEDVHUHJLRQWKHUHIRUH E  GHFUHDVHV

7KH IROORZLQJ FKDUW VKRZV E  DV IXQFWLRQ RI I B  ,W LV REYLRXV WKDW E  LV QRW D

FRQVWDQW

 





E





      

,% P$

 &RPSDULQJWKHHTXDWLRQVZLWK(TJLYHV

I FO  a  D R I RO  a 

D F I FO  a DQG I RO  a   

+HQFH

a  
DF  
a W D E n EO
 ˜ ˜
LE D p p no

a 
DR  
a  W DC nCO
 ˜ ˜
LC D p p no

 ,QWKHFROOHFWRUUHJLRQ

LC   DCW C    ˜     u   FP 

nCO  ni


N C    u  

 u    u   FP   

)URP3UREOHPZHKDYH

 
 
DF  

W DE n EO
˜ ˜  u   
 ˜ ˜ 
LE D p p no     u  


 
DR 

W DC nCO
˜ ˜  u    u  
 ˜ ˜ 
LC D p pno  u     u  


§ D p pno DE n EO ·
I FO  a qA¨¨  ¸¸
© W LE ¹
§  ˜  u    ˜  ·
 u   ˜  u   ˜ ¨¨  ¸
©  u 

  ¸¹
 u   $

§ D p pno DC nCO ·
I RO  a  qA¨¨  ¸¸
© W LC ¹
§  ˜  u    ˜  u   ·
 u   ˜  u   ˜ ¨¨ 
 ¸¸ 
©  u   u   ¹
 u   $

7KHHPLWWHUDQGFROOHFWRUFXUUHQWVDUH


I E  I FO e qVEB kT

   D R I RO

 u   $


I C  D F I FO e qVEB kT

   I RO

 u   $

1RWHWKDWWKHVHFXUUHQWVDUHDOPRVWWKHVDPH QREDVHFXUUHQW IRU W L p   

 5HIHUULQJ(TWKHILHOGIUHHVWHDG\VWDWHFRQWLQXLW\HTXDWLRQLQWKHFROOHFWRUUHJLRQLV

ª d  n& x' º nC x'  n po


DC « »   
¬ dx'

¼ WC

7KHVROXWLRQLVJLYHQE\ LC DCW C 

nC x' Ce x' LC


 C  e  x' LC
 

$SSO\LQJWKHERXQGDU\FRQGLWLRQDW x' f \LHOGV

 
Ce f LC  C  e f LC  

+HQFH C  ,QDGGLWLRQIRUWKHERXQGDU\FRQGLWLRQDW x'  

C  e  LC C nC  

nC  
nCO e qVCB kT

   

7KHVROXWLRQLV

nC x nCO e qVCB kT

  e  x' LC
 

7KHFROOHFWRUFXUUHQWFDQEHH[SUHVVHGDV

§ dp · § dn ·
I C   A¨¨  qD p n ¸  A¨  qDC C
¸ ¨
¸
© dx x W ¹ © dx' x'  ¸¹

qA
D p  p no qVEB kT
e   qA¨¨
§ D p  p no DC nCO

· qVCB
¸ e
¸
kT
 
W © W LC ¹

a   e qVEB kT

 a   e qVCB kT

 

8VLQJ(TWKHEDVHWUDQVLWWLPHLVJLYHQE\

WB W 
D p
. u   
 u   V .    
 u 

:HFDQREWDLQWKHFXWRIIIUHTXHQF\

 f T # SW B  *+]   

)URP(TWKHFRPPRQEDVHFXWRIIIUHTXHQF\LVJLYHQE\

 u  
f D # f T D     *+] 


7KHFRPPRQHPLWWHUFXWRIIIUHTXHQF\LV

fE   D  f D   . u . u   0+] . 


1RWHWKDW f E FDQEHH[SUHVVHGE\ f E     D  f D     D  D  u f T   f T 
E

 1HJOHFWWKHWLPHGHOD\VRIHPLWWHUDQGFROOHFWRUWKHEDVHWUDQVLWWLPHLVJLYHQE\

 
 
 W B  u   V 
Sf T S u  u  

)URP(TWFDQEHH[SUHVVHGE\

 W  D pW B 

7KHUHIRUH

W  u  u  u  
   u   FP 
  PP

7KHQHXWUDOEDVHZLGWKVKRXOGEH—P

 'E g î # PH9

§ 'E g ·
 E o aH[S ¨¨ ¸
¸
© kT ¹

E o  q& §  PH9  PH9 ·


?  H[S ¨  ¸ 
E o  q& ©  k  k ¹

E  +%7 § E gE  E gB · ª E x   º
 H[S¨¨ ¸¸ H[S « gE »
E  %-7 © kT ¹ ¬  ¼

ZKHUH

E gE x    x x d 


 
    x  x  x d 

7KHSORWRI E  +%7 E  %-7 LVVKRZQLQWKHIROORZLQJJUDSK

 
 

1RWHWKDW E  +%7 LQFUHDVHVH[SRQHQWLDOO\ZKHQ[LQFUHDVHV

 7KH LPSXULW\ FRQFHQWUDWLRQ RI WKH n UHJLRQ LV  FP   7KH DYDODQFKH

EUHDNGRZQ YROWDJH IRU W ! Wm  LV ODUJHU WKDQ  9 Wm ! PP  )RU D

UHYHUVHEORFNYROWDJHRI9ZHFDQFKRRVHDZLGWKVXFKWKDWSXQFKWKURXJK

RFFXUVLH

qN DW 
VPT  
H s

7KXV

§ H sVPT ·
W ¨¨ ¸¸  u   FP 
© qN D ¹

:KHQVZLWFKLQJRFFXUV

D  D  #  

7KDWLV

§ J
Lp ·
D  OQ¨¨ ¸¸
© J
W ¹
   

§ J ·   u  
OQ¨¨ ¸¸
© J ¹   u   


 
7KHUHIRUH

J #  J   u   $ FP  

Is  u  
$UHD FP   
J  u  


,QWKH Q  S  Q  WUDQVLVWRUWKHEDVHGULYHFXUUHQWUHTXLUHGWRPDLQWDLQFXUUHQWFRQGXFWLRQLV

I   D  I K 
,QDGGLWLRQWKHEDVHGULYHFXUUHQWDYDLODEOHWRWKH Q  S  Q  WUDQVLVWRUZLWKDUHYHUVH
JDWHFXUUHQWLV

I D  I A  I g 

7KHUHIRUHZKHQXVHDUHYHUVHJDWHFXUUHQWWKHFRQGLWLRQWRREWDLQWXUQRIIRIWKHWK\ULVWRULV

JLYHQE\

I   I 

RU D  I A  I g    D  I K 

8VLQJ.LUFKKRII¶VODZZHKDYH

IK I A  I g 

7KXVWKHFRQGLWLRQIRUWXUQRQRIWKHWK\ULVWRULV
D  D   
Ig ! I A 
D
1RWHWKDWLIZHGHILQHWKHUDWLRRIIAWRIg DVWXUQRIIJDLQWKHQWKHPD[LPXPWXUQRII
JDLQ E PD[ LV
D
 E PD[ 
D  D   


 
&+$37(5








VG = VT


EC

EF
 \S
Ei
 = 2\B
EV








0(7$/ 2;,'( n7<3(6(0,&21'8&725

 


 EC
 Ei
EF EF
EC
E EV
i
E
V









 n+32/<6,/,&212;,'( p7<3(6(0,&21'8&725





VG = VFB = Ims

EC
Ei
Ims
EF
EV

n+32/<6,/,&212;,'( p7<3(6(0,&21'8&725
 


US(x)

QP

qND
-d
0 W x D 

QM

E (x)

G  0 W
E 
[

\ (x)

G  0 W F 
x
\s
V Vo

 
§ NA ·
H s kTOQ¨¨ ¸¸
 Wm  © ni ¹
qN A

§  u  ·
. u . u   u .OQ¨¨ 
¸
¸
 © . u  ¹
. u   u  u 
îFP  P P


H ox
 C PLQ 
d  H ox  H s Wm
Wm .P P )URP3URE

. u . u  


? C min . u   )FP
.
 u    u . u  
.


kT N A 
\ B OQ .OQ . 9 
q ni . u  
qN AW
(s DWLQWULQVLF\ s \ B 
Hs

H s\ s  u  u  u   u 


W  u   FP
qN A  u   u 

. u   u  u . u  


(s . u   9FP
. u . u  
Hs  .
ER EV  î î  î9FP
H R[  .

V Vo\ s ERd \ s  . u   u  u   
 9

 $WWKHRQVHWRIVWURQJLQYHUVLRQ\ s \ B  Ÿ VG=VT
qN AWm
WKXVVG \ B 
Co
§  u  ·
)URP3URE:P  NjP \ B OQ ¨¨ ¸ 9
 ¸
© . u  ¹

 
. u . u  
CR î)FP
 
. u   u  u  u . u  
?VG   9
. u  


    u   
 Qot
d ³

yq  dy
  
> u  u     @ 

 u&FP
Qot  u  
'V FB 
 u    9
Co  u 


 d
  Qot
d ³ 
yU ot y dy 

­f y  u  
U ot q u  u  G x ZKHUH G x ® 
¯  y z  u  


? Qot 
u  u  u  u   u  u   

 u&FP
Qot  u  
? 'VFB  9
C o  u  

 

u  u   u u  u      
 

  
 Qot
d ³

y q u  u   u y dy 

 u&FP
Qot  u  
? 'VFB  u    9
C o  u  


Qot q d
 'V FB u u N m ZKHUH1PLVWKHDUHDGHQVLW\RI4P
Co Co d
'VFB u C o  u  u  
Ÿ Nm  u  FP
q  u  

 

 6LQFH VD  VG  VT WKHILUVWWHUPLQ(TFDQEHDSSUR[LPDWHGDV
Z
P n C o ( VG  \ B )V D 
L
3HUIRUPLQJ7D\ORU¶VH[SDQVLRQRQWKHQGWHUPLQ(TZHREWDLQ
 
( V D  \ B ) /   ( \ B ) /  # ( \ B ) /   ( \ B ) /  V D  ( \ B ) /  ( \ B ) /  V D
 

(TXDWLRQFDQQRZEHUHZULWWHQDV

Z ª  H s qN A  º
ID # P n C o «( VG  \ B )V D  u \ B V D »
L «¬  Co  »¼

Z ­° ª H s qN A ( \ B ) º ½°
# P n C o ®VG  «\ B  » ¾V D 
L °̄ «¬ Co »¼ °¿
Z
# ( )P n C o ( VG  VT )V D
L

H s qN A ( \ B )
ZKHUH VT \ B  
Co

:KHQWKHGUDLQDQGJDWHDUHFRQQHFWHGWRJHWKHU VG V D DQGWKH026)(7LV
RSHUDWHGLQVDWXUDWLRQ V D ! V Dsat  I D FDQEHREWDLQHGE\VXEVWLWXWLQJ
VD V Dsat LQ(T

­°§ V  § H s qN A · ½
ID VD VG
Z ·
P n C o ®¨ Dsat  \ B ¸V Dsat  ¨
 ¨©
>
¸ V Dsat  \ B      \ B   
¸
@°¾
L °̄©  ¹ Co ¹ °¿


ZKHUH V Dsat LVJLYHQE\(T,QVHUWLQJWKHFRQGLWLRQ Qn y L  LQWR(T
\LHOGV

V Dsat H s qN A ( V Dsat  \ B )  \ B  VG 
Co
)RU VDsat  M B WKHDERYHHTXDWLRQUHGXFHVWR
H s qN A ( \ B )
VG VD  \ B VT 
Co
7KHUHIRUHDOLQHDUH[WUDSRODWLRQIURPWKHORZFXUUHQWUHJLRQWR I D  ZLOO\LHOG
WKHWKUHVKROGYROWDJHYDOXH



 
kT N ª  u  º
\ OQ( A ) OQ «  »
. 9
q ni ¬  u  ¼
H s qN A . u . u   u . u   u  u  
K{ 
Co . u  
    

§ V ·
?VDsat # VG  \ B  K  ¨    G ¸
¨ K ¸
© ¹


       >    @
 

 9
ZP n C o  u  u  u  
I Dsat VG  VT     
L  u
     u$

7KHGHYLFHLVRSHUDWHGLQOLQHDUUHJLRQVLQFH VD  9 VG  VT  9
wI D Z
7KHUHIRUH g d VG const 
P n C o VG  VT 
wV D L

 u  u  u   u  

  u6


wI D Z
  g m P n C oV D 
wVG V D const 
L

  u  u  u   u  

  u6


 H s qN A\ B 
 VT V FB  \ B  \ B  OQ 
Co  u  
Qf Eg  u   u  u 
V FB I   \ B  
ms
Co   u  
         9
  u  u   u  u  u  u  
? VT     
 u  

 
        
 9
 I ms FDQDOVREHREWDLQHGIURP)LJWREH±9 


qFB
     
 u  
 u  u  
FB  u  FP
 u  


Eg
 I ms  \ B     9


Qf  H s qN D\ B
VT I ms   \ B 
Co Co

  u  u   u  u   u  u 
     
 u  
    9


qFB
      
 u  
 u  u  
FB  u  FP
 u  


 7KHEDQGJDSLQGHJHQHUDWHO\GRSHG6LLVDURXQGH9GXHWR

EDQGJDSQDUURZLQJHIIHFW7KHUHIRUH
I ms     9
? VT         9



 
§  ·
 \ B  OQ¨¨ 
¸
¸  9
©  u  ¹
qQ f  H s qN A\ B
VT I ms   \ B 
Co Co
. u   u   . u . u   u  u . u . u  
.   . 
Co Co
. u  
. 
Co

 u  u    u  
Co 
d d
d u  u  
VT !  Ÿ !  
 u  
? d !  u   FP PP


 VT 9DWI d Nj $ 

§ ORJ I D  ORJ I D ·
6XEWKUHVKROGVZLQJ  ¨ ¸ 
VG VT VG 

¨ VT   ¸
© ¹

 
  
   ORJ I D VG 

 ORJ I D VG   ? I D VG   u   $ 


qH s N A

'VT
Co
\ B  V BS  \ B  


\B  OQ 9 
 u  
 u  u  
Co  u    )FP
 u   
'VT 9 LIZHZDQWWRUHGXFH ID DWVG E\RQHRUGHURIPDJQLWXGH

VLQFHWKHVXEWKUHVKROGVZLQJLVP9GHFDGH


 

 u  u   u  u  u   u 
 u   
  V BS    
?V BS 9

6FDOLQJIDFWRU N  

6ZLWFKLQJHQHUJ\ C ˜ A V  

H ox
Cc NC
d
A
Ac 
N
V
Vc
N
   
? VFDOLQJIDFWRUIRUVZLWFKLQJHQHUJ\ N ˜ ˜ 
N 
N 
N 

$UHGXFWLRQRIRQHWKRXVDQGWLPHV


)URP)LJZHKDYH

r j  '  r j  W m   W m
? '  'r j  W m r j 
'  r j  r j  W m r j 
L
L  '
L  L
 L  ' ' rj § ·
  ¨   W m  ¸
L L L L ¨© rj ¸
¹
)URP(TZHKDYH
VSDFHFKDUJHLQWKHWUDSH]DLGDOUHJLRQVSDFHFKDUJHLQWKHUHFWDQJXODUUHJLRQ
'V T
Co
qN AWm L  L
qN AWm r j § ·

qN AWm
 ¨   W  ¸
Co L Co C o L ¨© rj ¸
¹

3URV
 +LJKHURSHUDWLRQVSHHG
 +LJKGHYLFHGHQVLW\
&RQV
 0RUHFRPSOLFDWHGIDEULFDWLRQIORZ
 +LJKPDQXIDFWXULQJFRVW

 
7KHPD[LPXPZLGWKRIWKHVXUIDFHGHSOHWLRQUHJLRQIRUEXON026

H s kTOQ N A  ni
Wm 
qN A
 u  u   u  u OQ  u    u   

 u   u  u 
 u   FP
QP
)RU)'62, d si d Wm QP 


qN A d si
 VT V FB  \ B  
Co
Eg kT N  §  u  ·
V FB I ms   OQ A   OQ¨¨ ¸¸ 9
©  u 

 q ni  ¹
kT N
\ B u OQ A 9
q ni
  u  u   
Co  u    )FP 
 u   
 u   u  u   u  u   
?VT    
 u   
   
 9

qN A 'd si
 'VT 
Co

 u   u  u  u  u  


 u   
P9
7KXVWKHUDQJHRIVTLVIURP  9WR   9


7KHSODQDUFDSDFLWRU
H ox  u     u  u  
&  A  u   )
d  u   

)RUWKHWUHQFKFDSDFLWRU


 
$ î—P—P —P
& îî) î)

dV 
 I C  u   u  u   $
d  u   

Z
  g o P p C i Vo  VT 
L
î $    VT ?VT V 
î $     


 'V     9


H s qN A\ B
 VT V FB  \ B  
C

 u  
C  u  u   )FP 
 
§  ·
\ %  OQ¨¨ ¸ 9
 ¸
 ©  u  ¹ 
Qf Eg
V FB I ms   \ B  
 
    9
  u  u   u  u  u  u  
?VT    
 u  
        
 9
Qf  u  u  u  
'V FB  
C  u  
   9 
VT   
 9


 
&+$37(5

)URP(TWKHWKHRUHWLFDOEDUULHUKHLJKWLV

I Bn Im  F    H9 

:HFDQFDOFXODWHVnDV

kT N C  u 
 Vn OQ  OQ 9 
q ND  u 

7KHUHIRUHWKHEXLOWLQSRWHQWLDOLV

 Vbi I Bn  Vn    9 

 D )URP(T

d   C     u 


  u   FP  )  9 
dV 

 ª  º
 N D « »  u  FP  
qH s 
¬ d   C  dV ¼

kT N C §  u  ·
 Vn OQ  OQ¨¨ 
¸ 9 
¸
q ND ©  u  ¹

)URP)LJWKHLQWHUFHSWRIWKH*D$VFRQWDFWLVWKHEXLOWLQSRWHQWLDOVbi

ZKLFKLVHTXDOWR97KHQWKHEDUULHUKHLJKWLV

 I Bn Vbi  Vn 9 

E  J s  u  $FP  

A  $.FPIRUQ±W\SH*D$V

 J s A T  e  qI Bn  kT 

kT A T  ª  u   º
I Bn OQ  OQ «  »
H9 
q Js ¬  u  ¼

7KHEDUULHUKHLJKWIURPFDSDFLWDQFHLV9RUODUJHU

 
F )RUV ±9

H s Vbi  V R  u  u     


W
 qN D  u   u  u  
 u   FP PP

qN DW
 (m  u   9FP 
Hs

Hs
 C  u   )FP  
W

 7KHEDUULHUKHLJKWLV

I Bn Im  F    9 

kT N C §  u  ·
Vn OQ  u OQ¨¨ 
¸ 9 
¸
q ND ©  u  ¹

7KHEXLOWLQSRWHQWLDOLV

Vbi I Bn  Vn    9 

7KHGHSOHWLRQZLGWKLV

H s Vbi  V  u  u  u  


W u  ȝP 
qN D  u   u  u 

7KHPD[LPXPHOHFWULFILHOGLV

qN D  u  u  u  u  u  


(P ( x  W  u   9FP
Hs  u  u  


 7KHXQLWRI&QHHGVWREHFKDQJHGIURPP)WR)FPVR

& u±uVa FP) 

7KHUHIRUHZHREWDLQWKHEXLOWLQSRWHQWLDODW& 

 u 
  Vbi 9 
 u 

 
)URPWKHJLYHQUHODWLRQVKLSEHWZHHQCDQGVaZHREWDLQ

§  ·
d¨  ¸
 ©C ¹  u   FP) 9
dVa

)URP(T

 ª  º
ND
qH s «  »
 ¬ d   C  dV ¼ 
 §  ·
¨ ¸
 u   u  u  u   ©  u  ¹

   u  FP  

kT N C §  u  ·
 Vn OQ  OQ¨¨ 
¸ 9 
¸
q ND ©  u  ¹

:HFDQREWDLQWKHEDUULHUKHLJKW

 I Bn Vbi  Vn    9 

 7KHEXLOWLQSRWHQWLDOLV

kT N C
Vbi I Bn  OQ
q ND
§  u  ·
   OQ¨¨ 
¸
¸
©  u  ¹
  
9

7KHQWKHZRUNIXQFWLRQLV

Im I Bn  F
   
9

 7KHVDWXUDWLRQFXUUHQWGHQVLW\LV

 
§  qI Bn ·
Js A T  H[S¨ ¸
© kT ¹
§   ·
 u   u H[S¨ ¸
©  ¹
 u   $FP 
7KHLQMHFWHGKROHFXUUHQWGHQVLW\LV

qD p ni  u   u  u  u   
J po  u   $FP  
Lp N D  u   u  u 
+ROHFXUUHQW J po e qV  kT  
 
(OHFWURQFXUUHQW J s e qV  kT  
J po  u  
   u   
Js  u   

 7KHGLIIHUHQFHEHWZHHQWKHFRQGXFWLRQEDQGDQGWKH)HUPLOHYHOLVJLYHQE\

§  u  ·
Vn  OQ¨¨ 
¸ 9 
¸
©  u  ¹

7KHEXLOWLQSRWHQWLDOEDUULHULVWKHQ

 Vbi    9 

)RUDGHSOHWLRQPRGHRSHUDWLRQVTLVQHJDWLYH7KHUHIRUH)URP(TD

VT   V P  

qa  N D  u   a  u 


VP ! 
H s  u  u  u  
  

 u 

a  ! 
 u 

a !  u   FP ȝ P

 
 )URP(TZHREWDLQ

IP VP
gm V D 
V p VG  Vbi

ZP n H s VP
  VD 
aL Vbi

qN D a   u   u  u  u  u   


VP  9
H s  u  u  u  

 u   u  u  u  u   


? g m  u 
 u    u  u    

 u6 P6

 D 7KHEXLOWLQYROWDJHLV

§  u  ·
  Vbi I Bn  Vn    OQ¨¨ 
¸¸ 9
©  ¹

$W]HURELDVWKHZLGWKRIWKHGHSOHWLRQOD\HULV

H sVbi  u  u   u 


  W  
qN D  u   u 

   uFP

  —P

6LQFHWLVVPDOOHUWKDQ—PLWLVDGHSOHWLRQPRGHGHYLFH

E 7KHSLQFKRIIYROWDJHLV

qN D a   u   u   u  


  VP  9
H s  u  u  u  

 DQGWKHWKUHVKROGYROWDJHLV

VT = Vbi – VP ± ±9

 )URP(TEWKHSLQFKRIIYROWDJHLV

 
qN D a   u   u   u  
 VP 9
H s  u  u  u  

 7KHWKUHVKROGYROWDJHLV

VT = Vbi – Vp –  9

 DQGWKHVDWXUDWLRQFXUUHQWLVJLYHQE\(T

ZH s P n
 I Dsat VG  VT  
aL

 u   u  u  u   u 


  
     u    $
 u  u  u  u 

§  u  ·  u   u N D


 ±OQ ¨¨ ¸¸  
a   
© ND ¹  u  u  u 

 )RUND îFP


§  u  · 
 u  
 D  ¨¨    OQ ¸¸ 
© ND ¹ ND

 îFP —P

 )RUND îFP



 D îFP —P

)URP(TWKHSLQFKRIIYROWDJHLV

'EC
 VP I Bn   VT 
q

  ±± ± 

  9

 DQGWKHQ

qN D d  u   u  u  u d


 VP  9
H s  u  u  u  

 
 d1 îFP

 d1= QP

 7KHUHIRUHWKLVWKLFNQHVVRIWKHGRSHG$O*D$VOD\HULVQP

 7KHSLQFKRIIYROWDJHLV

qN D d  u   u  u  u  


 VP  9
H s  u  u  u   

7KHWKUHVKROGYROWDJHLV

'EC
 VT I Bn   VP 
q

  ±±

  ±9

:KHQns îFPZHREWDLQ

 u  u  


 ns  
u >   @  u  
 u  u   d    u 

DQGWKHQ

d0 

d0 QP

7KHWKLFNQHVVRIWKHXQGRSHGVSDFHULVQP

7KHSLQFKRIIYROWDJHLV

qN D d  u   u  u  u  u   


 VP 9
H s  u  u  u  

7KHEDUULHUKHLJKWLV

'EC
 I Bn VT   VP       9
q

 
 7KH'(*FRQFHQWUDWLRQLV

 u  u  


 ns u >   @  u  FP
 u   u      u  

 7KHSLQFKRIIYROWDJHLV

qN D d  u   u  u  u d


 VP  
H s  u  u  u   

 7KHWKLFNQHVVRIWKHGRSHG$O*D$VLV

 u  u  u  u  


 d îFP QP
 u   u 

'E C
 VT I Bn   VP       9
q

 7KHSLQFKRIIYROWDJHLV

qN D 
 VP d 
H s

 u   u  u 


 
 u  u  u  

 u  

9

 WKHWKUHVKROGYROWDJHLV

'EC
 VT I Bn  Vp 
q

  ±±

  ±9

 7KHUHIRUHWKHWZRGLPHQVLRQDOHOHFWURQJDVLV

 u  u  


 ns u >   @  u  FP
 u   u    u  

 


&+$37(5

L
   Z  
C

L C ˜ Z   u   u   Q+ 

  
c § m· § n· § p·
    fr ¨ ¸ ¨ ¸ ¨ ¸ 
 © a ¹ ©b¹ ©d ¹

c
      

    
 u  PV
u  *+]


   Vbi # E g  q  Vn  V p   9

H S § NA  ND ·
W ¨¨ ¸¸ Vbi  V
q © N AN D ¹
 u  u   §    ·
    ¨¨  ¸    
 ¸
 u   ©  u  ¹
  u   FP QP
HS  u  
C  u   )FP  
W  u  

§V · § V · § qV ·
 I I p ¨¨ ¸¸ H[S¨¨  ¸¸  I  H[S¨ ¸ 
© VP ¹ © VP ¹ © kT ¹

)URP)LJ:HQRWHWKDWWKHODUJHVWQHJDWLYHGLIIHUHQWLDOUHVLVWDQFHRFFXUVEHWZHHQVpVVV

7KHFRUUHVSRQGLQJYROWDJHFDQEHREWDLQHGIURPWKHFRQGLWLRQd2Id2V %\QHJOHFWLQJWKH

VHFRQGWHUPLQ(TZHREWDLQ
 
dI § I P I PV · § V ·
#¨  ¸¸ H[S¨¨  ¸¸
dV ¨© VP VP ¹ © VP ¹
d I §   I P I PV · § V ·
¨¨    ¸¸H[S¨¨  ¸¸ 
dV  © VP VP ¹ © VP ¹
?V VP  u  9 
dI ª    u  º §  ·
«  »H[S¨  ¸ 
dV
V
¬   ¼ ©  ¹



§ dI ·
R ¨ V ¸ :
© dV ¹

 W  W Ix W
I ³ I ³ AH S v S
 D RSC  '( dx dx 
 AH S v S


 u   
: 
  u     u   u  

E 7KHEUHDNGRZQYROWDJHIRUND FPDQGW PPLV9 5HIHUWR&KDSWHU 7KH

YROWDJHGXHWRRSC LV

IRSC  

u  u   u  9

7KHWRWDODSSOLHGYROWDJHLVWKHQ 9

 D 7KHGFLQSXWSRZHULV9 $  :)RUHIILFLHQF\WKHSRZHUGLVVLSDWHGDVKHDW

LV:   :

'T : u  o &:  o & 

E  'VB P9  o & u  o & 9

7KHEUHDNGRZQYROWDJHDWURRPWHPSHUDWXUHLV   9

 D )RUDXQLIRUPEUHDNGRZQLQWKHDYDODQFKHUHJLRQWKHPD[LPXPHOHFWULFILHOGLV
 
EP u9FP7KHWRWDOYROWDJHDWEUHDNGRZQDFURVVWKHGLRGHLV

§ qQ·
VB (m xA  ¨¨(m  ¸¸ W  xA
© HS ¹

§  u uu ·


  u  u  ¨¨  u  
¸¸   u 
© u ¹

    9

E 7KHDYHUDJHILHOGLQWKHGULIWUHJLRQLV


 u   9FP 
   u  
7KLVILHOGLVKLJKHQRXJKWRPDLQWDLQYHORFLW\VDWXUDWLRQLQWKHGULIWUHJLRQ

vS  
F  f  *+] 
 W  x A       

 D ,QWKHSOD\HU

qN  x
E x   (m   d x d b —P
Hs
qN b
E x  (m  E d x d W —P
Hs

E x VKRXOGEHODUJHUWKDQ9FPIRUYHORFLW\VDWXUDWLRQ

qN b
? (m  t  
Hs
qN b
RU EP t        u   1
Hs

7KLVHTXDWLRQFRXSOHGWKHSORWRIEPYHUVXV1LQ&KDSWHUJLYHV

N1 îFPIRUEP î9FP

 
(m  ( b    u   u  u  
?VB EW     u  u    
 

9

W  b    u  
E 7UDQVLWWLPHW   
î SV
vs 

 D )RUWUDQVLWWLPHPRGHZHUHTXLUHn0LtFP

n |   L    u    FP  

E t L/v  V SV

F 7KHWKUHVKROGILHOGIRU,Q3LVN9FPWKHFRUUHVSRQGLQJDSSOLHGYROWDJHLV

§  u   ·
V ¨¨


¸¸  u    9 
© ¹

7KHFXUUHQWLV

I JA qP n n( A  u 



u u  u  u  u   $

7KHSRZHUGLVVLSDWHGLQWKHGHYLFHLVWKHQ

P IV : 

 D 5HIHUULQJWR&KDSWHUZHKDYH

 
§ Sm nU kT · 
§m · 
N CU ¨ ¸ N CL ¨¨ nU ¸¸
© ! ¹ © m nL ¹


§ m  · 
  u  ¨¨ ¸¸  u  u   u  FP 
© m  ¹

E )RU7H .

 
N CU §  H9 ·
H[S 'E  kTe  u H[S¨ ¸  u H[S  
N CL ©  ¹ 
  u   

F )RU7H .

N CU §  H9 ·
H[S 'E  kTe u H[S¨¨ ¸¸ u H[S  
N CL ©     ¹ 
 

7KHUHIRUHDW7H .PRVWHOHFWURQVDUHLQWKHORZHUYDOOH\+RZHYHUDW7H .

LH  RIWKHHOHFWURQVDUHLQWKHXSSHUYDOOH\


 7KHHQHUJ\EQIRULQILQLWHO\GHHSTXDQWXPZHOOLV

h
En n 
m L

'E n
'L
hn

  L   E

m L
 E
'E n 'L 
L
? 'E PH9  'E  PH9 


 )URP)LJZHILQGWKDWWKHILUVWH[FLWHGHQHUJ\LVDWPH9DQGWKHZLGWKLVPH9)RU

VDPHHQHUJ\EXWDZLGWKRIPH9ZHXVHWKHVDPHZHOOWKLFNQHVVRIQPIRU*D$VEXWWKH

EDUULHUWKLFNQHVVPXVWEHUHGXFHGWRQPIRU$O$V

7KHUHVRQDQWWXQQHOLQJFXUUHQWLVUHODWHGWRWKHLQWHJUDWHGIOX[RIHOHFWURQVZKRVHHQHUJ\LVLQ

WKHUDQJHZKHUHWKHWUDQVPLVVLRQFRHIILFLHQWLVODUJH7KHUHIRUHWKHFXUUHQWLVSURSRUWLRQDOWR

WKHZLGWK 'E n DQGVXIILFLHQWO\WKLQEDUULHUVDUHUHTXLUHGWRDFKLHYHDKLJKFXUUHQWGHQVLW\

 

&+$37(5

 hv PP  H9 )URP(T 

D PP  uFP
7KHQHWLQFLGHQWSRZHURQWKHVDPSOHLVWKHWRWDOLQFLGHQWSRZHUPLQXVWKHUHIOHFWHGSRZHU

RUP:


    e  u

W
 u   

: PP

7KHSRUWLRQRIHDFKSKRWRQ¶VHQHUJ\WKDWLVFRQYHUWHGWRKHDWLV

hQ  E g   
 
hQ 

7KHDPRXQWRIWKHUPDOHQHUJ\GLVVLSDWHGWRWKHODWWLFHSHUVHFRQGLV

u P:

)RUO PPWKHFRUUHVSRQGLQJSKRWRQHQHUJ\LV


E  H9 
O
)URP)LJZHREWDLQ n  $O*D$V  
n 
VLQ T c  Ÿ T c  o c
n  

Efficiency
n n    FRV T c > @
 u  u    FRV  o c
n  n  
   

 

)URP(T

 

§ .   ·
  R ¨ ¸ . 
¨ ¸
© .   ¹

  D 7KHPLUURUORVV



 §·  §  ·
 OQ¨ ¸ 
OQ¨ ¸  FP
L ©R¹  u  ©  ¹

  E 7KHWKUHVKROGFXUUHQWUHGXFWLRQLV

J th R   J th R  R  


J th R 
ª  §  ·º ª  §  ·º
«D  L OQ¨ R ¸»  «D   L OQ¨¨ R R ¸¸»
¬ © ¹¼ ¬ ©   ¹¼
|
 §·
D  OQ¨ ¸
L ©R¹
 §  ·
  OQ¨ ¸
©  ˜  ¹ 

 ˜  u 
 
  


)URP(T

n
  VLQ T c Ÿ n n ˜ VLQ T c 
n

)URP(T


* #   H[S  C'n d   H[S   u   ˜    VLQ T c ˜  u   
 )RUTc Rn * 

Tc Rn * 

)URP(TZHKDYH
 
mO nL 

'LIIHUHQWLDWLQJWKHDERYHHTXDWLRQZLWKUHVSHFWWROZHREWDLQ

dm dn
O m L 
dO dO

6XEVWLWXWLQJ nL O IRUmDQGOHWWLQJGmGO 'm'O\LHOG

§  'm · n L dn
O¨ ¸ L
© 'O ¹ O dO
O 'm 
? 'O
ª § O ·§ dn ·º
n L «  ¨ ¸¨ ¸»
¬ © n ¹© dO ¹¼
DQG

 'O
  u   u    PP QP 
ª §  · º
  «  ¨ ¸  »
¬ ©  ¹ ¼

)URP(T


ª  §  ·º § n  ·
 g «D  OQ¨ ¸» DQG(T R ¨
¨
¸ 
¸
*¬ L © R ¹¼ © n  ¹

 5 5 

 ª §  ·º

g  o « 


OQ¨ ¸» 
 ¬  u  ©  ¹¼
 
 ª §  ·º
g  o
«
 ¬
 

 u  
OQ¨ ¸» 
©  ¹¼

 §  ·  §·
 OQ¨ ¸ OQ¨ ¸ 
 Lc © R ˜  ¹  u  
©R¹

 )RU5 

 §  ·  §  ·
 OQ¨ ¸ OQ¨ ¸ Ÿ Lc  PP
 L c ©  ˜  ¹  u  
©  ¹

 )RU5 

 
 §  ·  §  ·
 OQ¨ ¸ OQ¨ ¸ Ÿ Lc  PP
 Lc ©  ˜  ¹  u  
©  ¹

)URP(TD

 )RU5 

ª  §  ·º 
J th  u «  
OQ¨ ¸» $ FP 
¬  u  u  ©  u  ¹ ¼

 DQGVR I th  u  u   u  u   P$ 

 )RU5 

 Ev*ŸE u 

ª  §  ·º
 J th  u «  
OQ¨ ¸» $ FP   
¬  u  u  ©  u  ¹¼

 DQGVR I th  u  u   u  u   P$ 

)URPWKHHTXDWLRQZHKDYHIRUP 

 OB r n LO B # n LO o  D 

 ZKLFKFDQEHVROYHGDV

§  n L r n  L  n LO ·
 OB r¨ o ¸ E 
¨  ¸
© ¹

7KHUH DUH VHYHUDO YDULDWLRQV RI r LQ WKLV VROXWLRQV 7DNH WKH VROXWLRQ ZKLFK LV WKH RQO\

SUDFWLFDORQHLHO%|ORJLYHVO% RUPP

 d #   PP 


 u 

 
7KHWKUHVKROGFXUUHQWLQ)LJELVJLYHQE\

Ith I0H[S T 

7KHUHIRUH

[ {
 dI th
I th dT


 &
o 


,IT0 R&WKHWHPSHUDWXUHFRHIILFLHQWEHFRPHV

[


 &
o 


ZKLFKLVODUJHUWKDQWKDWIRUT0 R&7KHUHIRUHWKHODVHUZLWKT0 R&LVZRUVHIRU

KLJKWHPSHUDWXUHRSHUDWLRQ

 D 'I q PQPS 'nEA

'I
? 'n electron  hole pairs
q P n  P p (A

 u   
 FP  

 u         u  u   
E  W  u  
Ps 


§   ·
F  'n t 'n H[S  t W  H[S¨¨  ¸
 ¸
 u   FP
©  u  ¹

)URP(T

§   · §  ˜  u   ˜  ·


Ip q¨¨  ˜ ¸˜¨ ¸¸
 ©  u q ¸¹ ¨©  u    ¹

 u   P$

DQGIURP(T

 
P nW(  ˜  u   ˜ 
*DLQ  
L  u   

)URP(T


§Ip · § Popt · § Ip · § hQ · § hQ ·
K ¨ ¸˜¨ ¸ ¨ ¸˜¨ ¸¸ R ˜ ¨¨ ¸¸
¨ q ¸ ¨ hQ ¸ ¨ Popt ¸ ¨© q ¹ © q ¹
© ¹ © ¹ © ¹

7KHZDYHOHQJWKORIOLJKWLVUHODWHGWRLWVIUHTXHQF\Q E\Q FOZKHUHFLVWKHYHORFLW\RI

OLJKWLQYDFXXP7KHUHIRUH hQ T hFOTDQGh u-VF uFPVT 

u±FRXOH9 u±-

7KHUHIRUHh vT O PP 

7KXVK  5u ODQG5  KO 

7KHHOHFWULFILHOGLQWKHSOD\HULVJLYHQE\

qN  x
( x (m    d x d b 
Hs

ZKHUHEmLVWKHPD[LPXPILHOG,QWKHSOD\HUWKHILHOGLVHVVHQWLDOO\DFRQVWDQWJLYHQE\

qN  b
( x (m  b  x d W 
Hs

7KHHOHFWULFILHOGUHTXLUHGWRPDLQWDLQYHORFLW\VDWXUDWLRQRIKROHVLVa9FP

7KHUHIRUH

qN  b
(m  t   
Hs

RU

qN  b
(m t        u   N  
Hs

 


)URPWKHSORWRIWKHFULWLFDOILHOGYHUVXVGRSLQJWKHFRUUHVSRQGLQJEmDUHREWDLQHG

N1 uFP

Em u9FP

7KHELDVLQJYROWDJHLVJLYHQE\

VB
(m  E b
 (W

 u   u  u  
    u   
  
 9

7KHWUDQVLWWLPHLV

t|
W  b  u  
 u   SV 
Qs  

 D )RUDSKRWRGLRGHRQO\DQDUURZZDYHOHQJWKUDQJHFHQWHUHGDWWKHRSWLFDOVLJQDOZDYHOHQJWK

LVLPSRUWDQWZKHUHDVIRUDVRODUFHOOKLJKVSHFWUDOUHVSRQVHRYHUDEURDGVRODU

ZDYHOHQJWKUDQJHDUHUHTXLUHG

E 3KRWRGLRGHDUHVPDOOWRPLQLPL]HMXQFWLRQFDSDFLWDQFHZKLOHVRODUFHOOVDUHODUJHDUHD

GHYLFH

F $QLPSRUWDQWILJXUHRIPHULWIRUSKRWRGLRGHVLVWKHTXDQWXPHIILFLHQF\ QXPEHURI

HOHFWURQKROHSDLUVJHQHUDWHGE\LQFLGHQWSKRWRQ ZKHUHDVWKHPDLQFRQFHUQIRUVRODU

FHOOVLVWKHSRZHUFRQYHUVLRQHIILFLHQF\ SRZHUGHOLYHUHGWRWKHORDGSHULQFLGHQWVRODU

HQHUJ\ 

§  Dn  D p ·  Eg
AqN C N V ¨  ¸e kT
 D I s 
¨ NA Wn ND W p ¸¹
©

 
  u    u   u  u
§     ·  eV
¨ ¸ue
¨  u      u   u   
kT
¸
© ¹
 u    u   e   

 u   $
I I s e qV kT
 I L

I I L  I s e qV kT
 


9 
        9 
   
qV î î  î î    P$ 

I s e kT      
  
,/           P$ 

E  VOC kT § I L · §  u   ·
OQ¨ ¸  OQ¨¨ ¸ 9 
q ¨© I s ¸¹ ©  u 
 ¸
¹

F  P I sV e qV kT

   I LV 

dP

I s e qV kT

   Is
qV
e qV kT
 IL
 dV kT 
| Ise qV kT
  V  IL

IL
? e qV kT

 I s   V 

Vm . V

ª kT § qVn · kT º
I L «VOC  OQ¨  ¸
kT ¹ q »¼
Pm I mVm
¬ q ©
  u   >   OQ     @ 

 P:

 

, P$




    
9 9


)URP(TDQG

I
I s e qV / kT

   IL 
DQG

kT § I L · kT § I L ·
 Voc OQ¨¨  ¸¸ # OQ¨¨ ¸¸ 
q © Is ¹ q © Is ¹

 Is I L e  qV kT
 ˜ e  . . . u    $

 I    u   ˜ eV  DQGP I V


9 , 3
         
       
       
  
3 ZDWWV

          
       
          
        
           
            
        
         9  Y R OWV
        
?0D[LPXPSRZHURXWSXW :

 
)LOO)DFWRU

I mV m Pm 
FF {
 I LVoc I LVoc   u  


)URP)LJ

7KHRXWSXWSRZHUVIRURs DQGRs :FDQEHREWDLQHGIURPWKHDUHD

P1 Rs   P$u9 P:

P2 Rs :  P$u9 P:

?)RURs  P1P1 

)RURs : P2P1  

7KHHIILFLHQFLHVDUH VXQ  VXQ  VXQ DQG

 VXQ 

6RODUFHOOVQHHGHGXQGHUVXQFRQGLWLRQ

K FRQFHQWUDWLRQ u Pin FRQFHQWUDWLRQ


K   sun u Pin   sun
 u 
 FHOOV IRU  VXQ
   u  
 u 
 FHOOV IRU   VXQ
 u 
 u 
 FHOOV IRU   VXQ
 u 

 


 

&+$37(5


C FP

k $VLQ6L  

C6 kC MM k

u [  u l 

[      

l FP       

&6 FP  u u u u u u



1'  FP












     
O FP

 D 7KHUDGLXVRIDVLOLFRQDWRPFDQEHH[SUHVVHGDV


r a



VRr u  c


E 7KHQXPEHUVRI6LDWRPLQLWVGLDPRQGVWUXFWXUHDUH

6RWKHGHQVLW\RIVLOLFRQDWRPVLV
 
  n  u   DWRPVFP  
a c 

F 7KHGHQVLW\RI6LLV

 
M   u    u  u  
  U JFP   JFP
 n  u  

N IRUERURQLQVLOLFRQ

00 

7KHGHQVLW\RI6LLVJFP

7KHDFFHSWRUFRQFHQWUDWLRQIRUU :±FPLVuFP

7KHGRSLQJFRQFHQWUDWLRQ&6LVJLYHQE\

M k 
       Cs k  C    
M

7KHUHIRUH 

Cs  u 
C
M k        
k   
M
     

  u  FP  

7KHDPRXQWRIERURQUHTXLUHGIRUDNJFKDUJHLV


      u  u   u   ERURQDWRPV


6RWKDW

 u   DWRPV
     JPROH u JERURQ 
 u   DWRPVPROH

 D 7KHPROHFXODUZHLJKWRIERURQLV

7KHERURQFRQFHQWUDWLRQFDQEHJLYHQDV

 
QXPEHURI ERURQDWRPV
nb
YROXPHRI VLOLFRQZDIHU
 u   J  J u  u  
      
  u  u 
  u  DWRPVFP 

E 7KHDYHUDJHRFFXSLHGYROXPHRIHYHU\RQHERURQDWRPVLQWKHZDIHULV

 
     V FP  
nb  u 

:HDVVXPHWKHYROXPHLVDVSKHUHVRWKHUDGLXVRIWKHVSKHUH U LVWKH

DYHUDJHGLVWDQFHEHWZHHQWZRERURQDWRPV7KHQ

V
    r  u   FP 
S

7KHFURVVVHFWLRQDODUHDRIWKHVHHGLV


§  ·
S¨ ¸ FP  
©  ¹

7KHPD[LPXPZHLJKWWKDWFDQEHVXSSRUWHGE\WKHVHHGHTXDOVWKHSURGXFWRIWKH

FULWLFDO\LHOGVWUHQJWKDQGWKHVHHG¶VFURVVVHFWLRQDODUHD

       u   u   u   J NJ 

7KHFRUUHVSRQGLQJZHLJKWRIDPPGLDPHWHULQJRWZLWKOHQJWK lLV

§  ·
JFP S ¨ 
¸ l J 
      ©  ¹
? l FP P



:HKDYH

 


&V&R



     
)UDFWLRQ6ROLGLILHG

k 
§ M·
Cs  C k ¨¨ ¸¸ 
© M ¹
)UDFWLRQDO   
VROLGLILHG

   Cs C       f


 

7KHVHJUHJDWLRQFRHIILFLHQWRIERURQLQVLOLFRQLV,WLVVPDOOHUWKDQXQLW\VRWKHVROXELOLW\RI

%LQ6LXQGHUVROLGSKDVHLVVPDOOHUWKDQWKDWRIWKHPHOW7KHUHIRUHWKHH[FHVV%DWRPVZLOOEH

WKURZQRIILQWRWKHPHOWWKHQWKHFRQFHQWUDWLRQRI%LQWKHPHOWZLOOEHLQFUHDVHG7KHWDLOHQG

RIWKHFU\VWDOLVWKHODVWWRVROLGLI\7KHUHIRUHWKHFRQFHQWUDWLRQRI%LQWKHWDLOHQGRIJURZQ

FU\VWDOZLOOEHKLJKHUWKDQWKDWRIVHHGHQG

 7KH UHDVRQ LV WKDW WKH VROXELOLW\ LQ WKH PHOW LV SURSRUWLRQDO WR WKH WHPSHUDWXUH DQG WKH

WHPSHUDWXUHLVKLJKHULQWKHFHQWHUSDUWWKDQDWWKHSHULPHWHU7KHUHIRUHWKHVROXELOLW\LVKLJKHU

LQWKHFHQWHUSDUWFDXVLQJDKLJKHULPSXULW\FRQFHQWUDWLRQWKHUH

7KHVHJUHJDWLRQFRHIILFLHQWRI*DLQ6LLVu

)URP(T

     C s  C     k e  kx  L 

:HKDYH

 
L §  k ·
x OQ¨ ¸¸
k ¨©   C s  C  ¹
      §    u    ·
 OQ ¨ ¸¸
 u   ¨©    u    u  ¹
 OQ 
 FP

:HKDYHIURP(T

 Cs C>    ke H[S ke x  L @

6RWKHUDWLR Cs  C >    ke H[S ke x  L @ 

           x H[S  u  DW x  L  


       DWx/L 

)RUWKHFRQYHQWLRQDOO\GRSHGVLOLFRQWKHUHVLVWLYLW\YDULHVIURP:FPWR:FP7KH

FRUUHVSRQGLQJGRSLQJFRQFHQWUDWLRQYDULHVIURPuWRuFP7KHUHIRUHWKHUDQJHRI

EUHDNGRZQYROWDJHVRISQMXQFWLRQVLVJLYHQE\

H s (c 
VB # N B 
q

 u   u  u   

N B   u   N B WR9
 u  u 

'V B    9 

§ 'V ·
?¨ B ¸   r 
©  ¹

)RUWKHQHXWURQLUUDGLDWHGVLOLFRQU r:FP7KHGRSLQJFRQFHQWUDWLRQLVu

r 7KHUDQJHRIEUHDNGRZQYROWDJHLV

 
VB  u    N B  u     u   r
  
WR9

  'V B    9 

§ 'V ·
  ? ¨ B ¸   r 
©  ¹

:HKDYH

Ms ZHLJKWRI *D$VDW7E C m  Cl s

Ml ZHLJKWRI OLTXLGDW7E Cs  Cm l

 7KHUHIRUHWKHIUDFWLRQRIOLTXLGUHPDLQHGf FDQEHREWDLQHGDVIROORZLQJ

Ml l 
   f |  
Ms  Ml s  l   

  )URP WKH )LJ ZH ILQG WKH YDSRU SUHVVXUH RI $V LV PXFK KLJKHU WKDQ WKDW RI WKH *D

7KHUHIRUH WKH $V FRQWHQW ZLOO EH ORVW ZKHQ WKH WHPSHUDWXUH LV LQFUHDVHG 7KXV WKH

FRPSRVLWLRQRIOLTXLG*D$VDOZD\VEHFRPHVJDOOLXPULFK

ª   º
 n s N H[S  E s  kT  u   H[S H9  kT  u   H[S « »
¬ T   ¼

   u   FP  | DW  & . 

   u  FP  DW  & . 

   u  FP  DW  & . 

 n f NN C H[S  E f  kT 

  u   u  u   e eV  kT u e eV   kT  u   u e   T   

  u    DWR& .

 
 uDWR& .

u FKLSV

,QWHUPVRIOLWKRVWHSSHUFRQVLGHUDWLRQVWKHUHDUHPPVSDFHWROHUDQFHEHWZHHQWKHPDVN

ERXQGDU\ RI WZR GLFH  :H GLYLGH WKH ZDIHU LQWR IRXU V\PPHWULFDO SDUWV IRU FRQYHQLHQW

GLFLQJDQGGLVFDUGWKHSHULPHWHUSDUWVRIWKHZDIHU8VXDOO\WKHTXDOLW\RIWKHSHULPHWHU

SDUWVLVWKHZRUVWGXHWRWKHHGJHHIIHFWV


f

Q av
³
vf v dv kT

f
SM
³
f v dv

 
 
 § M · § MQ  ·
:KHUH fQ ¨ ¸ Q  H[S¨¨  ¸¸ 
S © kT ¹ © kT ¹

 00ROHFXODUPDVV

 N%ROW]PDQQFRQVWDQW u-N

 77KHDEVROXWHWHPSHUDWXUH

 Q6SHHGRIPROHFXODU

 6RWKDW

  u  u   u 


   Q av PVHF  u   FPVHF 
S  u  u   


 O FP 
P LQ3D
 
 ?P  u   3D 
O 

 )RUFORVHSDFNLQJDUUDQJHWKHUHDUHSLHVKDSHGVHFWLRQVLQWKHHTXLODWHUDOWULDQJOH(DFK

VHFWLRQFRUUHVSRQGVWRRIDQDWRP7KHUHIRUH


u
QXPEHURI DWRPVFRQWDLQHGLQWKHWULDQJOH  
  Ns
DUHDRI WKHWULDQJOH  
du d
 
 
   
d 
  u   

    u  DWRPVFP  

 GG

 
 D 7KHSUHVVXUHDWq& . LVu3DIRU*DDQG3DIRU$V7KH

DUULYDOUDWHLVJLYHQE\WKHSURGXFWRIWKHLPSULQJHPHQWUDWHDQG$S/

§ P ·§ A ·
   $UULYDOUDWH u ¨ ¸¨  ¸
© MT ¹© SL ¹

§  u   ·§  ·
  u ¨¨ ¸¸¨  ¸

©  u  ¹© S u  ¹

  u*DPROHFXOHVFP±V

7KHJURZWKUDWHLVGHWHUPLQHGE\WKH*DDUULYDOUDWHDQGLVJLYHQE\

 u u u  cV cPLQ

E  7KHSUHVVXUHDWž&IRUWLQLVu3D7KHPROHFXODUZHLJKWLV

7KHUHIRUHWKHDUULYDOUDWHLV

§  u   ·§  ·
   u   ¨¨ ¸¸¨  ¸
 u  PROHFXODUFP  ˜ s 
©  u  ¹© S u  ¹

,I6QDWRPVDUHIXOO\LQFRUSRUDWHGDQGDFWLYHLQWKH*DVXEODWWLFHRI*D$VZHKDYHDQ

HOHFWURQFRQFHQWUDWLRQRI

§  u  ·§  u   ·


  ¨¨ ¸¸¨¨ ¸¸  u  FP   
©  u 

¹©  ¹


7KHxYDOXHLVDERXWZKLFKLVREWDLQHGIURP)LJ


7KHODWWLFHFRQVWDQWVIRU,Q$V*D$V6LDQG*HDUHDQGcUHVSHFWLYHO\

$SSHQGL[) 7KHUHIRUHWKH f YDOXHIRU,Q$V*D$VV\VWHPLV

f      

$QGIRU*H6LV\VWHPLV

 
f      

 
&+$37(5


)URP(T ZLWKʣ  

x2+Ax Bt
 )URP)LJVDQGZHREWDLQB/A —PKUB —PKUWKHUHIRUHA 
—P7KHWLPHUHTXLUHGWRJURZ—PR[LGHLV

1 2 
   t (x  Ax)     u  KU PLQ 
B 

$IWHUDZLQGRZLVRSHQHGLQWKHR[LGHIRUDVHFRQGR[LGDWLRQWKHUDWHFRQVWDQWVDUH
B —PKU$ —P B/A î—PKU 

,IWKHLQLWLDOR[LGHWKLFNQHVVLVQP —PIRUGU\R[LGDWLRQWKHYDOXHRIʣ

FDQEHREWDLQHGDVIROORZHG

         ʣ 

RU

    ʣ KU

)RUDQR[LGDWLRQWLPHRIPLQ KU WKHR[LGHWKLFNQHVVLQWKHZLQGRZDUHD


LV

    x2+ x    
RU
    x —P QP JDWHR[LGH 

)RUWKHILHOGR[LGHZLWKDQRULJLQDOWKLFNQHVV—PWKHHIIHFWLYHʣ LVJLYHQE\

  
    ʣ x  Ax     u  KU 
B 

    x2+ x    


RUx —P DQLQFUHDVHRI—PRQO\IRUWKHILHOGR[LGH 

   [$[ % t  W 

 
A  A
   x   B t  W 
 

A ª A º
   x   B«  t  W » 
 ¬ B ¼
A
   ZKHQt!! W t!! 
B
   WKHQx %W
   VLPLODUO\
A
   ZKHQt !! W t!! 
B
B
  WKHQx  t  W 
A


$W濎 . DQGDWPB î—PKUB/A î—PKU IURP)LJV

DQG 6LQFHA 'kBA k&&& îPROHFXOHVFPDQG& î

FPWKHGLIIXVLRQFRHIILFLHQWLVJLYHQE\

Ak A § B C · B § C ·
D ¨ ˜ ¸¸ ¨ ¸¸
  ¨© A C  ¹  ¨© C  ¹
     u    u  
 PP   KU 
  u 
  u   PP   KU
  u   FP   V


 D )RU6L1[+\
6L 
 
1 x

î x 

 y
  DWRPLF +  
    y

    î y 

7KHHPSLULFDOIRUPXODLV6L1+

 

 E ʠ îHî îʈ FP

$VWKH6L1UDWLRLQFUHDVHVWKHUHVLVWLYLW\GHFUHDVHVH[SRQHQWLDOO\

 6HW7D2WKLFNQHVV WH 
  6L2WKLFNQHVV WH 
  6L1WKLFNQHVV WH DUHD $
 WKHQ
    H H  A
& 7D 2
 t
  W W W
 
 & 212 H H  A H H  A H H  A
H H H  A
 & 212
H   H  t

& 7D  2 H  H   H      u 
 
& 212 H  H   u  u 


 6HW
  %67WKLFNQHVV WH DUHD $
  6L2WKLFNQHVV WH DUHD $
  6L1WKLFNQHVV WH DUHD $
 WKHQ

H H  A H  H H  A

t H   H  t

$
 
$

 /HW
  7D2WKLFNQHVV WH 
  6L2WKLFNQHVV WH 
  6L1WKLFNQHVV WH 
  DUHD $
 WKHQ
H H  A H H  A
 t d 
H  t
d t
H



 
 7KHGHSRVLWLRQUDWHFDQEHH[SUHVVHGDV
   r r0H[S EDkT 
 ZKHUHEa H9IRUVLODQHR[\JHQUHDFWLRQ7KHUHIRUHIRUT1 .


r T ª §   · º
     H[S«¨¨  ¸¸ » 
r T ¬ © kT kT ¹ ¼

 ª§   · º


    OQ  «¨  ¸ » 
 ¬¨©  T ¸¹ ¼

    î T . 濎

 :H FDQ XVH HQHUJ\HQKDQFHG &9' PHWKRGV VXFK DV XVLQJ D IRFXVHG HQHUJ\
VRXUFHRU89ODPS$QRWKHU PHWKRGLVWRXVH ERURQGRSHGPJODVVZKLFKZLOO

UHIORZDWWHPSHUDWXUHVOHVVWKDQ濎


 0RGHUDWHO\ ORZ WHPSHUDWXUHV DUH XVXDOO\ XVHG IRU SRO\VLOLFRQ GHSRVLWLRQ DQG
VLODQHGHFRPSRVLWLRQRFFXUVDWORZHUWHPSHUDWXUHVWKDQWKDWIRUFKORULGHUHDFWLRQV
,Q DGGLWLRQ VLODQH LV XVHG IRU EHWWHU FRYHUDJH RYHU DPRUSKRXV PDWHULDOV VXFK
6L2

 7KHUH DUH WZR UHDVRQV 2QH LV WR PLQLPL]H WKH WKHUPDO EXGJHW RI WKH ZDIHU
UHGXFLQJGRSDQWGLIIXVLRQDQGPDWHULDOGHJUDGDWLRQ,QDGGLWLRQIHZHUJDVSKDVH
UHDFWLRQVRFFXUDWORZHUWHPSHUDWXUHVUHVXOWLQJLQVPRRWKHUDQGEHWWHUDGKHULQJ
ILOPV $QRWKHU UHDVRQ LV WKDW WKH SRO\VLOLFRQ ZLOO KDYH VPDOO JUDLQV 7KH ILQHU
JUDLQVDUHHDVLHUWRPDVNDQGHWFKWRJLYHVPRRWKDQGXQLIRUPHGJHV+RZHYHU
IRUWHPSHUDWXUHVOHVVWKDQž&WKHGHSRVLWLRQUDWHLVWRRORZ

 7KHIODWEDQGYROWDJHVKLIWLV

Q ot
'VFB  9a 
C

H ox  u  u  


C  u   )FP   
d  u  

 
î 1XPEHURIIL[HGR[LGHFKDUJHLV

C   u  u  


     u  FP  
q  u  

7R UHPRYH WKHVH FKDUJHV D 濎 KHDW WUHDWPHQW LQ K\GURJHQ IRU DERXW 

PLQXWHVLVUHTXLUHG

    VTV
7KHUHIRUHWKHUHVLVWDQFHRIWKHPHWDOOLQHLV
   u :

)RU7L6L
u QP
)RU&R6L
u QP


 )RU7L6L
$GYDQWDJH ORZUHVLVWLYLW\
,WFDQUHGXFHQDWLYHR[LGHOD\HUV
7L6L RQ WKH JDWH HOHFWURGH LV PRUH UHVLVWDQW WR
KLJKILHOGLQGXFHGKRWHOHFWURQGHJUDGDWLRQ
'LVDGYDQWDJHEULGJLQJHIIHFWRFFXUV
/DUJHU6LFRQVXPSWLRQGXULQJIRUPDWLRQRI7L6L
      /HVVWKHUPDOVWDELOLW\
)RU&R6L
$GYDQWDJH ORZUHVLVWLYLW\
      +LJKWHPSHUDWXUHVWDELOLW\
      1REULGJLQJHIIHFW
      $VHOHFWLYHFKHPLFDOHWFKH[LWV
      /RZVKHDUIRUFHV
   'LVDGYDQWDJHQRWDJRRGFDQGLGDWHIRUSRO\FLGHV

 
L 
 D  R U  u    u  u   :
A  u  u  u   



 HA HTL . u . u   u . u   u  u   u  
C . u   F
d S . u   

RC  u   u  u   QV 

/ 
E  R U  u    u  u   : 
A  u  u  u   


H$ HTL
 u  u   u  u   u 
C  u   ) 
G S  u   
 RC  u   u  u   QV

F :HFDQGHFUHDVHWKHRCGHOD\E\5DWLR   


L 
 D   R  U      u
 u        u   :
A  u  u  u  

 HA HTL  u  u   u  u   u  u 
C  u   )
 d S  u  

RC îîî QV

 
L 
E  R U  u    u  u   : 
A  u  u  u  


HA HTL  u  u   u  u   u  u 


 C  u   ) 
G S  u  
RC  u   u  u   QV

RC  u   u  u   QV

 D 7KHDOXPLQXPUXQQHUFDQEHFRQVLGHUHGDVWZRVHJPHQWVFRQQHFWHGLQVHULHV
 RUPP RIWKHOHQJWKLVKDOIWKLFNQHVV —P DQGWKHUHPDLQLQJ
PPLVIXOOWKLFNQHVV —P 7KHWRWDOUHVLVWDQFHLV

ª " "  º ª   º
R U«  »  u    «   
   »

¬ A A ¼ ¬ u   u  u  ¼

 ȍ

 
7KH OLPLWLQJ FXUUHQWI LV JLYHQ E\ WKH PD[LPXP DOORZHG FXUUHQW GHQVLW\ WLPHV
FURVVVHFWLRQDODUHDRIWKHWKLQQHUFRQGXFWRUVHFWLRQV

    I î$FPî îî  î$ P$
 7KHYROWDJHGURSDFURVVWKHZKROHFRQGXFWRULVWKHQ

    V RI : u  u   $ 9

 PP
 QP
PP

  &X
   $O
 QP

 hKHLJKWWZLGWKtWKLFNQHVVDVVXPHWKDWWKHUHVLVWLYLWLHVRIWKHFODGGLQJ
OD\HUDQG7L1DUHPXFKODUJHUWKDQ U A" and U Cu 
" "
 R Al U Al u  
h uW    u 
" "
 RCu U Cu u  
h uW   t u   t
 :KHQ R Al RCu 

 
 7KHQ 
 u    t 

Ÿ t PP QP




 

&+$37(5


 :LWKUHIHUHQFHWR)LJIRUFODVVFOHDQURRPZHKDYHDWRWDORISDUWLFOHVPZLWK
SDUWLFOHVL]HV t —P

u  SDUWLFOHVPZLWKSDUWLFOHVL]HV t —P


u  SDUWLFOHVPZLWKSDUWLFOHVL]HV t —P

7KHUHIRUH D  SDUWLFOHVPEHWZHHQDQG—P
  E  SDUWLFOHVPEHWZHHQDQG—P
  F   SDUWLFOHVPDERYH—P


 Y 3 e  D A 
n 

$ PP FP
Y e  u u e  u u e  u e   



 7KHDYDLODEOHH[SRVXUHHQHUJ\LQDQKRXULV
P:FPîV P-FP
)RUSRVLWLYHUHVLVWWKHWKURXJKSXWLV

 ZDIHUVKU 

)RUQHJDWLYHUHVLVWWKHWKURXJKSXWLV

 ZDIHUVKU 


 D 7KHUHVROXWLRQRIDSURMHFWLRQV\VWHPLVJLYHQE\
O Nj P
lm k   u  —P
NA 

O ª PP º
DOF k 
  «  »
—P
NA ¬  ¼

E  :H FDQ LQFUHDVH 1$ WR LPSURYH WKH UHVROXWLRQ :H FDQ DGRSW UHVROXWLRQ HQKDQFHPHQW

WHFKQLTXHV 5(7  VXFK DV RSWLFDO SUR[LPLW\ FRUUHFWLRQ 23&  DQG SKDVHVKLIWLQJ 0DVNV

 
360  :H FDQ DOVR GHYHORS QHZ UHVLVWV WKDW SURYLGH ORZHU N DQG KLJKHU N IRU EHWWHU

UHVROXWLRQDQGGHSWKRIIRFXV
F  360WHFKQLTXHFKDQJHVNWRLPSURYHUHVROXWLRQ

 D 8VLQJUHVLVWVZLWKKLJK J YDOXHFDQUHVXOWLQDPRUHYHUWLFDOSURILOHEXWWKURXJKSXWGHFUHDVHV

E  &RQYHQWLRQDOUHVLVWVFDQQRWEHXVHGLQGHHS89OLWKRJUDSK\SURFHVVEHFDXVHWKHVHUHVLVWV

KDYH KLJK DEVRUSWLRQ DQG UHTXLUH KLJK GRVH WR EH H[SRVHG LQ GHHS 89  7KLV UDLVHV WKH

FRQFHUQRIGDPDJHWRVWHSSHUOHQVORZHUH[SRVXUHVSHHGDQGUHGXFHGWKURXJKSXW

 D  $ VKDSHG EHDP V\VWHP HQDEOHV WKH VL]H DQG VKDSH RI WKH EHDP WR EH YDULHG WKHUHE\

PLQLPL]LQJ WKH QXPEHU RI IODVKHV UHTXLUHG IRU H[SRVLQJ D JLYHQ DUHD WR EH SDWWHUQHG

7KHUHIRUHDVKDSHGEHDPFDQVDYHWLPHDQGLQFUHDVHWKURXJKSXWFRPSDUHGWRD*DXVVLDQ

EHDP

 E  :HFDQPDNHDOLJQPHQWPDUNVRQZDIHUVXVLQJHEHDPDQGHWFKWKHH[SRVHGPDUNV:HFDQ

WKHQXVHWKHPWRGRDOLJQPHQWZLWKHEHDPUDGLDWLRQDQGREWDLQWKHVLJQDOIURPWKHVHPDUNV

IRUZDIHUDOLJQPHQW

;UD\OLWKRJUDSK\LVDSUR[LPLW\SULQWLQJOLWKRJUDSK\,WVDFFXUDF\UHTXLUHPHQWLVYHU\KLJK

WKHUHIRUHDOLJQPHQWLVGLIILFXOW

F  ;UD\OLWKRJUDSK\XVLQJV\QFKURWURQUDGLDWLRQKDVDKLJKH[SRVXUHIOX[VR;UD\KDVEHWWHU

WKURXJKSXWWKDQHEHDP

 D  7R DYRLG WKH PDVN GDPDJH SUREOHP DVVRFLDWHG ZLWK VKDGRZ SULQWLQJ SURMHFWLRQ SULQWLQJ

H[SRVXUH WRROV KDYH EHHQ GHYHORSHG WR SURMHFW DQ LPDJH IURP WKH PDVN  :LWK D 

SURMHFWLRQSULQWLQJV\VWHPLVPXFKPRUHGLIILFXOWWRSURGXFHGHIHFWIUHHPDVNVWKDQLWLVZLWK

DUHGXFWLRQVWHSDQGUHSHDWV\VWHP

E  ,WLVQRWSRVVLEOH7KHPDLQUHDVRQLVWKDW;UD\VFDQQRWEHIRFXVHGE\DQRSWLFDOOHQV:KHQ

LWLVWKURXJKWKHUHWLFOH6RZHFDQQRWEXLOGDVWHSDQGVFDQ;UD\OLWKRJUDSK\V\VWHP

 

 $VVKRZQLQWKHILJXUHWKHSURILOHIRUHDFKFDVHLVDVHJPHQWRIDFLUFOHZLWKRULJLQDWWKH

LQLWLDOPDVNILOPHGJH$VRYHUHWFKLQJSURFHHGVWKHUDGLXVRIFXUYDWXUHLQFUHDVHVVRWKDWWKH

SURILOHWHQGVWRDYHUWLFDOOLQH

 D VHF

î —P«  SODQH

î —P««  SODQH

î —P««  SODQH

Wb W  l    u   —P

 E VHF

î —P«  SODQH

î —P«  SODQH

î —P«  SODQH

 Wb W  l    u  —P

F  VHF

î —P«  SODQH

î —P«  SODQH

î —P«  SODQH

Wb W  l    u  —P

 8VLQJWKHGDWDLQ3UREWKHHWFKHGSDWWHUQSURILOHVRQ!6LDUHVKRZQLQEHORZ

D VHFl —P W Wb  —P

E VHFl —P W Wb  —P

F VHFl —P W Wb  —P


 


 ,IZHSURWHFWWKH,&FKLSDUHDV HJZLWK6L1OD\HU DQGHWFKWKHZDIHUIURPWKHWRSWKH


ZLGWKRIWKHERWWRPVXUIDFHLV

 W W  l    u   —P

7KHIUDFWLRQRIVXUIDFHDUHDWKDWLVORVWLV

 W   W  W  î  î 

,QWHUPVRIWKHZDIHUDUHDZHKDYHORVW

  î S     FP

$QRWKHUPHWKRGLVWRGHILQHPDVNLQJDUHDVRQWKHEDFNVLGHDQGHWFKIURPWKHEDFN7KHZLGWKRI
HDFKVTXDUHPDVNFHQWHUHGZLWKUHVSHFWRI,&FKLSLVJLYHQE\

  W W  l    u  —P

8VLQJWKLVPHWKRGWKHIUDFWLRQRIWKHWRSVXUIDFHDUHDWKDWLVORVWFDQEHQHJOLJLEO\VPDOO

3D P7RUU

39 Q57

î Q9îî

Q9 îPROHOLWHU îîî îFP

PHDQ±IUHH±SDWK

 O  u    3 FP îî FP —P

3D P7RUU

39  Q57

 
î Q9îî

Q9 îPROHOLWHU îîî îFP

PHDQIUHHSDWK

 O  u    3 FP îî FP —P

  Ea
6L(WFK5DWH QPPLQ  îî n F u T 
ue RT


u

     îîîî  
ue u


     QPPLQ

u


 6L2(WFK5DWH QPPLQ  î îî î   
ue u
 QPPLQ


(WFKVHOHFWLYLW\RI6L2RYHU6L   


   u


2UHWFKUDWH 6L2 HWFKUDWH 6L  ue  


 $ WKUHH±VWHS SURFHVV LV UHTXLUHG IRU SRO\VLOLFRQ JDWH HWFKLQJ  6WHS  LV D QRQVHOHFWLYH HWFK

SURFHVV WKDW LV XVHG WR UHPRYH DQ\ QDWLYH R[LGH RQ WKH SRO\VLOLFRQ VXUIDFH 6WHS  LV D KLJK

SRO\VLOLFRQHWFKUDWHSURFHVVZKLFKHWFKHVSRO\VLOLFRQZLWKDQDQLVRWURSLFHWFKSURILOH6WHSLVD

KLJKO\VHOHFWLYHSRO\VLOLFRQWRR[LGHSURFHVVZKLFKXVXDOO\KDVDORZSRO\VLOLFRQHWFKUDWH

 ,IWKHHWFKUDWHFDQEHFRQWUROOHGWRZLWKLQWKHSRO\VLOLFRQPD\EHHWFKHGORQJHURU

IRUDQHTXLYDOHQWWKLFNQHVVRIQP7KHVHOHFWLYLW\LVWKHUHIRUH

QPQP 

 $VVXPLQJDRYHUHWFKLQJDQGWKDWWKHVHOHFWLYLW\RI$ORYHUWKHSKRWRUHVLVWPDLQWDLQV7KH

PLQLPXPSKRWRUHVLVWWKLFNQHVVUHTXLUHGLV

 
 î—P —P QP

qB
 Z e 
me

 u   u B
 S u  u   
 u  

% î WHVOD 

 JDXVV 

7UDGLWLRQDO 5,( JHQHUDWHV ORZGHQVLW\ SODVPD  FP  ZLWK KLJK LRQ HQHUJ\ (&5 DQG ,&3

JHQHUDWHKLJKGHQVLW\SODVPD WRFP ZLWKORZLRQHQHUJ\$GYDQWDJHVRI(&5DQG

,&3 DUH ORZ HWFK GDPDJH ORZ PLFURORDGLQJ ORZ DVSHFWUDWLR GHSHQGHQW HWFKLQJ HIIHFW DQG

VLPSOHFKHPLVWU\+RZHYHU(&5DQG,&3V\VWHPVDUHPRUHFRPSOLFDWHGWKDQWUDGLWLRQDO5,(

V\VWHPV

7KHFRUURVLRQUHDFWLRQUHTXLUHVWKHSUHVHQFHRIPRLVWXUHWRSURFHHG7KHUHIRUHWKHILUVWOLQHRI

GHIHQVHLQFRQWUROOLQJFRUURVLRQLVFRQWUROOLQJKXPLGLW\/RZKXPLGLW\LVHVVHQWLDOHVSHFLDOO\LI

FRSSHUFRQWDLQLQJDOOR\VDUHEHLQJHWFKHG6HFRQGLVWRUHPRYHDVPXFKFKORULQHDVSRVVLEOH

IURPWKHZDIHUVEHIRUHWKHZDIHUVDUHH[SRVHGWRDLU)LQDOO\JDVHVVXFKDV&)DQG6)FDQEH

XVHGIRUIOXRULQHFKORULQHH[FKDQJHUHDFWLRQVDQGSRO\PHULFHQFDSVXODWLRQ7KXV$O&OERQGV

DUHUHSODFHGE\$O)ERQGV:KHUHDV$O&OERQGVZLOOUHDFWZLWKDPELHQWPRLVWXUHDQGVWDUWWKH

FRUURVLRQSURFHVV$O)ERQGVDUHYHU\VWDEOHDQGGRQRWUHDFW)XUWKHUPRUHIOXRULQHZLOOQRW

FDWDO\]HDQ\FRUURVLRQUHDFWLRQV



 
&+$37(5
  

(D ERURQ  H9' FPVHF

 Ea §   · 
¸  u  FP V 

)URP(T D D H[S  H[S¨ 
kT ©  u  u  ¹

 L Dt  u   u   u   FP 

x § x ·
 )URP(T C x C s HUIF  u   HUIF¨  ¸

L ©  u  ¹

,I x  C   u   DWRPVFP  x î& î  î

DWRPVFPx î& î  îDWRPVFP x î

&   îDWRPVFP

x î& î  îDWRPVFP

C sub
7KH x j  Dt  HUIF  PP 
Cs

7RWDODPRXQWRIGRSDQWLQWURGXFHG 4 W 


Cs L  u  DWRPVFP
S

§  Ea · §   ·
 D D H[S¨ ¸  H[S¨  ¸  u   FP  V 
© kT ¹ ©  u  u  ¹

S
)URP(T C S C  t  u  DWRPVFP  
SDt

§ x · § x ·
C x C S HUIF¨ ¸  u  HUIF¨  ¸

© L ¹ ©  u  ¹

,Ix &   îDWRPVFPx î&   îDWRPVFP

x î& î  îDWRPVFPx î& î  î

 
DWRPVFP

x î& î  îDWRPVFPx î& î  î

DWRPVFP

x î& î  îDWRPVFPx î& î  î

DWRPVFP

x î& î  îDWRPVFP

S
7KH x j  Dt OQ PP 
C B SDt

§   ·
  u   u  H[S¨¨ ¸
 ¸
©  u  u  t ¹

W V PLQ

S
)RUWKHFRQVWDQWWRWDOGRSDQWGLIIXVLRQFDVH(TJLYHV C S 
SDt

 S  u  S u  u   u   u  DWRPVFP  

7KHSURFHVVLVFDOOHGWKHUDPSLQJRIDGLIIXVLRQIXUQDFH)RUWKHUDPSGRZQVLWXDWLRQWKH

IXUQDFHWHPSHUDWXUH7LVJLYHQE\

7 7UW

ZKHUH7LVWKHLQLWLDOWHPSHUDWXUHDQGULVWKHOLQHDUUDPSUDWH7KHHIIHFWLYH'WSURGXFW

GXULQJDUDPSGRZQWLPHRIWLVJLYHQE\

t
Dt eff ³ 
D t dt 

,QDW\SLFDOGLIIXVLRQSURFHVVUDPSLQJLVFDUULHGRXWXQWLOWKHGLIIXVLYLW\LV

QHJOLJLEO\VPDOO7KXVWKHXSSHUOLPLWWFDQEHWDNHQDVLQILQLW\

 
   rt
|     
T T  rt T T

DQG

 Ea ª  Ea º  Ea  rE a t  rE a t
D H[S§¨ · rt
D ¸ D H[S «     » D H[S H[S  | D T H[S
© kT ¹ ¬ kT T ¼ kT kT

kT


ZKHUH' 7 LVWKHGLIIXVLRQFRHIILFLHQWDW76XEVWLWXWLQJWKHDERYHHTXDWLRQLQWRWKH

H[SUHVVLRQIRUWKHHIIHFWLYH'tSURGXFWJLYHV


f  rE a t kT
Dt eff | ³ D T H[S 
dt D T 
 rE a
kT

7KXVWKHUDPSGRZQSURFHVVUHVXOWVLQDQHIIHFWLYHDGGLWLRQDOWLPHHTXDOWRN7U(DDWWKH

LQLWLDOGLIIXVLRQWHPSHUDWXUH7

)RUSKRVSKRUXVGLIIXVLRQLQVLOLFRQDWq&ZHKDYHIURP)LJ

D T0  ' .  îFPV

  
r  K  s 
 u 

Ea H9

7KHUHIRUHWKHHIIHFWLYHGLIIXVLRQWLPHIRUWKHUDPSGRZQSURFHVVLV

  
kT  u  


s |  PLQ 
rE
a
  u  u 




)RUORZFRQFHQWUDWLRQGULYHLQGLIIXVLRQWKHGLIIXVLRQLVJLYHQE\*DXVVLDQGLVWULEXWLRQ

7KHVXUIDFHFRQFHQWUDWLRQLVWKHQ

S S § E ·
 C  t H[S¨ a ¸ 
SDt SD t © kT ¹

 
dC S § E ·§  t    · C
H[S¨ a ¸¨¨ ¸¸  u 
dt SD © kT ¹©  ¹ t

dC dt
RU  u 
C t

ZKLFKPHDQVFKDQJHLQGLIIXVLRQWLPHZLOOLQGXFHFKDQJHLQVXUIDFH

FRQFHQWUDWLRQ

dC S § E ·§  E a · Ea
H[S¨ a ¸¨ ¸ C 
dT SD t 
© kT ¹© kT ¹ kT 

dC E dT   u  u   dT dT


RU a
u  
u  u 
C  kT T  u  u  u  T T

ZKLFKPHDQVFKDQJHLQGLIIXVLRQWHPSHUDWXUHZLOOFDXVHFKDQJHLQ

VXUIDFHFRQFHQWUDWLRQ

$Wq&QL îFP7KHUHIRUHWKHGRSLQJSURILOHIRUDVXUIDFHFRQFHQWUDWLRQ

RIîFPLVJLYHQE\WKH³LQWULQVLF´GLIIXVLRQSURFHVV

§ x ·
C x t C s HUIF¨¨ ¸¸ 
©  Dt ¹

ZKHUH&V îFPW KU VDQG' [FPV7KHGLIIXVLRQ

OHQJWKLVWKHQ

Dt  u   FP  PP 

§ x ·
7KHGLVWULEXWLRQRIDUVHQLFLV C x  u  HUIF¨  ¸

©  u  ¹

7KHMXQFWLRQGHSWKFDQEHREWDLQHGDVIROORZV

§ xj ·
  u  HUIF¨¨ 
¸¸ 
©  u  ¹

xj îFP PP

 


$Wq&ni îFP)RUDVXUIDFHFRQFHQWUDWLRQRIîFPJLYHQE\

WKH³H[WULQVLF´GLIIXVLRQSURFHVV

 Ea uu 
n  u 
u u  u   FP  V 
 
D D e kT
e u u
ni  u 

xj  Dt   u   u   u   FP QP 

   ,QWULQVLF GLIIXVLRQ LV IRU GRSDQW FRQFHQWUDWLRQ ORZHU WKDQ WKH LQWULQVLF FDUULHU

FRQFHQWUDWLRQ ni DW WKH GLIIXVLRQ WHPSHUDWXUH ([WULQVLF GLIIXVLRQ LV IRU GRSDQW

FRQFHQWUDWLRQKLJKHUWKDQni

)RULPSXULW\LQWKHR[LGDWLRQSURFHVVRIVLOLFRQ

HTXLOLEULXPFRQFHQWUDWLRQRI LPSXULW\LQVLOLFRQ
 VHJUHJDWLRQFRHIILFHLQW   
HTXLOLEULXPFRQFHQWUDWLRQRI LPSXULW\LQ6L2 

 u  
 N  
 u  

Ă  Ă qFBCi

Hs  u  u  


 C ox  u    
d   

 u  
FB 
u   u  FP   
 u 

 
t  u  u  u   
S  

WKHLPSODQWWLPHt V

7KHLRQGRVHSHUXQLWDUHDLV

It  u   u  u 

N q  u    u  LRQVFP  
A A 
S u 


 
)URP(TDQG([DPSOHWKHSHDNLRQFRQFHQWUDWLRQLVDWx Rp)LJXUH

LQGLFDWHVWKHVSLVQP

7KHUHIRUHWKHLRQFRQFHQWUDWLRQLV

S  u 
 u  FP  
V p S  u  
S

 )URP)LJWKHRp QPDQGVS QP

7KHSHDNFRQFHQWUDWLRQLV

S  u 
 u   FP  
V p S  u   S

)URP(T

ª  x j  Rp  º
 
 u  H[S «

»
V p

«¬ »¼

xj PP

Q C  'VT  u  u   u 


'RVHSHUXQLWDUHD   u  FP   
q q  u   u  u  

)URP)LJDQG([DPSOHWKHSHDNFRQFHQWUDWLRQRFFXUVDWQPIURPWKH

VXUIDFH$OVRLWLVDW   QPIURPWKH6L6L2LQWHUIDFH

7KHWRWDOLPSODQWHGGRVHLVLQWHJUDWHGIURP(T

f S ª  x  Rp  º S ­° ª R p º ½° S S
QT ³
Vp S
H[S «
«¬ V p

» dx
»¼
® 
 °̄ «¬
«  HUIF » ¾
V p  »¼ °¿ 
>  HUIF  @

u 

7KHWRWDOGRVHLQVLOLFRQLVDVIROORZV d QP 

f S ª  x  Rp  º S ­° ª R p  d º ½° S S
Q Si ³
d
Vp S
H[S «
«¬ V p

» dx
»¼
® 
 °̄ «¬
«  HUIF » ¾
V p  »¼ °¿ 
>  HUIF  @

u 

 


WKHUDWLRRIGRVHLQWKHVLOLFRQ QSiQT 

  

7KHSURMHFWHGUDQJHLVQP VHH)LJ 

7KHDYHUDJHQXFOHDUHQHUJ\ORVVRYHUWKHUDQJHLVH9QP )LJ 

î H9 HQHUJ\ORVVRIERURQLRQSHUHDFKODWWLFHSODQH 

WKHGDPDJHYROXPH 9' S QP  QP  îFP

WRWDOGDPDJHOD\HU  

GLVSODFHGDWRPIRURQHOD\HU  

GDPDJHGHQVLW\ 9' îFP

îî 

7KHKLJKHUWKHWHPSHUDWXUHWKHIDVWHUGHIHFWVDQQHDORXW$OVRWKHVROXELOLW\RI

HOHFWULFDOO\DFWLYHGRSDQWDWRPVLQFUHDVHVZLWKWHPSHUDWXUH

Q
  'Vt 9 
C ox
ZKHUH Q LV WKH DGGLWLRQDO FKDUJH DGGHG MXVW EHORZ WKH R[LGHVHPLFRQGXFWRU
VXUIDFH E\ LRQ LPSODQWDWLRQ COX LV D SDUDOOHOSODWH FDSDFLWDQFH SHU XQLW DUHD
Hs
JLYHQE\ C ox 
d
GLVWKHR[LGHWKLFNQHVV H s LVWKHSHUPLWWLYLW\RIWKHVHPLFRQGXFWRU 

9 u  u  u   )FP &


 Q 'Vt C ox 
  u   
 u  FP FP 

 u  
  
îLRQVFP
 u 

 u 
7RWDOLPSODQWGRVH  îLRQVFP


 
7KHGLVFXVVLRQVKRXOGPHQWLRQPXFKRI6HFWLRQ'LIIXVLRQIURPDVXUIDFHILOP

DYRLGV SUREOHPV RI FKDQQHOLQJ 7LOWHG EHDPV FDQQRW EH XVHG EHFDXVH RI

VKDGRZLQJ SUREOHPV ,I ORZ HQHUJ\ LPSODQWDWLRQ LV XVHG SHUKDSV ZLWK

SUHDPRUSKL]DWLRQ E\ VLOLFRQ WKHQ WR NHHS WKH MXQFWLRQV VKDOORZ 57$ LV DOVR

QHFHVVDU\


)URP(T

Sd  §    ·
HUIF¨ ¸  
S  ©   ¹

7KHHIIHFWLYHQHVVRIWKHSKRWRUHVLVWPDVNLVRQO\

Sd  §    ·
HUIF¨ ¸  
S  ©   ¹

7KHHIIHFWLYHQHVVRIWKHSKRWRUHVLVWPDVNLV


2
e -u
  T    
 S u

?u  

d Rp V p î —P

 
&+$37(5

 (DFK8VKDSHVHFWLRQ UHIHUWRWKHILJXUH KDVDQDUHDRIPPîPP î

PP7KHUHIRUHWKHUHDUH  î 8VKDSHGVHFWLRQ(DFKVHFWLRQ

FRQWDLQVORQJOLQHVZLWKVTXDUHVHDFKFRUQHUVTXDUHVERWWRPVTXDUH

DQGKDOIVTXDUHVDWWKHWRS7KHUHIRUHWKHUHVLVWDQFHIRUHDFKVHFWLRQLV

N:ː  îî  N:

7KHPD[LPXPUHVLVWDQFHLVWKHQ

î î: 0:

7KHDUHDUHTXLUHGRQWKHFKLSLV

Cd  u    u  
A  u   FP  
H ox  u  u  

 


îPP îPP

5HIHUWR)LJDDQGXVLQJQHJDWLYHSKRWRUHVLVWRIDOOOHYHOV

D ,RQLPSODQWDWLRQPDVN IRUSLPSODQWDWLRQDQGJDWHR[LGH 

E &RQWDFWZLQGRZV îPP 

F 0HWDOOL]DWLRQPDVN XVLQJ$OWRIRUPRKPLFFRQWDFWLQWKHFRQWDFWZLQGRZDQG

IRUPWKH026FDSDFLWRU 

%HFDXVHRIWKHUHJLVWUDWLRQHUURUVDQDGGLWLRQDOPPLVLQFRUSRUDWHGLQDOOFULWLFDO

GLPHQVLRQV

 


,IWKHVSDFHEHWZHHQOLQHVLVPPWKHQWKHUHLVPPIRUHDFKWXUQ LHîQIRURQH

WXUQ $VVXPHWKHUHDUHQWXUQVIURP(TL|P0n2r|în2rZKHUH rFDQEH

UHSODFHGE\îQ7KHQZHFDQREWDLQWKDWQLV

 


 D 0HWDO E FRQWDFWKROH F 0HWDO

D 0HWDO

E FRQWDFWKROH



F 0HWDO

 


7KHFLUFXLWGLDJUDPDQGGHYLFHFURVVVHFWLRQRIDFODPSHGWUDQVLVWRUDUHVKRZQLQ D 

DQG E UHVSHFWLYHO\

  D 7KHXQGRSHGSRO\VLOLFRQLVXVHGIRULVRODWLRQ

E 7KHSRO\VLOLFRQLVXVHGDVDVROLGSKDVHGLIIXVLRQVRXUFHWRIRUPWKH

H[WULQVLFEDVHUHJLRQDQGWKHEDVHHOHFWURGH

F 7KHSRO\VLOLFRQLVXVHGDVDVROLGSKDVHGLIIXVLRQVRXUFHWRIRUPWKHHPLWWHUUHJLRQ

DQGWKHHPLWWHUHOHFWURGH

 D )RUNH9ERURQRp QPDQG'Rp QP$VVXPLQJWKDWRpDQG'RpIRU

 
ERURQDUHWKHVDPHLQ6LDQG6L2WKHSHDNFRQFHQWUDWLRQLVJLYHQE\

S  u 
 u  FP  
S 'R p S  u  

7KHDPRXQWRIERURQLRQVLQWKHVLOLFRQLV

Q f S ª x  R p  º
q ³
d
S 'R p
H[S « 
«¬  'R p
»dx
»¼

Sª § Rp  d ·º
«  HUIF¨ ¸»
« ¨  'R ¸» 
¬ © p ¹¼
 u  ª §  ·º
«  HUIF¨ ¸»
 ¬ ©  u  ¹¼
 u  FP  

$VVXPHWKDWWKHLPSODQWHGERURQLRQVIRUPDQHJDWLYHVKHHWFKDUJHQHDUWKH6L6L2

LQWHUIDFHWKHQ

§Q ·  u   u  u 


'VT q¨¨ ¸¸  C ox  9
©q¹  u  u     u   

E )RUNH9DUVHQLFLPSODQWDWLRQRp QPDQG' Rp QP7KHSHDNDUVHQLF

S 
FRQFHQWUDWLRQLV  u   FP   
S 'R p S u  u  

 


 D %HFDXVH  RULHQWHGVLOLFRQKDVORZHU aRQHWHQWK LQWHUIDFHWUDSSHGFKDUJHDQGD

ORZHUIL[HGR[LGHFKDUJH

E   ,I WKH ILHOG R[LGH LV WRR WKLQ LW PD\ QRW SURYLGH D ODUJH HQRXJK WKUHVKROG

YROWDJHIRUDGHTXDWHLVRODWLRQEHWZHHQQHLJKERULQJ026)(7V

F 7KHW\SLFDOVKHHWUHVLVWDQFHRIKHDYLO\GRSHGSRO\VLOLFRQJDWHLVWR

:ː ZKLFKLVDGHTXDWHIRU026)(7VZLWKJDWHOHQJWKVODUJHUWKDQPP)RU

VKRUWHUJDWHVWKHVKHHWUHVLVWDQFHRISRO\VLOLFRQLVWRRKLJKDQGZLOOFDXVHODUJH5&

 
GHOD\V:HFDQXVHUHIUDFWRU\PHWDOV HJ0R RUVLOLFLGHVDVWKHJDWHPDWHULDOWR

UHGXFHWKHVKHHWUHVLVWDQFHWRDERXW:ː 

G  $VHOIDOLJQHGJDWHFDQEHREWDLQHGE\ILUVWGHILQLQJWKH026JDWHVWUXFWXUHWKHQ

XVLQJ WKH JDWH HOHFWURGH DV D PDVN IRU WKH VRXUFHGUDLQ LPSODQWDWLRQ 7KH

VHOIDOLJQHGJDWHFDQPLQLPL]HSDUDVLWLFFDSDFLWDQFHFDXVHGE\WKHVRXUFHGUDLQ

UHJLRQV H[WHQGLQJ XQGHUQHDWK WKH JDWH HOHFWURGH GXH WR GLIIXVLRQ RU

PLVDOLJQPHQW 

H  3JODVVFDQEHXVHGIRULQVXODWLRQEHWZHHQFRQGXFWLQJOD\HUVIRUGLIIXVLRQDQG

LRQLPSODQWDWLRQPDVNVDQGIRUSDVVLYDWLRQWRSURWHFWGHYLFHVIURPLPSXULWLHV

PRLVWXUHDQGVFUDWFKHV

7KHORZHULQVXODWRUKDVDGLHOHFWULFFRQVWDQW HH DQGDWKLFNQHVVd QP7KH

XSSHULQVXODWRUKDVDGLHOHFWULFFRQVWDQWHH DQGDWKLFNQHVVd QP8SRQ

DSSOLFDWLRQRIDSRVLWLYHYROWDJHVGWRWKHH[WHUQDOJDWHHOHFWULFILHOG EDQG EDUH

HVWDEOLVKHGLQWKHdDQGdUHVSHFWLYHO\:HKDYHIURP*DXVV¶ODZWKDWHE HEQ

DQGVG EdEd

ZKHUHQLVWKHVWRUHGFKDUJHRQWKHIORDWLQJJDWH)URPWKHVHDERYHWZRHTXDWLRQVZH

REWDLQ 

VG Q
   (  
d  d  H   H  H   H  d  d 

 
­ ½
° °
 °  u   Q °
J V(  ®  ¾    u   Q 
°  §¨  ·¸ ª §  ·º  °
°¯ ©  ¹ «  ¨  ¸» u  u  °
¬ © ¹¼ ¿

D ,IWKHVWRUHGFKDUJHGRHVQRWUHGXFHEE\DVLJQLILFDQWDPRXQW LH!!î»4»

ZHFDQZULWH

 u  u     u   C 
t
 Q ³ V( dt
|  't


Q  u  
 'VT  9
C
 u  u     u  
E ZKHQ t o f J o  ZHKDYH Q o    u   # î&

Q  u  
7KHQ 'VT  9
C
 u  u     



 


 


7KHR[LGHFDSDFLWDQFHSHUXQLWDUHDLVJLYHQE\

H SiO
 u    )FP

 C ox
d

DQGWKHPD[LPXPFXUUHQWVXSSOLHGE\WKHGHYLFHLV

W   Pm
 I DS | PC ox VG  VT   u   VG  VT |  P$


 L  Pm

 
DQG WKH PD[LPXP DOORZDEOHZLUHUHVLVWDQFHLV9 P$RU:7KHQWKH

OHQJWKRIWKHZLUHPXVWEH

R u Area : u   FP 
 L d  FP
U  u   :  FP

RU  PP 7KLV LV D ORQJ GLVWDQFH FRPSDUHG WR PRVW GHYLFH VSDFLQJ :KHQ

GULYLQJVLJQDOVEHWZHHQZLGHO\VSDFHGORJLFEORFNVKRZHYHUPLQLPXPIHDWXUH

VL]HGOLQHVZRXOGQRWEHDSSURSULDWH



 

 
7RVROYHWKHVKRUWFKDQQHOHIIHFWRIGHYLFHV

7KHGHYLFHSHUIRUPDQFHZLOOEHGHJUDGHGIURPWKHERURQSHQHWUDWLRQ7KHUHDUH

PHWKRGVWRUHGXFHWKLVHIIHFW  XVLQJUDSLGWKHUPDODQQHDOLQJWRUHGXFHWKHWLPH

DW KLJK WHPSHUDWXUHV FRQVHTXHQWO\ UHGXFHV WKH GLIIXVLRQ RI ERURQ   XVLQJ

QLWULGHGR[LGHWRVXSSUHVVWKHERURQSHQHWUDWLRQVLQFHERURQFDQHDVLO\FRPELQH

ZLWKQLWURJHQDQGEHFRPHVOHVVPRELOH  PDNLQJDPXOWLOD\HURISRO\VLOLFRQWR

WUDSWKHERURQDWRPVDWWKHLQWHUIDFHRIHDFKOD\HU

 7RWDOFDSDFLWDQFHRIWKHVWDFNHGJDWHVWUXFWXUHLV

H H § H H  ·   §   ·
& u ¨¨  ¸¸  u ¨  ¸ 
d d © d d  ¹   ©   ¹



d

?d QP


'LVDGYDQWDJHVRI/2&26  KLJKWHPSHUDWXUHDQGORQJR[LGDWLRQWLPHFDXVH97

VKLIW  ELUG¶VEHDN  QRWDSODQDUVXUIDFH  H[KLELWVR[LGHWKLQQLQJHIIHFW

$GYDQWDJHVRIVKDOORZWUHQFKLVRODWLRQ  SODQDUVXUIDFH  QRKLJKWHPSHUDWXUH

SURFHVVLQJ DQG ORQJ R[LGDWLRQ WLPH   QR R[LGH WKLQQLQJ HIIHFW   QR ELUG¶V

EHDN

)RULVRODWLRQEHWZHHQWKHPHWDODQGWKHVXEVWUDWH

*D$VODFNVRIKLJKTXDOLW\LQVXODWLQJILOP

 
 D 

§ L ·§ A · §   ·ª  u  u   º
¨ U ¸¨ H ox ¸ ¨ u

RC ¸ « u  u  u »
 © A ¹© d¹ ©  u  u   ¹¬  u    ¼ 
 u  u    u    s QV

 E )RUDSRO\VLOLFRQUXQQHU

§ L ·§ A·
RC ¨ Rsquare ¸¨ H ox ¸
© W ¹© d¹
§  ·

¨   ¸  u    u   V 
©  ¹
QV
7KHUHIRUHWKHSRO\VLOLFRQUXQQHU¶VRCWLPHFRQVWDQWLVWLPHVODUJHUWKDQWKH

DOXPLQXPUXQQHU

:KHQZHFRPELQHWKHORJLFFLUFXLWVDQGPHPRU\RQWKHFKLSZHQHHGPXOWLSOH

VXSSO\YROWDJHV)RUUHOLDELOLW\LVVXHGLIIHUHQWR[LGHWKLFNQHVVHVDUHQHHGHGIRU

GLIIHUHQWVXSSO\YROWDJHV

 D      
C WRWDO C 7D  2 C QLWULGH

KHQFH EOT     c


  

E (27 c




 
ąƵŚҹŝƀŶƚҨƉ
ϭ͘ dƌŞŶŚďăLJŬŚĄŝŶŝҵŵƚƌҢŶŐƚŚĄŝĐąŶďҪŶŐŶŚŝҵƚǀăƚƌҢŶŐƚŚĄŝĚӉŶŐ͘
Ϯ͘ ,ĂŝĐҿĐŚұƚƌƵLJҲŶĚҧŶĐҿďңŶĐӆĂŚҢƚƚңŝƚƌŽŶŐĐŚҤƚďĄŶĚҧŶůăŐŞ͍DƀƚңϮĐҿĐŚұŶăLJ͘
ϯ͘ dƌŞŶŚďăLJŬŚĄŝŶŝҵŵǀҲĐĄĐŚҢƚƚңŝƚŚӉĂǀăƚŚӁŝŐŝĂŶƐҺŶŐĐӆĂŶſ͘
ϰ͘ dƌŞŶŚďăLJŶŐƵLJġŶŶŚąŶŚŞŶŚƚŚăŶŚƌăŽƚŚұĐӆĂŶҺŝƉͲŶŬŚŝĐŚӇĂƉŚąŶĐӌĐ͘
ϱ͘ dҢŝƐĂŽĐĄĐŵӈĐŶĉŶŐůӇӄŶŐ;ĞŶĞƌŐLJůĞǀĞůͿĐӆĂŵҾƚŶŐƵLJġŶƚӊƚƌӂƚŚăŶŚĐĄĐǀƶŶŐŶĉŶŐůӇӄŶŐ
;ĞŶĞƌŐLJďĂŶĚͿƚƌŽŶŐĐŚҤƚďĄŶĚҧŶ͍
ϲ͘ ^ӌŬŚĄĐŶŚĂƵŐŝӋĂĐҤƵƚƌƷĐǀƶŶŐŶĉŶŐůӇӄŶŐĐӆĂŵҾƚĐŚҤƚĜŝҵŶŵҾŝǀăŵҾƚĐŚҤƚďĄŶĚҧŶůăŐŞ͍
ϳ͘ ^ӌŬŚĄĐŶŚĂƵŐŝӋĂĐҤƵƚƌƷĐǀƶŶŐŶĉŶŐůӇӄŶŐĐӆĂŵҾƚĐŚҤƚďĄŶǀăŵҾƚŬŝŵůŽҢŝůăŐŞ͍
ϴ͘ dҢŝƐĂŽӂϬ<͕ŵҾƚĐŚҤƚďĄŶĚҧŶůҢŝŐŝҺŶŐŶŚӇĐŚҤƚĜŝҵŶŵƀŝ͘
ϵ͘ EġƵŬŚĄŝŶŝҵŵůҽƚƌҺŶŐƚƌŽŶŐĐŚҤƚďĄŶĚҧŶ͘
ϭϬ͘DҺŝƋƵĂŶŚҵŐŝӋĂŶһŶŐĜҾĜŝҵŶƚӊǀăůҽƚƌҺŶŐƚƌŽŶŐďĄŶĚҧŶƚŚƵҥŶ;ŝŶƚƌŝŶƐŝĐƐĞŵŝĐŽŶĚƵĐƚŽƌͿ͍
ϭϭ͘<ŚĄŝŶŝҵŵŽŶŽƌǀăĐĐĞƉƚŽƌ͍
ϭϮ͘dƌŽŶŐĜŝҲƵŬŝҵŶŶăŽƚŚŞĐĄĐŵӈĐĚŽŶŽƌƌŝġŶŐďŝҵƚŵӂƌҾŶŐƚŚăŶŚŵҾƚǀƶŶŐŚҮƉ͍
ϭϯ͘DҾƚďĄŶĚҧŶĜӇӄĐƉŚĂƚҢƉĜһŶŐƚŚӁŝŽŶŽƌǀăĐĐĞƉƚŽƌ͘sŝұƚƉŚӇҿŶŐƚƌŞŶŚdžĄĐĜҷŶŚŶһŶŐĜҾ
ĜŝҵŶƚӊǀăůҽƚƌҺŶŐӂƚƌҢŶŐƚŚĄŝĐąŶďҪŶŐ͘
ϭϰ͘'ŝңŝƚŚşĐŚŬŚŝŶăŽǀăƚҢŝƐĂŽdžҤƉdžšŽůƚnjŵĂŶŶĐſƚŚҳƐӊĚӅŶŐƚŚĂLJĐŚŽŚăŵ&ĞƌŵŝͲŝƌĂĐĐŚŽŚăŵ
ƉŚąŶďҺĜŝҵŶƚӊƚƌŽŶŐďĄŶĚҧŶ͘
ϭϱ͘dƌŞŶŚďăLJŬŚĄŝŶŚŝҵŵďĄŶĚҧŶƐƵLJďŝұŶ͘
ϭϲ͘^ӌŬŚĄĐŶŚĂƵŐŝӋĂĚžŶŐĜŝҵŶǀăŵҨƚĜҾĚžŶŐĜŝҵŶ͍
ϭϳ͘ҷŶŚŶŐŚšĂĜҾĚҧŶĜŝҵŶ͘ҿŶǀҷůăŐŞ͍
ϭϴ͘dƌŞŶŚďăLJŬŚĄŝŶŝҵŵǀăĜҷŶŚŶŐŚšĂǀҲĜҾůŝŶŚĜҾŶŐ͘dŚӈŶŐƵLJġŶůăŐŞ͍
ϭϵ͘'ŝңŝƚŚşĐŚƚҢŝƐĂŽĐĄĐŚҢƚƚңŝĜĂƐҺǀăŚҢƚƚңŝƚŚŝҳƵƐҺĐſĜҾůŝŶŚĜҾŶŐŬŚĄĐŶŚĂƵ͍
ϮϬ͘dҢŝƐĂŽŵҾƚďĄŶĚҧŶƉŚĂƚҢƉůҢŝĚҧŶĜŝҵŶƚҺƚŚҿŶŵҾƚďĄŶĚҧŶƚŚƵҥŶ͍
Ϯϭ͘dŚұŶăŽůăƚĄŶdžҢƚƌġŶƚҢƉĐŚҤƚŝŽŶŚſĂ;ŝŽŶŝnjĞĚŝŵƉƵƌŝƚLJƐĐĂƚƚĞƌŝŶŐͿ͍dĄŶdžҢŶăLJŵҢŶŚŚҿŶŬŚŝ
ƉŚĂƚҢƉŶҭŶŐŚĂLJƉŚĂƚҢƉŶŚҮ͍<ŚŝӂŶŚŝҵƚĜҾĐĂŽŚĂLJӂŶŚŝҵƚĜҾƚŚҤƉ͍dҢŝƐĂŽ͘
ϮϮ͘dĄŶdžҢƉŚŽŶŽŶůăŐŞ͍EſŵҢŶŚŚҿŶӂŶŚŝҵƚĜҾƚŚҤƉŚĂLJŶŚŝҵƚĜҾĐĂŽ͍dҢŝƐĂŽ͘
Ϯϯ͘ĄĐĜŝҵŶƚӊůƵƀŶŬŚƵұĐŚƚĄŶǀҲŵŝҲŶĐſŶһŶŐĜҾƚŚҤƉŚҿŶ͘ŝҲƵŶăLJĐſĜƷŶŐĜҺŝǀӀŝůҽƚƌҺŶŐ͍
Ϯϰ͘dƌŞŶŚďăLJƐӌŬŚĄĐŶŚĂƵŐŝӋĂŚŝҵŶƚӇӄŶŐƋƵĂŶŐƐŝŶŚ;ŽƉƚŝĐĂůĐĂƌƌŝĞƌŐĞŶĞƌĂƚŝŽŶͿǀăŶŚŝҵƚƐŝŶŚ
;ƚŚĞƌŵĂůĐĂƌƌŝĞƌŐĞŶĞƌĂƚŝŽŶͿ͍
Ϯϱ͘dŚұŶăŽůăŵҾƚďҧLJŚҢƚƚңŝ͍
Ϯϲ͘dƌŞŶŚďăLJljŶŐŚšĂĐӆĂƉŚӇҿŶŐƚƌŞŶŚůŝġŶƚӅĐ͘


 
      
 !"
#
$%&'()*)+,)-.-/012-/3-34/503630")7'-/859-:;:4<:=>-=)*)6?-/-.-/012-/
3-34/5@'-A":4(-/)=B:@*-AC-D

   


 




 
 !
" #$%&'()
 *+ 


,#
+
-
./
012, +34

56$%&

!# EF=*)-='8/%G')B8:4H)6?-/-.-/012-/)7'+I:)=B:J%K-+L%6>+I:)=B:@*-AC-0>/M
D
78856 (
  
49-:;<=>?:52@8856 (

AB
4C-:;?=>D:52

# EF=*)-='8/%G')B8:4H)6?-/-.-/012-/)7'+I:)=B:@*-AC-6>+I:F%+0($%0>/MD
E
  F56 @BF56 

N#
$%&'(<O+I:)=B:@*-AC-0$%/%P-/-=1)=B:J%K-+L%
E
  GHI%<
 A54A
8 ..
56F
*F
$%&
 J-:K:2B(L56 56 

Q# 98F=*%-%K+0R:4P-/:4(-/)=B:@*-AC-
H8
..

0

 
+

 
3 F+M<5*
/
N

..



OP*
/
N
F
%&QJ"  ..
"FOP4
5R +3 
3 (5*
/
N
..
OEF
S.%T<

A5%&UV)
IV

# SP%T8'-=K/%G'-U-/JIJ%K-:;6>0R:4P-/:4(-/@*-AC-:=8V-%-:4%-&%)&3+%)(-A8):(4"D
WILA X8A
5)
I
  
"Y;J;

-OJ;Z 
  

 T [F2

W# =*%-%K+(-(46>X))3Y:(4D
\
J
J5
  
"

+I

  ..

].J

J
J5
  
"

+I

  )
I

Z#
4(-/J%[8F%K-->(:=M)*)+,)A(-(44%9-/@%K:+<4I-/:=>-=6?-/=\YD
^X8
J
T +3+(56 OHF# 
8
<56

]# SI:@*-AC-J12)Y=':$YJU-/:=^%(-(46>X))3Y:(4#%_:Y=1`-/:4M-=a*)Jb-=-U-/JI
J%K-:;6>0R:4P-/<:4$-/:=*%)c-@d-/
1%_
4S *X8A
5)
I

 T [Y
 `a  Y

   
 
   


 
  


 `a  JY



  
  
   


 
  


#e%f%:=g)=F=%->(6>:$%&'(aBYah(0:i+'--)j:=k&;Al-/:='5)=(=>+m34+%%4'))=(
=>+Y=c-@PJ%K-:;:4(-/@*-AC-

H.SJ- 2>><
4F
+ NS JSba
c
d.=\
 
P4d.=\F Y

    
    
-:=:d2>>a+
J"e
+I( 
#C_,
+5C_5*OHF
F
 9L<
+I Fd.=\

Y

       
F%&S."!5CWSf.=a
c

#
4M-=@>5F=*%-%K+@*-AC-&85@%_-
HX8J
J
  
 [gC_R
8A N
%_#
(

 R
8..
+M
RJ&J+I%&COPC  J
JgJg
:h+M[
:g %C:5Oa  %5RU  + O

!#'%)`)=_)`@f-)7'An-/J%K-:4(-/)=B:@*-AC-0>/MDSL:f!)`)=_->5
i__ ( @A

  Y
B5


\@
BYFA
%'
 N ..
+M
B
.A
%'
\@
YF+3AX8 

 
0_FX8

C_FX8
 JO

#EF=*)-='8/%G'An-/J%K-6>+o:JIAn-/J%K-D
\@A-%'8 @A2%&A
/L<

 A
<_5*
'
O7_5*]-]J.2
"#
!  
"$
WR
8 @A @AL<_5* A
/O7_5*]jZ

!
%  
&
5Ck A
/(g


N#pb-=-/=h'JIAC-J%K-#p`-6b0>/MD
78 A-:.
E 
5
2$(5R
AJlJ @AL%&
S * [
m+I R
8 @A5%'8A
%'Y

%
'  
(

)
#Yn'  
*+,

Q#
4M-=@>5F=*%-%K+6>Jb-=-/=h'6[JI0%-=JI-/#
=,-/859-0>/MD
788
A+3 o  (


B
.A
%'O788
bA
5C
'
3 5I%&A N

./
-  
0/
123
#Yn-  
4+5

#e%f%:=g)=:$%&'()*)=$::f%J'&P6>=$::f%:=%k8&P)jJI0%-=JI-/F=*)-='8D
E 

+I5 


+I
<
  F88  

'
S5I%&A N(! 

71
-6  
869

71
-:  
8:9

W#
$%&'(@*-AC-Y=':$Y0$%AC-J%K-:P:=`-@*-AC-:=8V-D
\  J
JF+I

,_  
"OHJ
J+MS
A #J

J[
56 / #A
 o 56 
g)
I o  SI56F
*

/ (5R
A

Z#
=_->(0>:*-a$:49-:$Y)=B:%(-=j'%(-%i3A%+Y84%:5&)'::34%-/"D
*-a$->5+$-==`-
F=%Y=':$Y-q-/='5Y=':$Y-=\D=%<-=%K:JI)'(='5<-=%K:JI:=BYD
$%&'(D
 S

J
F+3
S

  
J
F- .J
2



A
%'+ 
J
+M
%_
5C

T
S

1
J=>X8

,=>+I

%_
5C
8
_5*
'
C=>
S

^A
8=>8A
(

=>
'
%_
5C
=>
S

]#
*-a$Y=(-(-0>/MD j+$-==`-<-=%K:JI:=BY='5-=%K:JI)'(D
$%&'(D
pA
8
GH 



 8U J JF


%_
5C

T
SOpA
8 J, 
C+3
S
O

!#*)J%K-:;08L-F=8_)=:*-6[+%[-)j-U-/JI:=BY=`-#p%[8->5)jJH-/JP%6r%0R:4P-/D
7,!5C)
I

!#
4M-=@>5&EF=*)-='8/%G'=%K-:12-/T8'-/&%-=(Y:%)'0)'44%34/3-34':%(-"6>-=%K:&%-=
:=34+'0)'44%34/'-34':%(-"
iA
%&L+YA
%&++

' + /
/
iA
%&A
+YA
%&++

 /
/ [A
8

!!#
=_->(0>+I:@C5=$::f%D
a



 F#$%&
%_#
56 F$V q




!#
=_->(0>)*)=$::f%:=s'3a)3&&)'44%34"
r%&

A 

 T [5BT [+ /
/ 
 
IY + A
8sO

!N#pb-=-/=h':=^%/%'-&P-/)7'=$::f%:=s'D
'+I(


0
'
0
+
&J

!Q#
4M-=@>5t-/=h')7'Y=1`-/:4M-=0%9-:l)
AIA   @83(L 
4
,




 
O

!#
=_->(0>:4$-/:=*%)c-@d-/D

 T [-.L 2

 
I8+gJ.= [
I8
&J
#
#d.(.56#$%&

!W#p%K-:;+'-/An-/J%K-:4(-/)*)F%+0($%#R:4P-/+'-/An-/J%K-:4(-/@*-AC-0($%Y#o5
!0($%6o:0%K8->5)j:=k-P%6r%-='8:$(+$)=J%K-Fg-='5F=L-/D 980tA(
H5  JI5CF


A/54F+MF+3

(..

0+  J5)
I
0  J+
O

!Z#
$%&'(:4(-/A%(A3OAn-/J%K--=uF=%Y=c-)E)-/=b)=6>0r-F=%Y=c-)E):=8o-D
1T3*=>=> @9-


0LJ/
2
1T3
R=>
 J=> @C-


0LJ,2

!]#
4(-/:4$-/:=*%)c-@d-/)7'A%(A3Y-O0t/%f%:$%&'(J%K-:;)j:=_A%)=85k-:s6?-/-
&'-/6?-/YF=%4>(:=_JvJ12)=M-=:=>-=:4(-/6?-/-/=w(D
P4 A
56J

 F#$%&
%_# [g9_5C


 F#$%&()
I56

#
$%&'(:4(-/6?-/-/=w()7'-P%Y-OAn-/&%-=0r-=`--=%[8&(6r%An-/:*%=2YF=%Y=c-
)E)-/=b)=O-=1-/An-/:*%=2Y0r-=`--=%[8&(6r%An-/&%-=F=%Y=c-)E):=8o-D
1T3*=>=>A
)
I/
=>
&J/

1T3
R=>
 J=>A
)
IZ 
5=>
&J

 
     !

#%K-:12-/a859-=V+
-1T3*2J
Jg=>8856t
%9=>S
"

!#
*%=2Y/%*-:%_Y0>/MD
r+3gJ(A
5)
ILB-5*
/B#$%&sO2

#
*%=2Y:4E):%_Y0>/MD
r+3gJ(A
5)
IL56 556F
*

N#
*%=2Y@[+q:0>/%*-:%_Y='5:4E):%_YD
r< (
&J 
J

Q#p%K-:g)=0>/MD
r J"
A
 r)
I-`2
 7A
-=2
 ^X8^\-`2
 ^X8^]-=2
#%K-:12-/:*%=2Y@[+q:&x0>+:.-/='5/%f+=$::f%D
r



W# .-/012-/6?-/)B+y/-d+<6?-/->(D
^[ b56F
*5 56 

Z#=B:@*-AC-@?0>/MD
r
#

J
5R
6<56

]# U-/JI)7'J%K-:;:4(-/6?-/AC-J12):M+@d-/)*)=->(D
/JT
/(R
8

 
56 

N# U-/JI)7'0R:4P-/:4(-/6?-/=j':4bJ12):M+@d-/)*)=->(D
/JT
/(R
8

 
56F
*

N#pIAC-0>/MDp`-6bJIAC-D
r+I(X8588(A
5)
I

7_5*Y;+ <8 

N!#EY=':$Y0>/MD
r+3
uX8
,
.5*
/


z
YZ 
" g

 /
8-P 28856t-v2
E  %CY

a%C<Y0A
8
/:-B
#[ w
xy2

a%CZY/^5^E-B
#<?j
?yB
#<wj
?z2

a%C?Y/-B
#ZZj
?z2

a%CxY/
8P -B
#<Zj
D<2
a%CyY/8856tv-B
#ZGj
Dy2

 :SJ.Zj
<x<


    

 
     


  


       
    ! "   #$  %!
 &' ()*+,"- . - /  
 $
"0%
1 2  #34" "0 56 )7. %%
1 %.  8 99:";<)7. %
56 %=>""?
"$ @"
 ;B  ;<
  " BF
CBD'99A B 
E
2G
E'9-H)I16#34" %
1 2  56  /  => J '-:"-;9J'9-"-;9)
K L%  56  %
1 2 %
1 = M
B'<H? 
1% )


 N 6
; "OM3P4 K  
Q 2G
16R 1'99";(2 M%.  8
 
Q  2G
7J'<B99(";<)743% 2S  T?K  9):UH#
#$ V "W"
XPY% ! " "0
Q  T4 
2 %
1 = "W"%M
"0 6
; )


 Z 6
; [
 \=? ? 
1% )
DK  4V "W" %=>"2 
;  \=? "  =>"%M]  =>"X
 )
DIXPK  X  =>""0
2G
""$ 63
7J'<B99*";<^7+'99:";<^_ '-H^_'AH^J '-9"-,"^J'9"-,"2 
4
1 
9)-B9;A"-^
':AB9";<)
"DK  3L 2   =>"#

`4V "W" ):Aa)
 
 

       
    ! "   #$  %!
 &' ()*+,"- . - /  
 $
"0%
1 2  #34" "0 56 )7. %%
1 %.  8 99:";<)7. %
56 %=>""?
"$ @"
 ;B  ;<
  " BF
CBD'99A B 
E
2G
E'9-H)I16#34" %
1 2  56  /  => J '-:"-;9J'9-"-;9)
K L%  56  %
1 2 %
1 = M
B'<H? 
1% )
!"!
&'(*+,"-
 B  ;<
;  " 
CBD'99A B 
 E
"O
    


&  ';bJ 
B
 9  9A ; EB
&  'bJ  
;  9 
 E 
 9  9A ;9-B
';9:)9;9 )9-) 
 ;  9 
;( 
 9-)9 
B
;
 9:) 9- C+," - D
;<
 &  '9:) '9-(C+," - D 
9-

B
;
& '&;&  '(*;9: C+," - D
9-

<

;
 & '(*;9: '<A:C+," - D
9-

N & 'b H c
(*d9:);B,9-'9:)9;9)99:H c
(*d9:);<,9-'9:)9;9)99:H cCeD
# J -:
aG
 M
 J ' H  H ' ' '9 " - ,a 

b # -:
b
CeD<A:'9:)9;9)99:)9c
c'---Ca,"D
 aLP&'9-(C+,"-D^& '<A:C+,"-D^c'---Ca,"D


 N 6
; "OM3P4 K  
Q 2G
16R 1'99";(2 M%.  8
 
Q  2G
7J'<B99(";<)743% 2S  T?K  9):UH#
#$ V "W"
XPY% ! " "0
Q  T4 
2 %
1 = "W"%M
"0 6
; )
 !"!
 N
Q f'bBC'99";(D
 N
Q  7J'<)99(";<
 B '9:UH'9:U)9;("g'ha
'hi'h
 "Og'BjB 
 N 
1 K"
Q '
1 K"
Q  
9
B  B  '7 J B
-
-7 J B
 B - ' 

-7 J B -)<)99( )9:U)9;(
 B ' ' 9
'*)9;A CD'*CHD'*)9;( C"D
 9
 k 2S  T! "$ 
g'BjB '*)9;(j9:U)9;('9*:U)9;(C"D'9*:UCHD
 j 
Q 
    

i  f bB
' ' 2G
dBB
B i i
bB bB -
i  ' B  i  CBD' j" 
i -i 
 k
Q3#
1 
l 
i  CB';B  D'
bB -
; '" 
-i 
b - -
 i  CBD' CB ;B  D
-i 
 j 
Q  
i f b7 J
' ' 2G
BB 
B i i
b7
 i CBD' J Bj"m 
i
b7 b7
k
Q3#
1 
l i CB'B D'  "m'; J B  i CBD' J CB;B D 
i i
 = "W"%M
C3P1%6
D#
B'i'i CB'D'iCB'D
b - 9 :)9 9)99
i ' B  9(
)C*)9 ( D -  (*:9 :Ca,"D 
-i  -)99)**A)9
B
 4 
 a
'n CB D;n CB  D'; iCBDB 
B

 B
a
'; i  CBDB; i CBDB
;B  

 B
b - - b7
 ;
;B  -i 

B ;B  B; J B;B


B
 i
 B
b B < -  b7 J B - 
';  ;B  )B  ;  ;B )B 
-i  <
  i - 
;B  

b 
C;B  D<  b7 B - 
; ; jB - C;B  D  ; J  ;B )B 
-i  
 <
 i 
 - 
<
b - < b7 J  B -  bB  b7 J B -
 ) B  ; ) ;  ' j
-i  < i   -  <i  -i 
9 :)9 9)99)C*)9 ( D< 9 :)9 9)<)99()C9 :U)9 ( D -
 
<)99)**A)9 9( -)99)**A)9 9(
a
'A-CaD


 Z 6
; [
 \=? ? 
1% )
    

DK  4V "W" %=>"2 
;  \=? "  =>"%M]  =>"X
 )
DIXPK  X  =>""0
2G
""$ 63
7J'<B99*";<^7+'99:";<^_ '-H^_'AH^J '-9"-,"^J'9"-,"2 
4
1 
9)-B9;A"-^
':AB9";<)
"DK  3L 2   =>"#

`4V "W" ):Aa)
 !"!
 76
; [
 \=? ? 
1% '<o
 ba 
D &'&   # p ;9 CeD
 
&
; '  &';& 
&
42 CeD
 ba 
;& '&   # p
 ;9

 
ba

  # p ;9';
ba
# p
  '9 
ba
 ' 9
# p
9<*)9;-< )<
 a' 9 ';:CaD
9:)9;9
D7J'<)99*";<7+'99:";<_ '-H_'AHJ '-9"-,J'9"-,+'9-)9;A
"-

':A)9";<
 "O
bJ   bJ 
& ' j
E E
 9 J 9 J 
&  'b
- 
7 j  
 _ 7+ _ 
 J 
 9 9 9 -9 
 &  '9:)9;9 )C:A)9 D - 
 <)99* ;:
j 9:   (*A)9 9- C+," - D

 A)9 9 -)9;: 
N +
1 K"'9-)9;A"-
q'&)+
1 K"'(*A)9;9-)9-)9;A'A*-)9;9UC+D
 ba 
"D &'&   # p ;9 
 
 743 V "W"3L 43L  3L a':Aa
    #

 9:)9;9 ):A 
 &'(*A)9;9- 9<*)9 )< ;9 '<(C+," - D 
;-<


 
 
 743 V "W" =>"4V  =>"a';:Aa
 :A 
 &'(*A)9;9-  -: ;9 ';(*A)9 9- C+," - D 
 
 

 
     


  


 Z"%
1  r+3/ "O%
% **"-,a)K  

 2 b3X %= 
3 Y 
s""2"M)Z
4#6
 => 
13t "0%
1  :U2 2L 6"3 
Y "0 O 9U",)


 N 6
; [
.
Q "O"@-B99:";<""2 
Q  %=>"%. M99:";<
""2 99U )IXPK L%%
1 2  56  
Q 2 
Q  )K 4 
"0
6
;  P? 
1% )Z
'99";<)


 NL% 56  
4 [
 M
 C7'99U";<D
3P4 K u99(";<%4 99<";<

sB'2 B'9)IXPK L%  56 ? 
1% )Z
4%
% "0 56 
 [
 M
 %=>"B"%` v "$ @" W" 
1
H B ;H 

H'H 
j w

9j 7,7 

  %OH
'(()"-,aHB'(U)A"-,a7'-)-<B99U";<w'U9


 x 
1%  
; ;[
"O . %M
 ""
Q "W""W" Q 2 
"W"3 /  => (B99*";<99U";<2 99:";<)ZW" Q p%=>""4M"O%  9H
4

1    )<-)r
 6
c;pV "W"3L ):a2  6
p;ZV "W" =>"Aa)IXPK 
% 2S 3   "W" Q p)K  qc 4316#34"  "W" Q "0 56 
("-,)Z
'):AB9";<)

  

 Z"%
1  r+3/ "O%
% **"-,a)K  

 2 b3X %= 
3 Y 
s""2"M)Z
4#6
 => 
13t "0%
1  :U2 2L 6"3 
Y "0 O 9U",)
 !"!
 

 3 Y 
s""2"M 
 H C:U)9)9;< #DBC**)9;(  - ,aD
_" ' ' ;9
'<<A)9;9<'<<A 
b 9:)9 Z
 y3X %= 3 Y 
s""2"M 
    $

'2)_"'C9U,DC<<A)9;9<D'<<A)9;:"'<<A 


 N 6
; [
.
Q "O"@-B99:";<""2 
Q  %=>"%. M99:";<
""2 99U )IXPK L%%
1 2  56  
Q 2 
Q  )K 4 
"0
6
;  P? 
1% )Z
'99";<)
 !"!
e 
Q "@-)99:";<""
;7. %%
1  

- C99" ;< D-
 ' ' 9: ;<
'A)9<" ;< 
7  -)9 "
;7. % 56  'j7
aYzz77'-)99:";<
 e 
Q  7'99:";<^7'99U";<
  7{7M#| B38
1    M
 
  ;7. %%
1   ' jC7d7D
  aY zzC7d7D 7d7'99Ud99:')99:";<
  ;7. % 56  

- C99" ;< D-
   ' ' 9: ;<
'99)9<" ;< 
)9 "
 e4 
"0 6
; ? 
1%  
#    )  9<*)9;-<)< -)99: ))99: 
a
'  '   'UCaD 
b 


- 
9:)9;9 9 -
 C9 D
 


 NL% 56  
4 [
 M
 C7'99U";<D
3P4 K u99(";<%4 99<";<

sB'2 B'9)IXPK L%  56 ? 
1% )Z
4%
% "0 56 
 [
 M
 %=>"B"%` v "$ @" W" 
1
H B ;H 

H'H 
j w

9j 7,7 

  %OH
'(()"-,aHB'(U)A"-,a7'-)-<B99U";<w'U9
 !"!
 k
% 
H B ;H 
(UA;((
H'H 
j w
'((j 9U 9U U9
'<9U(UC" - ,aD 
9j 7,7 
9j}9 ,C--<)9 D~

 &  CB D';bJ  ';bJ    
B
#
 J ' H'-A)<9U(9'*--C" - ,D 
b
;9
99( ;99< 
  &  CB D';9:)9 )*--)  ;( 
 '99*AC+,"- D 
 ;9 

    %

 x 
1%  
; ;[
"O . %M
 ""
Q "W""W" Q 2 
"W"3 /  => (B99*";<99U";<2 99:";<)ZW" Q p%=>""4M"O%  9H
4

1    )<-)r
 6
c;pV "W"3L ):a2  6
p;ZV "W" =>"Aa)IXPK 
% 2S 3   "W" Q p)K  qc 4316#34"  "W" Q "0 56 
("-,)Z
'):AB9";<)
 !"!
D
 ek 2S  T"0c;p
-i   
 7+   9

g9 '   7 j7  a
;acp


b  7
 J  + J 
#  7 + 7 J   ()99* )99U 
a
'  '-A)  C:A)9 D -  '<-CaD 
 
b 


- 
 
 ()99*  
-)9A)9;9-  9 
 g9 ' ;9  9U

  9* 9U
 C<-;:D ':A-)9;: C"D':A-)9;- CHD 
9:)9  9   ()9 j9 
 ek 2S  T"0p;Z
-i   
 7+   9

g- '   7 j7  a
japZ


b  7
 J  + J 
#  7 + 7 J   99U )99: 
a
'  '-A)  C:A)9 D -  'UUUCaD 
 
b 


- 
 
-)9A)9;9- 99:  9 
 g- ' ;9
) 9U  9:  CUUUjAD '*<-()9;: C"D'*<-)9;- CHD 
9U 
9:)9 9 9 j9 
g'gpdg9dg-'9d:A-)9;-d*<-)9;-'*ACHD
D
b+J   
q c ' bacp ,# 
g
J'("-,

- C:A)9 D -
  ' ' '<9--AC" ;< D 
7J 99U
9:)9;9 )<)9;- )()<9--A :,-
 qcJ ' ;(
 '-()9;< C+D 
*A9U)9

 
     &


  

 N 


"; ;"O . %M""W"CcD"W" Q CpD2 "W"3CZD / 
=> <B99*9)AB99U2 B99A";<)
 9)K  . %M

€36 ""2S "W"cp2 Z? 
1% -UZ)Z
4
. %M
3/ "0

"? 
1% P ):AB9";<)
 -)Z16#34" "0M

€36 2S "W"cp2 Z /  => A<*2 99
" ,2 "

 6  /  => 9;*9;U2 9;:)K ""%
#34" "0M

€3
-

6 ""2S "W"cp2 Z)


 <)K ""4 
a
"0 6
c;p2  6
p;Z? 
1% -UZ)
    '

 ()K % 2S  T 2S "W" Q "0 6
c;p2  6
p;Z#
 6
c;pV "W"
3L )Aa2  6
p;ZV "W" `"Aa)Z
4v 6%
1 $
"0[
 99))
 A)K % 2S 3  g "W" Q p 43% "W" Q  9H)
 :)K "" qcqZqc qZ )Z
4
4
1   "0 
 --)
 U)K  qcqZ2 qp"0 
2 ""16ww2 ‚)

 
 c'<)9*";<^7 '9A)99U";<^Z')99A";<
9)
':A)9";<)K  . %M

€36)

-
 j 2S "W"c 7 cƒ ' '<9(C" ;< D 
c

-
 j 2S "W"p   ' ':-*-C" ;< D 
7 

-
 j 2S "W"Z 7 Z ' '9<(:(C" ;< D 
Z
-)Jc'A"-,_c'9;*
Jc'A"-,_c'9;*
Jc'A"-,_c'9;*
 K %
#34" "0M

€36 cpZ)
E c ' J c _ c 'UU9)9;( C"D
 E  ' J p _ p '9()9;< C"D 
E Z ' J Z _ Z '9(C"D  9AC"D
<)
 j76
c;p
# 7 + 7 J <)99* )9A)99U
a
' '-A) '<A(CaD 
b
- C:A)9 D -
 j76
p;Z
# 7 + 7 J )99A )9A)99U
a
' '-A) 'U*(CaD 
b
- C:A)9 D -
()acp'AaCV "W"3L D
apZ'AaCV "W" `"D
-i  7 + 
 9

 a
;a
CeDCZ$ @"e P"@ 
?"36

„D
gcp '
b 7J  7 j7
 + J


-)9 A)9 9- <)99* 9


 9
C<A( AD  :-()9 : C"D 
9 :)9 9A)9 <)9  9A)99U
9U 9*

 =… W
-)9 A)9 9- )99A 9
gpZ  9 9U 9A 9U
CU*(  AD  A<A-U)9 : C"D 
9 :)9 9A)9 )9  9A)9
A)Zgp'9H'9;("
g'gpdgcpdgpZ'**:)9;A"
:)Z+'--'-)9;-"-)K qcqZqc qZ 
    &

b+J c c bacp ,#
q c '
Ec
 ;9


9:)9;9 )-)9;- )A)<9( A,-A
' ;(
 ;9 '9U)9;U C+D

UU9)9
b+J   bacp ,#
q c ' 
g

9:)9;9 )-)9;- )<*):-*- A,-A A
'  '-:<)9 C+D'qZ
**:)9 A
b+JZ 7 Z 9 :)9 9) -)9 -)99)9<(:(
qZ '   < (:*)9 9( C+D 
EZ  9A
U)qc'qcjqc '-*)9;AC+D
qZ'qZjqZ '-:<)9;AC+D
qp'qcdqZ'9U)9;UC+D
q c
' '9*-U
qc
qZ
w ' '9
qc 
w  ')w  '9*-U
w
‚ ' '9-9<A<
9;w 
 / "@ 
"$ @"CeD
9

' i  g
-
b7 B b7 B
i ' J ' + 
i i
g'B jB 
7 + )B  '7 J )B 

9 b7 J B 9 b7 J B  
B j 7 J B  ' 9 b B - 7 J 7 + j7 J


'
- i

B  jB '
- i 
 7 +  - i  7+
-i  7 + 9
 B 'g' a
;a

b 7 J 7 + j7 J

 
     '


  


 N
4   [
%=>"M%.  82G
99:,";< 3Pl  
 PC[D
DK %
1 ?38"032 2`K"0@" †  => ‡
2G
@"‡
 [
3/ )
D[3%O3[
 O
l %=>"
4t"Ml2G
-B99U,";< 3Pl  CpD)K %
1 
?382 2`K"0@" †  => ‡
 = > P2G
@"‡
 [
3/ )
    

 r
  W
  O      3 [
 %=>" " ? 
1 % -UZ .  % M 
 3/  
9)(AB99";<)k
% %
1 9<A"-,a2  56  (A"-,a)

 N
Wl  6
[
j ? 
1%-UZ"O
4
1   9- . %"" 
AB99*,";< 3Pl pl 
Q 2  . %  99:,";< 3Pl +l 
Q  )

 
6 "0 56  
Q   (9U  #


 6 "0%
1  
Q  A )Z
. %M
3/  9)(AB99";<)
DK  %
#34" "0""M

€36 -
Q )Z
4%
% "0%
1 
 
Q  9-"-,a2 "0 56  
Q   (("-,a)
Dˆ"%` 4 
a
"0 6
[
j )
"DK  
 \=? ?"4%V "W"3L a':a)
  

 N
4   [
%=>"M%.  82G
99:,";< 3Pl  
 PC[D
DK %
1 ?38"032 2`K"0@" †  => ‡
2G
@"‡
 [
3/ )
D[3%O3[
 O
l %=>"
4t"Ml2G
-B99U,";< 3Pl  CpD)K %
1 
?382 2`K"0@" †  => ‡
 = > P2G
@"‡
 [
3/ )
 r
  W
  O      3 [
 %=>" " ? 
1 % -UZ .  % M 
 3/  
9)(AB99";<)k
% %
1 9<A"-,a2  56  (A"-,a)
 
Dk
1 ?38"03
9
f' 
b H jbH 
'99:";<
-


- 9(A)9
' '
9

'-9-A ";< 
99:
H '9<A"-,a
H'(A"-,a
9
f   (:<C‰D 
9 :)9 9
9:
9 )9<A  -9-A)(A

aKK@"‡
2G
@"‡
 [
3/ 
c‡dc
'# C7J,
D'-A) C99:,9(A)99D'<(*CaD
D'7+d7J'-)99Ud99:'9)99UC";<D
-


- 9(A)9
' '
9

'99UC" ;< D 
 9)99U


H  -)99U j99: 'H  -9)99U '9UC" - ,aD

H -9)9
'A*C" ,aD
9U -

9 9
f'   9<C‰"D

b H jH 

9 :)9 99U)A*  9)99U)9U
9

c
dc‡'# C7+;7J,
D'-A C9)9 ,9(A)99D'(-(CaD 9U



    

N
Wl  6
[
j ? 
1%-UZ"O
4
1   9- . %"" 
AB99*,";< 3Pl pl 
Q 2  . %  99:,";< 3Pl +l 
Q  )

 
6 "0 56  
Q   (9U  #


 6 "0%
1  
Q  A )Z
. %M
3/  9)(AB99";<)
DK  %
#34" "0""M

€36 -
Q )Z
4%
% "0%
1 
 
Q  9-"-,a2 "0 56  
Q   (("-,a)
Dˆ"%` 4 
a
"0 6
[
j )
"DK  
 \=? ?"4%V "W"3L a':a)
 
D
E ' J _ E ' J  _ 
 # # 
J ' H J ' H
b b
 # 9,-  " -  9,-
 b H _  ' -A)9-  a  BA)9 CD  '9-A)9 C"D 
 ;  ;<
E '   
     
 # 9,-  " -  9,-
 b H  _   ' -A)((  a  B(9U)9 CD  '-9*)9 C"D 
 ;  ;<
E '   
     
D
#  7 + 7 J 
a
' 
b  

- 

 A)99* )99: 
'-A) 
 C9(A)99 D -  '*AU

 
"D
#
J ' H '-A)9-'<9*C" - ,D 
b
#
J ' H  '-A)(('99<:C" - ,D 
b
bJ  bJ  9 J 9 J 
&  '   j  'b
-  
j 

E E  7 J _  7 + _ 
  
- 9 99<: 9 <9* 

'9:)9;9 9(A)99 

99: (9U)9; j A)99*


 A)9; 
 9 UUA)9 99 +," -

q['+)&['9;-"-B9UUA)9;99+,"-'9UUA)9;9<+
 ba   : 
;9<  -A
 
q:a 'q[  ;9 '9UUA)9 
#
;9 '-C+D 
 
   
    


    


  


      !"# $%&'(
)*+!,-./01. 2'("2"2.345# 6 


 
 
 !"#$
%& '( 
 )*+, -.$
%/0)' -1223-4"5' ')6
' 1' ' 7*(- 6 8-9)
:;!5 
 -.
' 
<:
-%/0=5' ') >)< ?  @ 
<*22A-4"--')7
BC
' 1' ' 7
DE*(- 6  @  ! F)G H5')' $

& '( 8-9)
 !IJ E G
?K
-(-I
 '=
#LAM5NO$L-4"%PQ L3
M5NR$2A-4"%P SRM5NS$R-4"%$

  T!  (  5  U
 '=
   = ?K
 223 E<  C  )'  2 -4"$ T8- V  >W 
--'))' U
 7L3X)<  ?Y 1)6Z?$;!
 !  F)G  A3-S[X$\DE&  !
5 -. 
 !SR#$&  
 ! )<  1-1& -+ >( 5 , $

 


 
 !"#$
%& '( 
 )*+, -.$
%/0)' -1223-4"5' ')6
' 1' ' 7*(- 6 8-9)
:;!5 
 -.
' 
<:
-%/0=5' ') >)< ?  @ 
<*22A-4"--')7
BC
' 1' ' 7
DE*(- 6  @  ! F)G H5')' $
 !
%
 
 !N"#N""]$^B G
3$2)' (-7-1 G
?K

, _

N27O$2L-4"P`NO3-SX4242P`NA-SX4242
 a' 1bN
M`c`%N27L$242$27O$2L$MO3cA%NS73L"$24Md42-42%
 ;
 )+
2 2
eN   "7$2O d- 
b S73L"$2
% N/5N223-4"ff
ff
b N/5`N27L$242$223$O3N27"LMd42-42%
2 2
  e N N 7R"3 d- 
b 27"L
 )' , _Z9NZ9
7?  @  !
 C)' ?Y 5 

N/#$*g4MZ#4Z9
%[IhM2%
 )' =_ N/57Z9NZ9 
4Z - 4Z 9  
 
 I 
N/ 5 N/ - 

MS%
    

4 Z 4Z [I 
MS% / -   - 9 

N N
M2%
/ -   4Z- 4Z9
[I 

 
Z 9 4Z 9

N*  

 I


  N/5
Z 9 4Z 9

/5
N I

Z 9 4Z 9
/
N 5
I


/
Z 9 4Z 9
NI 5

/5
iZNI

?K
IN27"O$24S"NO7L2R$243X[]
N""]P/5N223-4"P
N27O$2L-4"c
 223 
iZNO7L2R$243$""$  L
  73S3MX% 

27O$2 

/j)< 8-9)
9

-%]
<? '2 >W --')/N$22A-4"N7$223-4"75'-k j _ 1?  
( 5  '=
  > ?K
 @  ! -)' & 

N/5l/N223l7$223N22AM-4"%
ff

 T?  ( 5  '=
 m-5YG -)' &n )>K-I
-1
 >W -k j $
 /@  ! F)G 
S


S 27O$2
N N
L
 N7"SAM-4" %
22A 
& '( 8-9)
 !IJ E G
?K
-(-I
 '=
#LAM5NO$L-4"%PQ L3
M5NR$2A-4"%P SRM5NS$R-4"%$
 
B

 #J 8-&  V  >W 9)
IJ  !E G

 S  "  S["
Z 9 N     
 O  
   o 
 XK
  @  !=B

5/ 
N  M 1)6I
 '=
%
T
 T8-9)
-.-(-I
 '=

%#-1TNLAP N2P5NO7L-4"NO7L$2"I4"
5 # /  O7L$2" $L7S"$2S"
# N # N2$ 4"
NO7A"$2SO M 4" % 
T # LA$2
    "

S

 S  "  S[" L7LS3$24"A  "$O7A"$2SO  S["
Z 9 N    N   N272S$242O Mp% 
 O   O$72$24"2  
  o   o 
%Q TNL3P NSP5NR72A-4"NR72A$2"I4"
5 Q /  R72A$2" $L7S"$2S"
Q N Q NS$ N27"S$2S M4" % 
T Q L3$24"
S

 S  "  S[" L7LS3$24"A  "$27"S$2S  S["
Z 9 N    N2732L$22O Mp% 
   N O$72$24"2

  
 O   o   o 
-% TNSR75NS7R-4"NS7R$2"I4"
5  /  S7R$2" $L7S"$2S"
 N  N"$ N27OL$2S M4" % 
T  SR$24"
S

 S  "  S[" L7LS3$24"A  "$27OL$2S  S["
Z 9 N     N   N27OL$22O Mp% 
 O   O$72$24"2  
   o   o 
 />?qEZ9#N272S$242OpPZ9Q N2732L$242OpPZ9 N27OL$242Op

  T!  (  5  U
 '=
   = ?K
 223 E<  C  )'  2 -4"$ T8- V  >W 
--'))' U
 7L3X)<  ?Y 1)6Z?$;!
 !  F)G  A3-S[X$\DE&  !
5 -. 
 !SR#$&  
 ! )<  1-1& -+ >( 5 , $
 2
 ;G
?K
( 5 U
= '=
7 @  ! F)G )' ?Y 1)6=
 
 !M]%
 Z 4Z 
N/ ? * 4 9 ?  
I 


Z98- V  >W 9)
)' ( 5 = '=

;!5 
 -.( 5  '=

bN `c`
 X_ ( 5  '=
?  rr < 
b`N/`
S2 4" S
 XK
/N2  P`NA3- [X
bN/`N27L$242$2S2$A3$24ANR7SMd4242%
 =
N
_ @  !( 5 , 
N/5N223-42$
 'B 3A42)' (-7-1
2 2
N27] 42 
N 42
N3SL]NS3" # 

27$]


    #


  


\DE)_  E-+='?s
 C-.T MQNS3%79MQNSL%7#'MQNSR%? 
B
&- @ 
G-& -+^ m-)> -.-(- E< G E$
UHt!--. @  !
 C? ' 
 !-.!( 5  '=
 M >U
7% >W--'
>_ ?u$\DE
B
&-=
'Ht!- =
-15=  >?qE:
    $



#' q !)= (
-.#? /
 >)< _ ?u$#B
I
 '=
 v-1?Y "5? A
* .   $)' #7?Y "5 + ,E
 C7)' I
?K
/
?Y "5 >W- +!, $
 %)' #7-(-
 C)' ?Y "5-1
5 
 IJ :
B
&-$
 %)' /
7
 C)' ?Y  '
5 
 :
B
&-$
 -%]G
 >W 
5t -.
 C-1 > )' ?Y "5? A:
B
&-$
 5%\DE
B
&-=
'# =
-1 !5 
 Gn /
$;
 )-.I
 '=
 't!-
? ' 
 ! 
vn :
B
&-$




    %


  


T! )\/-1-
v5

w
>n B *= A-7(>K-1  L"S$O $
/
 !'= !  2SR#$]G
 >W -./ S$S[' $
%\DE*(- 6  !)! a' )ix)' y z()-. )$
%#1' 
<'5 >W-)' z-. )?K
 !)! a' ))< $& I'B -(-
', G? >K-1 
w-(-'5-. )$

#' q !)= (
-.#? /
 >)< _ ?u$#B
I
 '=
 v-1?Y "5? A
* .   $)' #7?Y "5 + ,E
 C7)' I
?K
/
?Y "5 >W- +!, $
 %)' #7-(-
 C)' ?Y "5-1
5 
 IJ :
B
&-$
 %)' /
7
 C)' ?Y  '
5 
 :
B
&-$
 -%]G
 >W 
5t -.
 C-1 > )' ?Y "5? A:
B
&-$
 5%\DE
B
&-=
'# =
-1 !5 
 Gn /
$;
 )-.I
 '=
 't!-
? ' 
 ! 
vn :
B
&-$



    

;!5 
 -.!-+( 5  >W--'

8-bN `c`$)'  17 ?  , 
>W  @  !
 C?  F)G 7`? ` ,  >W  !
 ! -.
 C?  F)G $#8 

 !5 
  =-H-
I
= '=
?K
 @  !
`
  N
? 
()6-H-
-. !5   b 
NS
` `  $)'  1
  @  !
 C-.
`
( 5 , $


    $#&


  


\GI0-( -. E< C{')' M{%)'  n 
U
 >W- '2# 273$242O
S42? 2S# 272$242LS42$
%(- 6  V  >W I&-'=-'I0-( -. E< C{'X[ E< C$
%(- 6 j Ga)' 
8--.GI0-( $
-%& I'B -(-I0-( ))' 2
'
-)'-. E< C{)'  n 
U

2#? 2S#$
5%\GI0-( -.{)'  
U
-1 V  >W I&-'=S7AlS73X[ E< C? aN
M273lL%$24RS42$|0G 'yE0 6 HI(-
?vI0-( 
w-(- n 
? 

:

]B'(!-m 

 @? M%?  JM %$T!
0*- m2#? !
,#$T!?J I0 m? '
w5kE J$\DE>K-& 8-
  ! ^?J I0? *(- 6 
-H-5>n -. 1$#'
0-(-J G)' >n )_ T'4p'  G
?K
?   ,  >W 
ZN373X7*N427AO? Z N227LX7* NS7RO$


%(- 6 
()6G
 -.?Y -+M 5%-.-+( 5  >W-C5t  ''5-')
0 1 =E?K
( ( ? ML %
%TF
''5-')-15
 &-3$24S-S >W--
0
( ( ? -1->  !S}-4S$
B
CF
'' 
)!-m
 C F)G $\DE& G >W -m
))' 2
kE$
-%XY -+-.( 5  27ASX7DE& >K-1 '' -nB ()^H(
W
 
C F)G )' 
 E${>K-1  E-1 _ +EIJ :


    $&


  


 \DE*(- 6 yD  >   E< CI0-( 2
)' U
~S2S#
0)j 
V  >W I&-'= S$"X[ E< C? aN3$R"*24S42$

 ]B'(!-m 

 @? M%?  JM %$T!
0*- m2#? ! ,

 #$T!?J I0 m? '
w5kE J$\DE>K-& 8-
  ! ^?J I0? *(- 6 -H-
5>n -. 1$#'
0-(-J G)' >n )_ T'4p'  G
?K
?   ,  >W ZN
3$3X7*N42$AO? Z N22$LX7* NS$RO$

    

 (- 6  !5 E K5MI
5%-.!5kE @  5 
 -1, G3\€7?K

 
)+ 
 !  2R d?  !^``NAo$24R\42$‚, G5 
  >)< 7
> I& -.5kE @ C5t B
 <  >0 ':=
':

 
'() '()


    "


  
2S

M#k E 
2$22) SL*+2%
 \GI0-( -. E< C{')' M{%)'  n 
U
 >W- '2# 2$3*242O
S42? 2S# 2$2*242LS42$
%(- 6  V  >W I&-'=-'I0-( -. E< C{'X[ E< C$
%(- 6 j Ga)' 
8--.GI0-( $
-%& I'B -(-I0-( ))' 2
'
-)'-. E< C{)'  n 
U

2#? 2S#$
5%\GI0-( -.{)'  
U
-1 V  >W I&-'=S$AlS$3X[ E< C? aN
M2$3lL%*24RS42$|0G 'yE0 6 HI(-
?vI0-( 
w-(- n 
? 
 
:

M#k E 
S$R) L"*+2%
    &

 ;!
 !  -.
  C )' 
5
 Mƒ % ' >W-  L -SX4242$ ;
  ) +  
 !
  MSR#% -. ƒ   O$"R * 24O d7 ?  IG
 >W  E<  C ?  q ! IG
 ,  >W  22A$OS
' 42? R$"2-4"$
%(- 6 -1' 
<
 CH5' >W--'
F
 E< Cƒ )' 
$U'( G E
?K
?6)&-. E< Cƒ )' B , ' $
%/0?q G-) _ -.-(-
 C5 )' ƒ  2$RA*2O-427DE*(- 6 yD  > 
H5') _ -. 1$
-%&  !5  
-.ƒ  
 ! $U'(  1?K

()6H- 
 O2$L}42]42$

M#k E 
"$3) RL*+2%
 #(- 
< -8 
„*=
)<  
  -'1- 
„*= s +S…N S$3
>n 8 ?K
 
„*=^†mM222%$J G= -. M9##% $A3 $/0G 
>W--Y  
„*= >)< j -(-C5t -Y
 C_
 0
G--, 
0 '

<:#'
0I'B -(-
w-(-m= M7I7 %? J G=  G
?K

 q>n 

<  

8-5N[MScISc S%2[S$

"M#k E 
A$22) O*+2%
]B'(!-m 

 @? M%?  JM %$T!
0*- m2#? ! ,

 #$T!?J I0 m? '
w5kE J$\DE>K-& 8-
  ! ^?J I0? *(- 6 -H-
5>n -. 1$#'
0-(-J G)' >n )_ T'4p'  G
?K
?   ,  >W ZN
3$3X7*N42$AO? Z N22$LX7* NS$RO$

$M#k E 
3$2) 2*+2%
%(- 6 
()6G
 -.?Y -+M 5%-.-+( 5  >W-C5t  ''5-')
0 1 =E?K
( ( ? ML %
%TF
''5-')-15
 &-3$24S-S >W--
0
( ( ? -1->  !S}-4S$

BCF
'' 
)!-m
 C F)G $\DE& G >W -m
))' 2
kE$
-%XY -+-.( 5  2$ASX7DE& >K-1 '' -nB ()^H(
W
 
C F)G )' 
 E${>K-1  E-1 _ +EIJ :


    


  
2S

{=-M%-1-+)-
 q>n kmM9##%7IG
 >W  E< C 2R$OR' 4
2
 ? ( I&  E<  C $2AAA $ 
B C !
 ! -.
  C  SR# 3L-SX4242 ? F

E< C=- 1 12
 C5 $\DE*(- 6 
%\j G= 7IG
 >W )
< ?  @  ! E< C-.=-$
%/@  ! E< C)< -(-mM2%7M22%? M222%
-%;
 )+?  !5 
 -.=-SR#$
5%/V  >W 9)
]? SR#$
%Xq G-? yD  > H5') _ -.
 C* y 8-9)
SR#$
‡%{>K-1 a{)'
-.
 C)' 
$;
 C-16 
„*=
m
M222%E
IJ :=
':

    %

(- 6  !5 E K5MI
5%-.!5kE @  5 
 -1, G3\€7?K


 )+ 
 !  2R d?  !^``NAo$24R\42$‚, G5 
  >
)< 7 > I& -.5kE @ C5t B
 <  >0 ':=
':

 , 

B
*)' *+S) SR
 -7+6

%7AOA P2732[-"P37ORS$2SS-4"
%272$223-4SPO7ARO$22A-4SP27"O3$223-4S
-%27$24LdP37SL2"2S$23d42-42
5%7O"RO$242pN373SX
%27""R$2L[PA7AA"$24O
‡%xNL7RAAAL$242P]J M?_
…f2?J ˆ‰%

ŠN7SO3$24"M, G- -'7 !5 E K5- s %

    


  


  


  
 
  !"#$ 
%&"$" '  (
)*+,-.
/
01"23  4  56 781. 9: 2 8-
 ;
 <=
>*;
?81. 9: @A
B  581.
/ =;
?89: 7 C4 -


/84 =
*D.
/ E 1. 9: "!  ! B =F
G"-
/  <  %C
H1HI ! BJ K =
L*M
NON 79P 
/  1. 9:  <=Q

RS T2U S7V 
;
*
W*F
/ E 9P 
/  .-
 ;
*XYB  9P 
/  . 9:  ;
*
ZU%
 ;
2U
/" %C B
2[
 ";8;
/ -R %-\ =]&" S9*
*;
?.;
 ?B2 .;

"?B  81 . 9:  ;
C8
 8 
-. "=

! "#$^
(
)*_8. 9: +
56;`  12[
)) a "%& E 
 %+(*
 (R 
/ @?"17:"2 2bR7 c 4  56 d
?2[
 cd
 +

"$ *
 (+"C :"+
 C
 & 56 
Oe  ;& 2[
 > H ))^ a "%& E   J(*
R  
/  @ ?"1 2  2b R c 4  56  d
   5 6 % ?2[
 c d
   +

"$ *
Q
?E?,
 C   f:"+
56@ 
/8>^Df ` 8;
"$  )*LWH
))af8
8 
/ E2  YB  $  56 )W>gZ?2 LW>gZ?*

>*D8 B
a +
 S5@ @ 
/8P 
 (R O! ,56.2 9
9a  S5@ ?9P  56;h9P  56
iP*
 (Mi%R 9P  56iP79
92[
.\ ?B?"]jkH))la#]mk)) a

#n k>o?#nk*Wo?#j k>)>g?#jk)>g?2 
O9
/  )*>H)aW>#
k* WH))
a*
 (R 9P "U -

.bO! , * WZ*

X5"S
 +
2
& 56?E9e 

/"2 .%R *

%&'&()

  
 
        
 !  "# !$%
D1. 9: C88 23 1pqfP 88 23 17-
 ;
pr% C

.-.f-
 ;
-\ C23 1(*

&'# ("   
 )*+ ,     - %'# ("-  .  
 - %
    

D1. 9: @A
B  581.
/ 2<@ 
/8 %f 1. 9: -\ C
; 
/ ,9*
A
 
/84 f.  
& -O ; 
C568  4  [ f9s9 .
-t
. "%& E@   ,9f` 
f ;
 0  YB  ; *X %f
 1. 9: iC 0 ; 
/ ,9f2<2U%89: 7 C4 *

/0 -  1 "  
  2 3"!42
,!"%5 6 !" $!!7 . 8
949:!42
,;" <%
D.
/ E 1. 9: "!  ! Bd


*+,-. 
 ,/,* 
012$  
 3  
 ,/,* 
A
 12$   44 <  %H1HI ! BJ K *
 3  


=>  ? @ ?
A B-  "  
 !$%C A  D EFG E  H
"# 
M
NON 79P 
/  1. 9:  \
2 -"O. 
jP \
9?,. H;#jP -"O. 9?,&  / ` 8(

I5  1B-  "   "# JK ,B-  "
 "# 4
LG7 "# G  !7 . 8, M  #" # - D7N%OPE"
A
 ;
2 . 9:  ;
-
 B
2[
 "C; ;
/ -R 2<-
C?uC?,
-"O. 7  V-
 ;
? . 9:  ;
2  YB V. 9:  ;
? -
 ;
*

Q'# ("  #  A -(,!  #  A   8(, "   
 #  .- -
 %
D.;
?B2 .;

"?B 81. 9:  ;
C8
8 -. "2<
-B
 56 
/"9e 7 -. "*
17 5 17 5
81 . 8 . 
961 96

! "#$^
(
)*_8. 9: +
56;`  12[
)) a "%& E 
 %+(*
 (R 
/ @?"17:"2 2bR7 c 4  56 d
?2[
 cd
 +

"$ *
 (+"C :" +
 C
 & 56 
Oe  ;& 2[
 >H))^ a "%& E   J(*
R  
/  @ ?"1 2  2b R c 4  56  d
   5 6 % ?2[
 c d
   +

"$ *
Q
?E?,
 C   f:"+
56@ 
/8>^Df ` 8;
"$  )*LWH
))af8
8 
/ E2  YB  $  56 )W>gZ?2 LW>gZ?*
 
(F
/ @?"17:"
    

)
vk 
w o xwo 
k)) a
>

> )fLW*)
k
k
)
  
k>)>W a 
))
>
o k)W gZ?
okLW>gZ?
)
v  f L y( 
)f *) )
 )
) *)W  >)>W*LW 
ZRRcd
?2[
cd
 +
"$ 
pdp
k- ]jg
(kf>W* )) g)fLW*))(kfLlZ(

(k]m]jk>*))^)) k)f*))^a(
>


> )fLW*)
k k
)
 k))^ a ( 
 )f*))^
  
o  >*))^ x)) ko  >f)*))^ k)^ > gZ?(

o >f)*)  kWl gZ?(
)^ >

) )
vk   f)y(

w o xo   
)f *)) ))^*Wl  )f*))^*)^ 
p
pdk- ]ma]jg
(kf>W )f*) g)fLW*))(kfL>LZ( )^


>*D8 B
a +
 S5@ @ 
/8P 
 (R O! ,56.2 9
9a  S5@ ?9P  56;h9P  56
iP*
 (Mi%R 9P  56iP79
92[
.\ ?B?"]jkH))la#]mk)) a

#n k>o?#nk*Wo?#j k>)>g?#jk)>g?2 
O9
/  )*>H)aW>#
k* WH))
a*
 (R 9P "U -

.bO! , * WZ*
 
]B
a +
 S5@ @ 
/8P kA
 wZ 
( zkz ?  - J a) {(


z
a kf zkafz? 
z?
O2 {(
    :

 wZ 
afz? kz ?  - J
 a)



wZ
- J
 a)kaf
wZ
- J
 kf) 
wZ
k f)
- J
)fl*)a> *
Zk f) kaf Z(
)f *)a)

(]jk*))laf]mk)) afn k>o?fnkfWo?fj k>)>g?fjk)>g?fmk
)f>*) >
aW


kf W*)a
 C
wj   wj 
z? k x
X X
 ) j ) j 
z ? kw >

x 
 ]j n ]m n


 ) ) ) >) 
z ? k)f *)a) *f W*) ( > 
 *))l a
x )  LflW*))> mg > (


fW*) ) >*)a
_ m9
/ Rk)f>*)aW>
|?kz?*m9
/ RkLflW*)a)>*)f>*)aWkWfl>*)a)^m(

 wZ 
( zkz ?  - J a) 


 jP "U Zkf WZ
 )f *)a) *f W 
zkLflW*) )fl*) * a) kfLmg > ( 
a>
a)>






  :;


  


<=> ?"@A"W
(
)*;
?.c 4  56  % 2 (
;78 "%& E@ .23  4 
56  % 9( 1. 9: =
>*+,-. "
01"23  4  56 781
/ \
2 81. 9:  
<=
*]&"-.

/ YB  1. 9: *
L*_B
w" /
0 ` 8
/ E2  YB  . 9: "$ 

?
?
 9"(=
    B

W*]&"-.

/j 2 m=
*_8. 9: 56;` 
j 2 m*Z
O5N < H.b  ` 8

/ E2  YB @; .
! } *
^*A
 H1HIJ K C?E9e % d
aj
 ! B
/ E
 . 9: *
l*M
NON 79P 
/  1. 9:  <=
*+,-. "
09P 
/ 2 U89P 
/ =
)*Fb  T89: 
/ *FN 2b <=
))*<  %-.

/2 b  T2G8
8 *c "%&  <=
)>*Q

R;
?.;
?B2 ;

"?BC8
8 -. "=
)*;
?8. 9: ; ;
9: 
/ BN 8. 9: "$ =
)L*O  . H;& ;1
 C

K9
"
%?
(=. H; %; N 
-
; ~ %; =A
@ 
/8%@ 
/81=;
?=
)W* D. 
/  E "\  -"O .  2G 
G  C `  8 1 N * F
G" % C   B
 2[
 Y
B =
) *<  %?,-. "
0
/ 56 w" ?

 
 
 (2  
/?

 
 
 (=
)^*O  8:%;
=
)l*O  .;
VH??
(=
)*Fb  T

 ?B 7;
V=
>*<  %S T75N < 
& e*

<=>! "#$W
(
)*D8 B
a +
 S5@ @ 
/8P 
 (R O! ,56.2 9
9a  S5@ ?9P  56;h9P  56
iP*
 (Mi%R 9P  56iP79
92[
.\ ?B?"]jkH))l a#]mk)) 
a#n k>o?#nk*Wo?#j k>)>g?#jk)>g?2 
O9
/  )*>H)aW>#
k* WH
))a*
 (R 9P "U -

.bO! , * WZ*

>*€ 
/8P f8 ?
?a a+
C ` 8;
 .
G ,.f, G 2 
," $  56  H))laf>H))^a2 )) a*D, G J56O;C88  )of

O9
/    *>*Q
?E B
paJ! ,"U * Z2  B
JaD! , 56WZ*Mi%
R 88 23 " P , G J*R 9P |p O"/?B-"O.  , G 7 Y
B  L>g?*D
k* WH)af?k))**

X5"S
 +
2
& 56?E9e 

/"2 .%R *

%&'&()
<=> ?"@A"W
(
 '#  ("   R    S337 33T U  #     7P 1 ) !   
S337
T "  
 %
 1. 9: f. "%& E@; .

& -O; ; 
f- .

0   1 $  "f   . c 4  56  
 ; 7 Y
 "%&  E b ?"% 
O f .
    

  
G"c1?. "]"%&  S ;
V"
(f
G" %9: O ?,<  23  4 
56 *

>*         - N !   
 !
$%
+,-. "
01"23  4  56 781
/ \
2 81. 9:  @8
8 23 1p
xD1. 9: pk)O Z
xD1
/ \
pkO Z

*OP  K , "  
 
A
8  "% V; .

& -O? ; .
,9f C?u ;
82bR
O"
e   ; 
*ZbR
O"e %C56 '$%@
.  $ C*Z<
2U%9: O ?,9
"% 7?,
O"e   %*DCH 5!% 8; 
/ 2 
56
 ‚ YB ƒ

L*V, W  X--  1!K , "
  YS   ( (3 " "T%
_B
w" /
0 ` 8
/ E2  YB  . 9: "$  *k
>

W*OP  Z""![ 34 "%
j  1;2 1. 9: "$ ;
?B 1. 9:    *
m 1;2 1. 9: "$ ;
?B 1. 9:   YB *

*V 
 - 4 #4-X U Z""![ 34 "L @ 4? $9 -\X-
-  1!K ,) #   2
]
5N < H.b  ` 8
/ E2  YB @; .
! } 
xJ. 9:  ;
 

>> .   /  0    /   0B> 



 
>
$> . 
>>
xJ. 9:  ;


$$ .   /  0    /   0B> 



 
>
>$ . 
$$

^*+ !"949:;" < . 8(1^ 7 "!_3 `Z   "!42
,-  1
"
 %
 ,/,* 
A
 12$   44 <C?E9e H1HIJ K % d
aj
*
 3  

l*>  ? @ ?
A B-  "  
 !$%
M
NON 79P 
/  1. 9:  \
2 -"O. 
    ;

jP \
9?,. H;#jP -"O. 9?,&  / ` 8(

*  B- !G -B- %
jP 
/ %5 89P 
/ (  56 
/ R
w"8
O9
/   8N 2b


 *FN 2b mm(
CD
. 
C"
_U89P 
/  9P 
/ 
w"8N 2b9
/ R*FN 2b mg>

E. 

Z[
+ 9
/ R7~ 

)*5\F- - 5?\!$%
F89: 
/ p 
 D 9"
2
%( - 4 72U
/"„9P 
/ 
w"f56
H.b } …?B
0U89P 
/ 2 5 8
/ 5 
E
F. 
,

 c "%&  GFH. 
I9

))*'$
!7  !-\F6- -'R7P!$%
F8
8 
/ c82;7  -
"% 8  ; 
1
' 95[
.8 
/ 5 *
59 
c "%&  G8H. 
IJ

)>*C A  D  # ("  #  A -(,!#  A   8(, .- - %
D.;
?B2 ;

"?BC8
8 -. "9

 ?B 2 -B
 56 

/"9e 7 -. "*

)*'# (" 
 4 #4#  -  , ? 
  Y%
j. 9: ;C?B;
 
G"N . 9: "$ *

)L*'@!"! 9# P #4   ".S " <3 4 7(  3 T%'9#!7#?
 4 #4a74 #4b%+ ) - "7) - 4%'# ("%
. H;& ;1
 C . H;
0;
2 .;1*. H; %; N -

; ~ 2 @ 
/8*j@ 
/8f.;"% 8   N f2 ; ~ <?u
C2; 
G"N *

)W* 0  -  1 N @   6  6 . X - 4 ? 5 6 !7 . - -,  M  K
,%
F
G" % 2[
 YB *

) * '$
!7 (         W (  S"4   3 33 "T !  
( S 3  333 "T%
    K

M
/ 56 w" ?

/ 56 ? ?
;
  . ?. -RR
M
/ 56  
/?

/ 56 ? ?
;
9-RR}  
/8

)^*'@!"! 
7#  A %
J:%;
 c 4  56 " 
 fC- 4 ‚

0ƒ;


)l*'@!"!  #  A  HS39 3((  3T%
M;
?
9-RR@
.%O"B. ?. f 
/8f†

)*5\F U  (, #  A  H%


 ?B 7;
V - 

 V-
;
?
O -
.
6*

>*'$
!7EF 4? $ P ^ 

/ B

& /
0.9P * 1. 9: f 1 5N <  *

<=>! "#$W
(
)*D8 B
a +
 S5@ @ 
/8P 
 (R O! ,56.2 9
9a  S5@ ?9P  56;h9P  56
iP*
 (Mi%R 9P  56iP79
92[
.\ ?B?"]jkH))l a#]mk)) 
a#n k>o?#nk*Wo?#j k>)>g?#jk)>g?2 
O9
/  )*>H)aW>#
k* WH
))a*
 (R 9P "U -

.bO! , * WZ*
 
]B
a +
 S5@ @ 
/8P kA
 wZ 
( zkz ?  - J a) {(


z
a kf zkafz? 
z?
O2 {(
 wZ 
afz? kz ?  - J
 a)



wZ
- J
 a)kaf
wZ

 - J kf) 
wZ
k f)
- J
)fl*)a> *
Zk f) kaf Z(
)f *)a)

(]jk*))laf]mk)) afn k>o?fnkfWo?fj k>)>g?fjk)>g?fmk
)f>*)aW>
    L


kf W*)a
 C
wj   wj 
z? k x
X X
 ) j ) j 
z ? kw >
] x 


n ]m n

j
 ) ) ) >) 
z ? k)f *)a) *f W*) ( > 
 *))l a
x )  LflW*))> mg > (


fW*) ) >*)a
_ m9
/ Rk)f>*)aW>
|?kz?*m9
/ RkLflW*)a)>*)f>*)aWkWfl>*)a)^m(

 wZ 
( zkz ?  - J a) 


 jP "U Zkf WZ
 )f *)a) *f W 
zkLflW*) )fl*) * a) kfLmg > ( 
a>
a)>





>*€ 
/8P f8 ?
?a a+
C ` 8;
 .
G ,.f, G 2 
," $  56  H))laf>H))^a2 )) a*D, G J56O;C88  )of

O9
/    *>*Q
?E B
paJ! ,"U * Z2  B
JaD! , 56WZ*Mi%
R 88 23 " P , G J*R 9P |p O"/?B-"O.  , G 7 Y
B  L>g?*D
k* WH)af?k))**
 
(
 {F88 23  ‡7paJ
> ?  
 ]m  )

Z
aZpJ  
ˆ) k
w
] j
] m x] j
 

-  ] m ] j   *))l *>*))^ 
Z
k  kf>W*  f W*) ( > kf )Z( 

w 


>


>*)fW*)a)>  *))l   ) 
ˆ) k a)



)^  )l
f )af ( kLf^*)a (kfLo( 
)^
)f *)
>*)
*) x>*)
 {F88 23  ‡7JaD
> ?  
 ]m  )

Z
xZJD  
ˆ> k
w  

] j
] m x] j
-  ] m ] j   )) *>*))^ 
Z
k  kf>W*  f W*) ( > kf^WZ( 

w 


>


>*)fW*)a)> ))  ) 
ˆ> k a)
*  )
)^ 
f^WxW( kLf> *)a (kfL> o( 
)^
)f *) >*)
) x>*)
    M

ˆkˆJˆ)ˆ>k)fLfL> kflLo(
(
wmj   
| p k wZpJ g- 
ˆ
jkL>g?

> f W*) ( >
  k k kL Wf ) a ( 
]j >*))^
f
)f *)a) *f*)a> *L*L Wf ) f>W
|pj k aL
 k)f)*)a m( 
flL*)
҄d,/
DNE͗ґ^Ҕ>/E,</҇EEѹE
d,ғ/'/EϵϬW,jd
WŚҥŶ/͘>ljƚŚƵLJұƚ;ϱĜŝҳŵͿ
ϭ͘ dҢŝƐĂŽĐĄĐŵӈĐŶĉŶŐůӇӄŶŐ;ĞŶĞƌŐLJůĞǀĞůͿƌӁŝƌҢĐĐӆĂŵҾƚŶŐƵLJġŶƚӊƚƌӂƚŚăŶŚĐĄĐǀƶŶŐŶĉŶŐ
ůӇӄŶŐ;ĞŶĞƌŐLJďĂŶĚͿƚƌŽŶŐĐŚҤƚďĄŶĚҧŶ͍
Ϯ͘ ^ӌŬŚĄĐŶŚĂƵŐŝӋĂĐҤƵƚƌƷĐǀƶŶŐŶĉŶŐůӇӄŶŐĐӆĂŵҾƚĐŚҤƚĜŝҵŶŵƀŝǀăŵҾƚĐŚҤƚďĄŶĚҧŶůăŐŞ͍
ϯ͘ EġƵŬŚĄŝŶŝҵŵůҽƚƌҺŶŐƚƌŽŶŐĐŚҤƚďĄŶĚҧŶ͘
ϰ͘ DҺŝƋƵĂŶŚҵŐŝӋĂŶһŶŐĜҾĜŝҵŶƚӊǀăůҽƚƌҺŶŐƚƌŽŶŐďĄŶĚҧŶƚŚƵҥŶ;ŝŶƚƌŝŶƐŝĐƐĞŵŝĐŽŶĚƵĐƚŽƌͿ͍
ϱ͘ EġƵŬŚĄŝŶŝҵŵŽŶŽƌǀăĐĐĞƉƚŽƌ͍
ϲ͘ DҾƚďĄŶĚҧŶĜӇӄĐƉŚĂƚҢƉĜһŶŐƚŚӁŝŽŶŽƌǀăĐĐĞƉƚŽƌ͘sŝұƚƉŚӇҿŶŐƚƌŞŶŚdžĄĐĜҷŶŚŶһŶŐĜҾ
ĜŝҵŶƚӊǀăůҽƚƌҺŶŐӂƚƌҢŶŐƚŚĄŝĐąŶďҪŶŐ͘
ϳ͘ <ŚŝŶăŽdžҤƉdžšŽůƚnjŵĂŶŶĐſƚŚҳƐӊĚӅŶŐƚŚĂLJĐŚŽŚăŵ&ĞƌŵŝͲŝƌĂĐĐŚŽŚăŵƉŚąŶďҺĜŝҵŶƚӊ
ƚƌŽŶŐďĄŶĚҧŶ͘
ϴ͘ ,ĂŝĐҿĐŚұĐҿďңŶĐӆĂĚžŶŐĜŝҵŶƚƌŽŶŐĐŚҤƚďĄŶĚҧŶůăŐŞ͍
ϵ͘ ^ӌŬŚĄĐŶŚĂƵŐŝӋĂĚžŶŐĜŝҵŶǀăŵҨƚĜҾĚžŶŐĜŝҵŶ͍
ϭϬ͘ ҷŶŚŶŐŚšĂĜҾĚҧŶĜŝҵŶ͘ҿŶǀҷůăŐŞ͍
ϭϭ͘ dƌŞŶŚďăLJŬŚĄŝŶŝҵŵǀăĜҷŶŚŶŐŚšĂǀҲĜҾůŝŶŚĜҾŶŐ͘dŚӈŶŐƵLJġŶůăŐŞ͍
ϭϮ͘ 'ŝңŝƚŚşĐŚƚҢŝƐĂŽĐĄĐŚҢƚƚңŝĜĂƐҺǀăŚҢƚƚңŝƚŚŝҳƵƐҺĐſĜҾůŝŶŚĜҾŶŐŬŚĄĐŶŚĂƵ͍
ϭϯ͘ dҢŝƐĂŽŵҾƚďĄŶĚҧŶƉŚĂƚҢƉůҢŝĚҧŶĜŝҵŶƚҺƚŚҿŶŵҾƚďĄŶĚҧŶƚŚƵҥŶ͍
ϭϰ͘ dŚұŶăŽůăƚĄŶdžҢƚƌġŶƚҢƉĐŚҤƚŝŽŶŚſĂ;ŝŽŶŝnjĞĚŝŵƉƵƌŝƚLJƐĐĂƚƚĞƌŝŶŐͿ͍dĄŶdžҢŶăLJŵҢŶŚŚҿŶŬŚŝ
ƉŚĂƚҢƉŶҭŶŐŚĂLJƉŚĂƚҢƉŶŚҮ͍<ŚŝӂŶŚŝҵƚĜҾĐĂŽŚĂLJӂŶŚŝҵƚĜҾƚŚҤƉ͍dҢŝƐĂŽ͘
ϭϱ͘ ĄĐĜŝҵŶƚӊůƵƀŶŬŚƵұĐŚƚĄŶǀҲŵŝҲŶĐſŶһŶŐĜҾƚŚҤƉŚҿŶ͘ŝҲƵŶăLJĐſĜƷŶŐĜҺŝǀӀŝůҽƚƌҺŶŐ͍
ϭϲ͘ dƌŞŶŚďăLJƐӌŬŚĄĐŶŚĂƵŐŝӋĂŚŝҵŶƚӇӄŶŐƋƵĂŶŐƐŝŶŚ;ŽƉƚŝĐĂůĐĂƌƌŝĞƌŐĞŶĞƌĂƚŝŽŶͿǀăŶŚŝҵƚƐŝŶŚ
;ƚŚĞƌŵĂůĐĂƌƌŝĞƌŐĞŶĞƌĂƚŝŽŶͿ͍
ϭϳ͘ dŚұŶăŽůăŵҾƚďҧLJŚҢƚƚңŝ͍
ϭϴ͘ dŚұŶăŽůăĐĄĐŚҢƚƚңŝƚŚӉĂ;ĞdžĐĞƐƐĐĂƌƌŝĞƌͿ͍
ϭϵ͘ ҷŶŚŶŐŚšĂƚŚӁŝŐŝĂŶƐҺŶŐĐӆĂŚҢƚƚңŝƚŚӉĂ͍
ϮϬ͘ dƌŞŶŚďăLJljŶŐŚšĂĐӆĂƉŚӇҿŶŐƚƌŞŶŚůŝġŶƚӅĐ͘
WŚҥŶ//͘ăŝƚҨƉ;ϱĜŝҳŵͿ
ϭ͘ ŚŽŵҾƚŶҺŝƉͲŶ^ŝůljƚӇӂŶŐӂŶŚŝҵƚĜҾƉŚžŶŐ͘
Ă͘ dşŶŚƚŚұƉŚąŶĐӌĐĜӇӄĐĄƉǀăŽĚŝŽĚĞƉͲŶůljƚӇӂŶŐƐĂŽĐŚŽĚžŶŐŶŐӇӄĐĜҢƚϵϬйĚžŶŐŶŐӇӄĐ
ďĆŽŚžĂ͘
ď͘ ,ĆLJƚşŶŚĚžŶŐďĆŽŚžĂŶŐӇӄĐĐӆĂĚŝŽĚĞǀӀŝĐĄĐƚŚƀŶŐƐҺƐĂƵ͗EсϯdžϭϬϭϴĐŵͲϯ͕EсϭϬϭϲ
ĐŵͲϯ͖WŶсϮPƐ͕WƉсϬ͘ϱPƐ͕ŶсϮϭĐŵϮͬƐĞĐ͕Ɖ сϭϬĐŵϮͬƐĞĐǀăƚŝұƚĚŝҵŶůăϭ͘ϮdžϭϬͲϱĐŵϮ͕Ŷŝс
ϵ͘ϲϱdžϭϬϵĐŵͲϯ͘
Đ͘ dşŶŚĚžŶŐƚŚƵҨŶŬŚŝŐŝĄƚƌҷƚŚұƉŚąŶĐӌĐůăϬ͘ϲϱs͘
Ϯ͘ ҔŶŚŝҵƚĜҾƉŚžŶŐ͕ŵҾƚƚƌĂŶƐŝƐƚŽƌƉͲŶͲƉ^ŝĐſŶһŶŐĜҾŚҢƚƚңŝƚƌŽŶŐĐĄĐŵŝҲŶĐӌĐƉŚĄƚ͕ĐӌĐŶҲŶ
ǀăĐӌĐƚŚƵůҥŶůӇӄƚůăϲuϭϬϭϴĐŵͲϯ͕ϮuϭϬϭϳĐŵͲϯǀăϭϬϭϲĐŵͲϯ͘ӌĐŶҲŶĜӇӄĐĐŚұƚҢŽĐſĜҾƌҾŶŐ
ůăϭPŵ͕ƚŝұƚĚŝҵŶŶŐĂŶŐůăϬ͘ϯŵŵϮ͘'ŝңƐӊŶҺŝͲƉŚąŶĐӌĐƚŚƵҨŶϬ͘ϲsǀăŶҺŝͲƉŚąŶĐӌĐ
ŶŐӇӄĐϱs͘,ĆLJƚşŶŚĜҾƌҾŶŐǀƶŶŐƚƌƵŶŐŚŽăƚƌŽŶŐĐӌĐŶҲŶ͘dşŶŚĚžŶŐ/ƉŶұƵŚҵƐҺŬŚƵұĐŚƚĄŶ
ĐӆĂŚҢƚƚңŝƚŚŝҳƵƐҺƚƌŽŶŐĐӌĐŶҲŶůăϰϬĐŵϮͬƐ͘ŚŽŶŝсϵ͘ϲϱuϭϬϵĐŵͲϯ͕HƐсϭϭ͘ϵ͘
Lмuýǣ‹Š˜‹²¯ዛዘ…•ዞ†ዙ‰–‹Ž‹ዉ—˜ž›–ÀŠǤ
Ĉӄ7+,
0Ð1&Ѫ6Ӣ/,1+.,ӊ1%È1'Ү1
7+Ӡ,*,$13+Ò7
3KҫQ,/êWKX\ӃW ÿLӇP 
 7KӃQjROjWUҥQJWKiLGӯQJ"
 7ҥLVDRWURQJPӝW'LRGHGzQJÿLӋQQKӓNKLSKkQFӵFQJKӏFKYjOӟQNKLSKkQFӵFWKXұQ"
 7URQJWUҥQJWKiLFkQEҵQJFӫDPӝWGLRGHSQOêJLҧLWҥLVDRÿLӋQWӱFyWKӇGLFKX\ӇQWӯYQJQ
VDQJYQJSNKLUjRWKӃÿmÿѭӧFKuQKWKjQKWURQJYQJQJKqR"
 6ӵNKiFQKDXJLӳDFҩXWU~FYQJQăQJOѭӧQJFӫDPӝWFKҩWEiQYjPӝWNLPORҥLOjJu"
 7ҥLVDRӣ.PӝWFKҩWEiQGүQOҥLJLӕQJQKѭFKҩWÿLӋQP{L
 1rXNKiLQLӋPOӛWUӕQJWURQJFKҩWEiQGүQ
 7URQJÿLӅXNLӋQQjRWKuFiFPӭFGRQRUULrQJELӋWPӣUӝQJWKjQKPӝWYQJKҽS"
 +DLFѫFKӃFѫEҧQFӫDGzQJÿLӋQWURQJFKҩWEiQGүQOjJu"0{WҧFѫFKӃQj\
 7UuQKEj\NKiLQLӋPYӅÿӝOLQKÿӝQJ
*LҧLWKtFKWҥLVDRFiFKҥWWҧLÿDVӕYjKҥWWҧLWKLӇXVӕFyÿӝOLQKÿӝQJNKiFQKDX"
7KӃQjROjWiQ[ҥWUrQWҥSFKҩWLRQKyD"7iQ[ҥQj\PҥQKKѫQNKLSKDWҥSQһQJKD\SKDWҥS
QKҽ".KLӣQKLӋWÿӝFDRKD\ӣQKLӋWÿӝWKҩS"7ҥLVDR
7iQ[ҥSKRQRQOjJu"1yPҥQKKѫQӣQKLӋWÿӝWKҩSKD\QKLӋWÿӝFDR"7ҥLVDR
7KӃQjROjPӝWEү\KҥWWҧL"
7UuQKEj\NKiLQLӋPYӅFiFKҥWWҧLWKӯD"
ĈӏQKQJKƭDWKӡLJLDQVӕQJFӫDKҥWWҧLWKӯD"
3KҫQ,,%jLWұS ÿLӇP 
 &KRPӝWQӕLSQ6LOêWѭӣQJӣQKLӋWÿӝq&SKDWҥSÿӗQJQKҩWWUrQPLӅQSYjQYӟL1$ ൈ
FPYj1'  ൈFP6ӵWKD\ÿәLYQJFҩPFӫD6LWKHRQKLӋWÿӝÿѭӧFELӇXGLӉQEӣLF{QJ
WKӭF
ߙܶ ଶ
‫ܧ‬௚ ሺܶሻ ൌ ‫ܧ‬௚ ሺͲሻ െ 
ܶ൅ߚ

YӟL(J   H9D ൈH9.E .0ұWÿӝWUҥQJWKiLKLӋXGөQJWURQJYQJGүQYj


YQJKRiWUӏSKөWKXӝFYjRQKLӋWÿӝYjÿѭӧFELӇXGLӉQOҫQOѭӧWEҵQJF{QJWKӭF
య య

ଵଽ
݉௘‫ כ‬మ ܶ మ
ܰ஼ ൌ ʹǤͷͶ ൈ  ͳͲ ൬ ൰ ൬ ൰ ܿ݉ିଷ 
݉଴ ͵ͲͲ
య య
݉௛‫ כ‬మ ܶ మ
ܰ௏ ൌ ʹǤͷͶ ൈ  ͳͲଵଽ ቆ ቇ ൬ ൰ ܿ݉ିଷ 
݉଴ ͵ͲͲ

D 7tQKQӗQJÿӝKҥWWҧLWKXҫQFӫD6L&iFNKӕLOѭӧQJKLӋXGөQJOjPH  PYjPK  


P
E 7tQKWKӃQӝLYjÿӝUӝQJYQJQJKqRFӫDQӕLSQĈӝÿLӋQWKҭPÿLӋQWѭѫQJÿӕLFӫD6LOj
 0ӝWWUDQVLVWRUOêWѭӣQJSQSFyQӗQJÿӝSKDWҥSӣFӵFSKiWFӵFQӅQYjFӵFWKXOҫQOѭӧWOju
FPuFPYjFPWKӡLJLDQVӕQJFӫDKҥWWҧLWKLӇXVӕWѭѫQJӭQJOjYj
V*LҧVӱUҵQJWLӃWGLӋQQJDQJFӫDWUDQVLVWRUOjPPYjQӕL(%SKkQFӵFWKXұQ97uPÿӝ
OӧLGzQJFӫDWUDQVLVWRUWURQJVѫÿӗFӵFQӅQFKXQJӣQKLӋWÿӝ.&KRELӃWKӋVӕNKXӃFKWiQ
WURQJFiFPLӅQOj'( FPV'S FPVYj'& FPVYjÿӝUӝQJYQJWUXQJKRjWUrQFӵF
QӅQOjPP
͵Ǥ ዒ–’Š‹ዅ„ž†ኻ‹¯ዛዘ…’Šƒ–኶’¯ዏ‰Šኸ–˜ዔ‹ͳͲͳ͸…Ǧ͵‰—›²–ዞƒ–‹‘›ȋ„ȌǤ
ƒǤ ÀŠ¯‹ዉ–”ዖ•—ኸ–…ዚƒኻ—˜˜ዋ–”À…ዚƒዜ…£‰Žዛዘ‰ ‡”‹•‘˜ዔ‹ዜ… ‡”‹–”‘‰‹
–Š—ኹǤ
„Ǥ ƒ—¯×ኻ—‹×‹–”²¯ዛዘ…–‹ዅ’–ዙ…’Šƒ–኶’–Š²˜ዔ‹ʹšͳͲͳ͹…Ǧ͵‰—›²–ዞ„‘”‘ȋȌǤ
ÀŠ¯‹ዉ–”ዖ•—ኸ–˜˜ዋ–”À…ዚƒዜ…£‰Žዛዘ‰ ‡”‹–”‘‰–”ዛዕ‰Šዘ’›•‘˜ዔ‹ዜ…
‡”‹–”‘‰‹–Š—ኹǤ
'ŝңƐӊƐӌŝŽŶŚſĂů㌎ăŶƚŽăŶ͕ŵҧƵ^ŝĜӇӄĐĐŚŽӂŶŚŝҵƚĜҾϮϳϬ͕ŶһŶŐĜҾŚҢƚƚңŝƚŚƵҥŶůăϭ͘ϰϱdžϭϬϭϬ
ĐŵͲϯ͕ĜҾůŝŶŚĜҾŶŐĜŝҵŶƚӊǀăůҽƚƌҺŶŐůҥŶůӇӄƚůăϭϯϱϬĐŵϮͬsƐǀăϰϱϬĐŵϮͬsƐ͘

ͶǤ Š‘ዒ–ዎ‹’Ǧ‹Žý–ዛዖ‰ዖŠ‹ዉ–¯ዒ’ŠÖ‰Ǥ
…Ǥ ÀŠ–Šዅ’ŠŸ…ዠ…¯ዛዘ…ž’˜‘†‹‘†‡’ǦŽý–ዛዖ‰•ƒ‘…Š‘†Ö‰‰ዛዘ…¯኶–ͻͲΨ
†Ö‰‰ዛዘ…„ ‘ŠÖƒǤ
†Ǥ  ›–ÀŠ†Ö‰„ ‘ŠÖƒ‰ዛዘ……ዚƒ†‹‘†‡˜ዔ‹…ž…–ŠØ‰•ዎ•ƒ—ǣα͵šͳͲͳͺ…Ǧ͵ǡ
αͳͲͳ͸…Ǧ͵ǢWαʹP•ǡW’αͲǤͷP•ǡαʹͳ…ʹȀ•‡…ǡ’αͳͲ…ʹȀ•‡…˜–‹ዅ–†‹ዉŽͳǤʹ
šͳͲǦͷ…ʹǡ‹αͻǤ͸ͷšͳͲͻ…Ǧ͵Ǥ
‡Ǥ ÀŠ†Ö‰–Š—ኼŠ‹‰‹ž–”ዋ–Šዅ’ŠŸ…ዠ…ŽͲǤ͸ͷǤ


/ѭXê6LQKYLrQÿѭӧFVӱGөQJWjLOLӋXYjPi\WtQK
Ĉӄ7+,
0Ð1&Ѫ6Ӣ/,1+.,ӊ1%È1'Ү1
7+Ӡ,*,$13+Ò7

I. CâuhЮi:ȋ͵¯‹዇Ȃ…Šዌ–”኷Žዕ‹͵–”‘‰͸…Ÿ—Ǣ’Šኹ–”኷Žዕ‹›²—…ኹ—‰ኽ‰ዌȌ

ͳǤ ዠŠž…„‹ዉ–‰‹ዟƒ…ኸ—–”£‰Žዛዘ‰…ዚƒዒ–…Šኸ–„ž†ኻ˜ዒ–‹
Ž‘኶‹Ž‰¿ǫ
ʹǤ ኶‹•ƒ‘ዒ–…Šኸ–„ž†ኻዖͲ‰‹ዎ‰Šዛዒ–…Šኸ–…ž…Š¯‹ዉǫ኶‹•ƒ‘¯ዒ†ኻ
…ዚƒ×–£‰Š‹Š‹ዉ–¯ዒ–£‰ǫ
͵Ǥ ž…¯‹ዉ–ዞ–”‘‰…Šኸ–„ž†ኻ–—Ÿ–Š‡‘Š’ŠŸ„ዎ‘ǫ0‹዆—‹ዉ‘–Š¿
Š›…×–Š዇šኸ’šዊŠ’ŠŸ„ዎ‘Ž–œƒǫ
ͶǤ ƒ‹…ዓ…Šዅ…ዓ„኷…ዚƒ†Ö‰¯‹ዉ–”‘‰…Šኸ–„ž†ኻŽ‰¿ǫ
‹኷‹–ŠÀ…Šý‰ŠÂƒ˜ኼ–
Žý…ዚƒ–ዝ‰Ž‘኶‹Ǥ
ͷǤ 0‹ዉ –ዞ ƒ‰ †Ö‰ ¯‹ዉ –”‘‰ …ž… ‹ Ž‘኶‹Ǥ ዑ –”ዎ‰ ƒ‰ †Ö‰ ¯‹ዉ –”‘‰
„ž†ኻŽ‘኶‹’Ǥኼ›Šƒ‹˜ኼ–Ž‹ዉ—›…×–Š዇ዎ‹˜ዔ‹Šƒ—–኶‘ዒ–኶…Š¯‹ዉÀ
Šƒ›ŠØ‰ǫ²—Žý†‘Ǥ
͸Ǥ ኶‹•ƒ‘…ž…Š኶––኷‹¯ƒ•ዎ˜…ž…Š኶––኷‹–Š‹዇—•ዎ–”‘‰ዒ–…Šኸ–„ž†ኻŽ኶‹…ׯዒ
Ž‹Š¯ዒ‰Šž…Šƒ—ǫ

II. BàitНpȋ͹¯‹዇Ȍ

ͳǤ ዒ– ’Š‹ዅ „ž †ኻ ‹ ¯ዛዘ… ’Šƒ –኶’ ¯ዏ‰ Šኸ– ˜ዔ‹ ͳͲͳ͸ …Ǧ͵ ‰—›² –ዞ
ƒ–‹‘›ȋ„ȌǤ
ƒǤ ÀŠ¯‹ዉ–”ዖ•—ኸ–…ዚƒኻ—˜˜ዋ–”À…ዚƒዜ…£‰Žዛዘ‰ ‡”‹•‘˜ዔ‹ዜ…
‡”‹–”‘‰‹–Š—ኹǤ
„Ǥ ƒ— ¯× ኻ— ‹ ×‹ –”² ¯ዛዘ… –‹ዅ’ –ዙ… ’Šƒ –኶’ –Š² ˜ዔ‹ ʹ š ͳͲͳ͹…Ǧ͵
‰—›² –ዞ „‘”‘ ȋȌǤ ÀŠ ¯‹ዉ –”ዖ •—ኸ– ˜ ˜ዋ –”À …ዚƒ ዜ… £‰ Žዛዘ‰
‡”‹–”‘‰–”ዛዕ‰Šዘ’›•‘˜ዔ‹ዜ… ‡”‹–”‘‰‹–Š—ኹǤ
*Lҧ Vӱ Vӵ LRQ KyD Oj KRjQ WRjQ PүX 6L ÿѭӧF FKR ӣ QKLӋW ÿӝ & QӗQJ ÿӝ KҥW WҧL
WKXҫQOj[FPÿӝOLQKÿӝQJÿLӋQWӱYjOӛWUӕQJOҫQOѭӧWOjFP9VYj
FP9V
ʹǤ Š‘ዒ–ዎ‹’Ǧ‹Žý–ዛዖ‰ዖŠ‹ዉ–¯ዒ’ŠÖ‰Ǥ
ƒǤ ÀŠ–Šዅ’ŠŸ…ዠ…¯ዛዘ…ž’˜‘†‹‘†‡’ǦŽý–ዛዖ‰•ƒ‘…Š‘†Ö‰‰ዛዘ…¯኶–
ͻͲΨ†Ö‰‰ዛዘ…„ ‘ŠÖƒǤ
„Ǥ  ›–ÀŠ†Ö‰„ ‘ŠÖƒ‰ዛዘ……ዚƒ†‹‘†‡˜ዔ‹…ž…–ŠØ‰•ዎ•ƒ—ǣα͵šͳͲͳͺ
…Ǧ͵ǡαͳͲͳ͸…Ǧ͵ǢWαʹP•ǡW’αͲǤͷP•ǡαʹͳ…ʹȀ•‡…ǡ’ αͳͲ…ʹȀ•‡…
˜–‹ዅ–†‹ዉŽͳǤʹšͳͲǦͷ…ʹǡ‹αͻǤ͸ͷšͳͲͻ…Ǧ͵Ǥ
…Ǥ ÀŠ†Ö‰–Š—ኼŠ‹‰‹ž–”ዋ–Šዅ’ŠŸ…ዠ…ŽͲǤ͸ͷǤ

ዛ—ýǣ
‹Š˜‹²¯ዛዘ…•ዞ†ዙ‰–‹Ž‹ዉ—˜ž›–ÀŠǤ

Ĉӄ7+,
0Ð1&Ѫ6Ӣ/,1+.,ӊ1%È1'Ү1
7+Ӡ,*,$13+Ò7

I. CâuhЮi:ȋ͵¯‹዇Ȃ…Šዌ–”኷Žዕ‹͵–”‘‰͸…Ÿ—Ǣ’Šኹ–”኷Žዕ‹›²—…ኹ—‰ኽ‰ዌȌ

ͳǤ ዠŠž…„‹ዉ–‰‹ዟƒ…ኸ—–”£‰Žዛዘ‰…ዚƒዒ–…Šኸ–„ž†ኻ˜ዒ–‹
Ž‘኶‹Ž‰¿ǫ
ʹǤ ኶‹•ƒ‘ዒ–…Šኸ–„ž†ኻዖͲ‰‹ዎ‰Šዛዒ–…Šኸ–…ž…Š¯‹ዉǫ኶‹•ƒ‘¯ዒ†ኻ
…ዚƒ×–£‰Š‹Š‹ዉ–¯ዒ–£‰ǫ
͵Ǥ ž…¯‹ዉ–ዞ–”‘‰…Šኸ–„ž†ኻ–—Ÿ–Š‡‘Š’ŠŸ„ዎ‘ǫ0‹዆—‹ዉ‘–Š¿
Š›…×–Š዇šኸ’šዊŠ’ŠŸ„ዎ‘Ž–œƒǫ
ͶǤ ƒ‹…ዓ…Šዅ…ዓ„኷…ዚƒ†Ö‰¯‹ዉ–”‘‰…Šኸ–„ž†ኻŽ‰¿ǫ
‹኷‹–ŠÀ…Šý‰ŠÂƒ˜ኼ–
Žý…ዚƒ–ዝ‰Ž‘኶‹Ǥ
ͷǤ 0‹ዉ –ዞ ƒ‰ †Ö‰ ¯‹ዉ –”‘‰ …ž… ‹ Ž‘኶‹Ǥ ዑ –”ዎ‰ ƒ‰ †Ö‰ ¯‹ዉ –”‘‰
„ž†ኻŽ‘኶‹’Ǥኼ›Šƒ‹˜ኼ–Ž‹ዉ—›…×–Š዇ዎ‹˜ዔ‹Šƒ—–኶‘ዒ–኶…Š¯‹ዉÀ
Šƒ›ŠØ‰ǫ²—Žý†‘Ǥ
͸Ǥ ኶‹•ƒ‘…ž…Š኶––኷‹¯ƒ•ዎ˜…ž…Š኶––኷‹–Š‹዇—•ዎ–”‘‰ዒ–…Šኸ–„ž†ኻŽ኶‹…ׯዒ
Ž‹Š¯ዒ‰Šž…Šƒ—ǫ

II. BàitНpȋ͹¯‹዇Ȍ

ͳǤ ዒ– ’Š‹ዅ „ž †ኻ ‹ ¯ዛዘ… ’Šƒ –኶’ ¯ዏ‰ Šኸ– ˜ዔ‹ ͳͲͳ͸ …Ǧ͵ ‰—›² –ዞ
ƒ–‹‘›ȋ„ȌǤ
ƒǤ ÀŠ¯‹ዉ–”ዖ•—ኸ–…ዚƒኻ—˜˜ዋ–”À…ዚƒዜ…£‰Žዛዘ‰ ‡”‹•‘˜ዔ‹ዜ…
‡”‹–”‘‰‹–Š—ኹǤ
„Ǥ ƒ— ¯× ኻ— ‹ ×‹ –”² ¯ዛዘ… –‹ዅ’ –ዙ… ’Šƒ –኶’ –Š² ˜ዔ‹ ʹ š ͳͲͳ͹…Ǧ͵
‰—›² –ዞ „‘”‘ ȋȌǤ ÀŠ ¯‹ዉ –”ዖ •—ኸ– ˜ ˜ዋ –”À …ዚƒ ዜ… £‰ Žዛዘ‰
‡”‹–”‘‰–”ዛዕ‰Šዘ’›•‘˜ዔ‹ዜ… ‡”‹–”‘‰‹–Š—ኹǤ
*Lҧ Vӱ Vӵ LRQ KyD Oj KRjQ WRjQ PүX 6L ÿѭӧF FKR ӣ QKLӋW ÿӝ & QӗQJ ÿӝ KҥW WҧL
WKXҫQOj[FPÿӝOLQKÿӝQJÿLӋQWӱYjOӛWUӕQJOҫQOѭӧWOjFP9VYj
FP9V
ʹǤ Š‘ዒ–ዎ‹’Ǧ‹Žý–ዛዖ‰ዖŠ‹ዉ–¯ዒ’ŠÖ‰Ǥ
ƒǤ ÀŠ–Šዅ’ŠŸ…ዠ…¯ዛዘ…ž’˜‘†‹‘†‡’ǦŽý–ዛዖ‰•ƒ‘…Š‘†Ö‰‰ዛዘ…¯኶–
ͻͲΨ†Ö‰‰ዛዘ…„ ‘ŠÖƒǤ
„Ǥ  ›–ÀŠ†Ö‰„ ‘ŠÖƒ‰ዛዘ……ዚƒ†‹‘†‡˜ዔ‹…ž…–ŠØ‰•ዎ•ƒ—ǣα͵šͳͲͳͺ
…Ǧ͵ǡαͳͲͳ͸…Ǧ͵ǢWαʹP•ǡW’αͲǤͷP•ǡαʹͳ…ʹȀ•‡…ǡ’ αͳͲ…ʹȀ•‡…
˜–‹ዅ–†‹ዉŽͳǤʹšͳͲǦͷ…ʹǡ‹αͻǤ͸ͷšͳͲͻ…Ǧ͵Ǥ
…Ǥ ÀŠ†Ö‰–Š—ኼŠ‹‰‹ž–”ዋ–Šዅ’ŠŸ…ዠ…ŽͲǤ͸ͷǤ

ዛ—ýǣ
‹Š˜‹²¯ዛዘ…•ዞ†ዙ‰–‹Ž‹ዉ—˜ž›–ÀŠǤ


Anda mungkin juga menyukai