Anda di halaman 1dari 2

`timescale 1ns / 1ps

// Module Name: fulladder01sim

module fulladder01sim( );
reg a,b,c;
fulladder01 inst(a,b,c,s,c1);
initial
begin
a=0;
b=0;
c=0;
#20;
c=1;
#20;
b=1;
c=0;
#20;
c=1;
#20;
a=1;
b=0;
c=0;
#20;
c=1;
#20;
b=1;
c=0;
#20;
c=1;
end

`timescale 1ns / 1ps

// Module Name: fulladder01sim


/

module fulladder01sim();
reg a,b,c;
fulladder01 inst(a,b,c,s,c1);
initial
begin
a=0;
b=0;
c=0;
#20;
c=1;
#20;
b=1;
c=0;
#20;
c=1;
#20;
a=1;
b=0;
c=0;
#20;
c=1;
#20;
b=1;
c=0;
#20;
c=1;
end
endmodule

Anda mungkin juga menyukai