Anda di halaman 1dari 10

3700110 IEEE JOURNAL OF SELECTED TOPICS IN QUANTUM ELECTRONICS, VOL. 22, NO.

6, NOVEMBER/DECEMBER 2016

Silicon-Photonics Microring Links for


Datacenters—Challenges
and Opportunities
Abdelrahman H. Ahmed, Student Member, IEEE, Ahmad Sharkia, Student Member, IEEE,
Bryan Casper, Member, IEEE, Shahriar Mirabbasi, Member, IEEE,
and Sudip Shekhar, Senior Member, IEEE

Abstract—The rapid growth of warehouse-scale datacenters


demands high-throughput optical interconnects that can span
short-to-medium reach distances (< few kilometers). Microring-
based silicon-photonics links with single-mode fibers are highly
promising for these applications. This paper presents an analysis
of microring-based links from the holistic perspective of optical
devices, CMOS circuits, and system-level link budget and energy-
efficiency simulations. Design considerations and tradeoffs for the
receiver, transmitter, and the overall link are presented, and com-
parisons are made to the mainstream multimode vertical-cavity
surface-emitting laser-based links with multi-mode fibers. Finally, Fig. 1. 25 Gb/s per lane I/O technology as a function of interconnect length
research opportunities are highlighted for further improving for datacenter applications.
the energy efficiency of single channel and wavelength division
multiplexing-based silicon-photonics links.
chip links, such as CPU-to-memory links. Likewise, [3] studied
Index Terms—Datacenter, energy efficiency, laser, link budget, the viability of using silicon photonics for chip-to-chip and
Mach–Zehnder interferometer, MZI, microring modulator, opti-
cal link, transimpedance amplifier, TIA, VCSEL, WDM, wall plug on-chip optical communications. A detailed analysis on silicon
efficiency. microring resonator (MRR)-based links for chip-to-chip and
CPU-to-memory applications is presented in [4], focusing on
I. INTRODUCTION the optical components.
HE insatiable demand for data storage and communication Fig. 1 shows the scope of different I/O technologies for dat-
T has resulted in the rapid expansion of data centers to mas-
sive warehouse proportions, necessitating optical interconnects
acenter applications for different interconnect lengths, for a
data rate of 25 Gb/s. For short reach (<100 m), multi-mode
between servers and racks that span a range of distances from a (MM) vertical-cavity surface-emitting laser (VCSEL) coupled
few meters to a few kilometers [1]. A significant portion of the to multi-mode fibers (MMFs) are prevalent. Beyond 100 m,
operating cost of datacenters is attributed to the consumption even the higher- BW OM4 MMFs suffer from significant loss.
of large amounts of electrical power. A notable portion of Due to modal and chromatic dispersion, along with mode par-
this electrical power, in turn, is dissipated in the high-speed tition noise from the MM VCSEL [5], a severe power penalty
interconnects. Clearly, a reduction in the power consumption (>5 dB) is predicted for a 300 m channel at a bit-error-rate
of the interconnects leads to more efficient datacenters, with (BER) of 10–12 [6]. To maintain a low latency for datacenter
lower operating costs and lower carbon footprints. applications, higher BERs in conjunction with forward error
Several published works have already attempted to study the correction (FEC) are undesirable. This renders the mainstream
viability of building high-bandwidth (BW), silicon photonic- MM VCSEL-based links challenging to implement for > 100 m.
based, optical interconnects [2]–[4]. For example, [2] studied The reach of MMF may be extended to few hundred meters using
the viability of using optical interconnects for short chip-to- single-mode (SM) or quasi-SM VCSELs [7]. SM VCSELs are
also being developed to be used with single-mode fibers (SMFs)
Manuscript received February 3, 2016; revised April 18, 2016 and June 8, to further extend the reach [8]. On the other hand, an MRR-based
2016; accepted June 11, 2016. Date of publication June 20, 2016; date of current silicon-photonics link presents itself as a strong candidate for
version September 13, 2016. This work was supported in part by the Natural all of these distances. Leveraging the benefits of CMOS tech-
Sciences and Engineering Research Council of Canada. Access to CAD tools is
facilitated by CMC Microsystems. nology and manufacturing capabilities, silicon-photonics links
A. H. Ahmed, A. Sharkia, S. Mirabbasi, and S. Shekhar are with the with SMFs offer the benefit of superior energy efficiency for
Department of Electrical and Computer Engineering, University of British medium reach interconnects. However, with the advances made
Columbia, Vancouver, BC V6T1Z4, Canada (e-mail: abdelrahman@ece.ubc.ca;
sharkia@ece.ubc.ca; shahriar@ece.ubc.ca; sudip@ece.ubc.ca). in silicon-photonics technology over the last decade, a com-
B. Casper is with Intel Corporation, Hillsboro, OR 97124 USA (e-mail: parison between MRR-based links and VCSEL-based links is
bryan.k.casper@intel.com). insightful even for lengths < 100 m.
Color versions of one or more of the figures in this paper are available online
at http://ieeexplore.ieee.org. This paper discusses various design considerations for
Digital Object Identifier 10.1109/JSTQE.2016.2582345 optical links intended to be used within warehouse-size
1077-260X © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission.
See http://www.ieee.org/publications standards/publications/rights/index.html for more information.
AHMED et al.: SILICON-PHOTONICS MICRORING LINKS FOR DATACENTERS—CHALLENGES AND OPPORTUNITIES 3700110

TABLE I
BEST-IN-CLASS PHOTODETECTORS

CP D (fF) R (A/W) Data Rate (Gb/s) Wavelength (nm)

[10] 65 0.75 25 1300


[11] 80 0.55 25 850
[12] 2001 1 24 1550
[13] 10 / 801 0.6 252 1550
[14] 20 0.8 25 1550
[15] 44 0.54 25 1545

1
Fig. 2. A typical optoelectronic receiver consisting of a PD, TIA, MA(s) and Total capacitance includes PD, bonding pad, and ESD capacitances.
2
finally a clocked-SA. Reported BW in GHz.

datacenters and other short-to-medium reach (few meters to directly affects the RX sensitivity and impacts the link budget
kilometer) applications. The discussions evaluate the impact on and power consumption.
the overall link performance due to each element in the optical The PD capacitance (CPD ) is the parasitic capacitance at the
link - interconnects, optoelectronic transmitter (TX) and receiver output of the PD (CPD has been incorporated into the total ca-
(RX). Section II of the paper discusses the various elements of a pacitance CT , and not explicitly shown in Fig. 2). CPD can
typical optoelectronic RX, providing an in-depth noise analysis be reduced by making the active region thicker and smaller in
of a transimpedance amplifier (TIA) architecture. The tradeoffs diameter at the expense of increased carrier transit time and
in the design of an RX that set the limit for the RX sensitivity alignment tolerances [6]. In addition, any capacitance between
are analyzed. Section III briefly presents an overview of MRR- the PD output and the TIA input, such as pads, electrostatic
based TX and VCSEL-based TX, and lists the best-in-class discharge (ESD) device, packaging and routing, leads to in-
device performances reported to date. With an understanding creasing the effective PD capacitance and thus degrading the
of the limits of RX sensitivity and TX tradeoffs, and setting RX BW. PDs can either be integrated, i.e. implemented on the
the baseline with the current best-in-class devices, Section IV same CMOS chip as the TIA and the rest of the RX front-end, or
presents the link budget and energy efficiency calculations for discrete, i.e. fabricated on a separate chip and connected to the
MRR-based and VCSEL-based links for various lengths of the RX front-end either using wire bonding or flip-chip packaging.
interconnect. It highlights the benefits of each approach, expos- Monolithically integrated PDs are desirable since they do not
ing the challenges associated with them that limit the overall require additional packaging steps and offer lower parasitic ca-
system performance, and setting the ground for future research. pacitances due to the lack of pads, ESD, and package parasitics
Section V explores the opportunities of MRR-based links in between the PD and the TIA. Although a topic of active ongoing
realizing Tb/s aggregate throughput using wavelength-division research, integrated PDs typically suffer from lower responsiv-
multiplexing (WDM), and discusses the research challenges. ities [9], attributed to their fabrication on CMOS processes that
Finally, the paper is concluded in Section VI. are not optimized for optoelectronic devices. Integrated PDs on
CMOS SOI processes with Ge doping have better responsivities
II. OPTICAL LINK: RECEIVER [7], but introduction of Ge requires high-temperature process-
Fig. 2 shows an optoelectronic RX that converts the received ing and may degrade the transistor performance [2]. Table I lists
modulated optical signal into an electrical signal, amplifies it, some of the state-of-the-art PDs operating up to 25 Gb/s.
and prepares it to be processed by the RX digital core. A photo-
diode (PD) is used to convert the optical signal into an electrical B. TIA and RX Front-End
current. The PD is followed by a TIA and a main amplifier (MA)
to amplify the electrical signal and convert it from a single-ended A TIA is a gain stage used to convert the PD output current
current to a differential voltage. A clocked-sense-amplifier (SA) to a voltage signal that can be further processed by the RX. The
is used after the MA to re-time the signal and provide a rail- TIA is required to have sufficient gain based on the sensitivity
to-rail digital output which can either be processed on the same demands of the SA, appropriate –3 dB BW for the link data
chip, or buffered and sent to another chip. The SA itself could rate, and minimum noise contribution for achieving the BER
be integrated with the optoelectronic RX on the same chip along requirements. These characteristics are strongly coupled to one
with clock recovery, or implemented separately. A replica TIA is another and must be addressed carefully. Several architectures
often used for generating an automatic threshold control voltage have been recently published [16]–[18] to relax the gain-BW
(VATC ), which helps in the single-ended to differential conver- tradeoff in TIAs.
sion. Next, the PD and the TIA are discussed in detail. Fig. 2 shows an RX front-end consisting of an inverter-based
TIA followed by one or more differential MA gain stages. The
inverter-based TIA architecture has been chosen due to its sim-
A. Photodiode
plicity, good performance and suitability to low VDD opera-
The responsivity of a PD, defined as the ratio of the output tion. It consists of a CMOS inverter with a voltage gain, Ainv ,
electrical current to the input optical power, is essentially the given by (1), and a resistance Rf providing the negative feed-
gain of the first stage of the optoelectronic RX, which means it back to reduce the dependency between the gain and BW. CL
3700110 IEEE JOURNAL OF SELECTED TOPICS IN QUANTUM ELECTRONICS, VOL. 22, NO. 6, NOVEMBER/DECEMBER 2016

includes the output capacitance of the first stage and the loading
effect from the second stage. CF is the parasitic capacitance
between the input and output nodes of the TIA’s forward path
gain stage, which is amplified by the miller effect. The mid-band
transimpedance gain of the inverter-based TIA and the –3 dB
BW at its input, BWi , are given by (2) and (3), respectively.
Ainv = ( gmn + gmp ) (rdsn || rdsp ) = Gm ro (1)

Rf Ainv
GainTIA = (2)
1 + Ainv
1
BWi =   (3)
Rf
2π CT 1+A i n v

Here, gmn (gmp ) and rdsn (rdsp ) represent the transconduc-


tance and output impedance of the NMOS (PMOS) transistor in
the inverter, respectively. CT incorporates the input capacitance Fig. 3. RX sensitivity versus input capacitance for different data rates.
of the TIA. If the gain of the inverter stage is assumed to be suf-
ficiently large, the overall mid-band gain of the TIA is approxi-
back to the input of the RX by dividing by the mid-band gain
mately equal to Rf . Furthermore, the effect of increasing Rf on
of the RX front-end (RT ), given by (5). When calculating irm s
n ,
BWi is suppressed by the (1 + Ainv ) factor, which relaxes the
selecting the noise integration boundaries could greatly impact
gain-BW trade-off at the expense of power consumption. It is
the accuracy of the results. Due to the low pass response of the
worth noting that increasing Ain v beyond a certain value nega-
RX, the high frequency noise components beyond the –3 dB BW
tively affects the BW due to the concomitant increase in CT [19].
of the RX are attenuated and it becomes sufficient to integrate
The expression for the overall –3 dB BW of the RX must
the noise over twice the RX BW [22].
include the transfer function of the TIA and the following am-
Equations (6) and (7) describe the transfer functions for the
plifiers. As the corresponding mathematical expressions are
noise from RF and Gm to the MA input. The resulting noise
cumbersome when incorporating all the parasitics, those ef-
at the input of the MA is then amplified to the output of the
fects have been captured through Cadence and MATLAB based
MA through the single-pole frequency response of the MA. The
co-simulations in this work. To obtain insights into the RX sen-
voltage noise of the MA (Vn ,M A ) appears directly at its output.
sitivity, a detailed analysis of the noise contribution of the RX
The irm
n
s
is calculated using equation (8), where CP is the sum
front-end is performed next.
of mutual products of CT , CL , and CF , Ao is the gain of the
second stage, and G = (Ainv + 1).
C. Noise Analysis of a Two-Stage RX Front-End
 
ro − G m ro R F
The RX sensitivity [20] and the link budget dictate the mini- RT = Ao (5)
1 + G m ro
mum amount of transmitted laser power to meet a specific BER.
Since the laser power dominates the overall power consumption VO U T
Z1 (s) =
of an optical link, any change in the RX sensitivity would im- in , R F
pact the overall power consumption of the system. A simplified Gm ro RF + sRF ro CT
expression for RX sensitivity is given as =
s2 (Rf ro CP ) + s (RF CT + ro (CT + CL ) + GRF CF ) + G
isens = 2Q irm
n
s
+ ioffset + isens,SA . (4) (6)
VO U T
For a given BER which dictates the Q (∼7 for 10–12 BER), Z2 (s) =
in , G m
the RX sensitivity is limited by the input referred noise (irm s
n ),
the input referred residual offset current (ioffset ), and the input ro + s ro RF (CT + CF )
=
referred sensitivity of the SA (isens,SA ). Assuming an SA stage s2 (Rf ro CP ) + s (RF CT + ro (CT + CL ) + GRF CF ) + G
with ideal sensitivity and neglecting the offset, the overall RX (7)
sensitivity is dictated by the noise performance of the TIA and
MA stages. To further simplify the analysis, the BW of the RX irm
n
s
=
  
is assumed to be dominated by its first stage, with the MA stage
∫02 B W Vn2, M A + A2o In2 , R F |Z1 (f )|2 + A2o In2 , G m |Z2 (f )|2 df
having comparatively much larger BW. If needed, multiple MA
.
stages can be cascaded to relax the gain-BW tradeoff [21] to RT
maintain this assumption. (8)
To derive an expression for irm s
n , the noise contribution of
each element is referred to the output of the MA (input of the Fig. 3 plots the RX sensitivity as a function of the total input
SA) taking into account the effects of various transfer functions capacitance, CT , for different data rates, obtained for a 65 nm
that the noise passes through. Then the overall noise is referred CMOS process. To generate this plot, CF is assumed to be 10 fF,
AHMED et al.: SILICON-PHOTONICS MICRORING LINKS FOR DATACENTERS—CHALLENGES AND OPPORTUNITIES 3700110

TABLE II
BEST-IN-CLASS IMS

ER IL Vπ L Driver Voltage Data Rate Length Power


(dB) (dB) (V·cm) (Vpp) (Gb/s) (mm) (mW)

[23] 7.5 8 2.7 6.5 40 1 2081


[23] 7 15 2.7 4 40 3.5 801
[24] 4.3 4.7 0.72 3.4 30 0.5 57.6
[25], [26] 9 > 3.12 <2 2 20 0.48 90
[27] 9 22.5 1.28 0.5 26 2 3.81

1
Only includes the dynamic power consumed in the 50 Ω termination.

Fig. 4. RX sensitivity versus input capacitance for different values of C F .

and the PD responsivity to be 0.8 A/W. The optimum value of


Rf is calculated such that the RX sensitivity is maximized at
Fig. 5. (a) MRR-based link. (b) MRR characteristics. (c) VCSEL-based link.
each data rate and CT value. As expected, a reduction in CT en-
hances the RX sensitivity which reduces the power consumption
of the system. For example, reducing CT from 250 fF to 110 fF
at 25 Gb/s improves the RX sensitivity by 3 dB. Consequently, A. MRR-Based TX
the transmitted power can be dropped by 3 dB, leading to a 50%
The optical output of an MRR-based TX, shown in Fig. 5(a),
reduction in the power consumption of the laser. This underlines
is generated by passing the light emitted from a continuous-
the importance of developing integrated PDs with high respon-
wave (CW) laser through an MRR modulator. An MRR-based
sivity, advanced packaging techniques, and ESD solutions that
link promises small form factors, ease of integration with CMOS
minimize various parasitic capacitances at the RX input.
systems, and the support of WDM for achieving high through-
Finally, for links operating at high data rates (ࣙ20 Gb/s)
puts. However, as a system it suffers from some limitations that
reducing the input capacitance below few tens of fF does not
need to be addressed to make its deployment practical.
lead to substantial improvements in the RX sensitivity. Instead,
1) MRR Modulator: As shown in Fig. 5(b), an MRR modu-
CF limits the sensitivity for low CT values and any reduction
lator is a very high quality band-stop filter that changes its notch
in CF would greatly enhance the RX sensitivity as shown
frequency based on the applied electrical voltage. If the laser
in Fig. 4. This, in turn, suggests that CMOS technology scaling
emits a continuous light at a wavelength λo , the ring should be
can be leveraged to improve the RX sensitivity at high data
designed, and tuned, to pass the light when logic ‘1’ voltage
rates. With device scaling in successive CMOS technology
is applied with minimum IL, and to suppress the light when a
nodes, the parasitic capacitance of the transistor decreases, and
logic ‘0’ voltage is applied. The ER of an MRR is defined as the
the transconductance of PMOS increases, thereby reducing the
difference between transmitted optical power in both cases. A
size of the PMOS transistor in the inverter-based TIA. Finally,
higher ER translates into a higher signal-to-noise ratio, which
the sensitivity is limited by CL when both CT and CF are made
relaxes the required sensitivity of the RX. MRRs are very com-
small.
pact in size, thereby enabling high-speed and energy-efficient
switching due to their small parasitic capacitances.
III. OPTICAL LINK: TRANSMITTER Despite its benefits, the high selectivity of MRRs could be
Next, we discuss the modulation of the electrical data at the detrimental if the center wavelength drifts with process, volt-
transmitter using indirect silicon-photonic based techniques, age, and temperature variations. Therefore, thermal or electrical
and direct modulation using VCSELs. Owing to their supe- tuning circuits associated with MRR modulators are essential,
rior power and area efficiency in comparison to silicon-based putting power, area, and complexity overhead on the overall
interferometric modulators (IMs), such as Mach–Zehnder inter- system. Table III summarizes some of the best MRRs reported
ferometers (MZIs) [5], we only describe silicon-photonic TXs along with techniques employed to tune their wavelength.
based on microring-modulators. For the sake of completeness, 2) CW Laser: A CW laser generates a single wavelength
Table II lists some of the best-in-class IMs, highlighting the light beam, the purity of which is expressed in terms of its
significant tradeoff between area, power consumed due to large linewidth. The Fabry-Perot laser and the distributed-feedback
driver voltage requirements, insertion loss (IL) and extinction laser are the most commonly used lasers in telecommunications
ratio (ER). [22]. Available lasers often suffer from low power conversion
3700110 IEEE JOURNAL OF SELECTED TOPICS IN QUANTUM ELECTRONICS, VOL. 22, NO. 6, NOVEMBER/DECEMBER 2016

TABLE III TABLE V


BEST-IN-CLASS MRR MODULATORS BEST-IN-CLASS VCSELS

Tuning WPE λ (nm) ER(dB) Data Rate Output Power Bias Current
(Gb/s) (mW) (mA)
ER IL Driver Voltage Data Rate Method Range Power
(dB) (dB) (Vpp) (Gb/s) (nm) (mW) [2] 17% 850 7.3 18 3 9
[41] 11%1 850 5.1 15-25 0.731 4.2
[28] 11 0.9 4 5 Electrical 0.28 0.34
[29] 7 - 2 10 Thermal 1.6 1.25 1
Average power.
[13] 7-91 - 1.95 20 Thermal 1.5 7.1/nm
[30] 7 5 4.4 25 Thermal 0.8 -

1
are typically wideband, there is no need for tuning circuits to
7 for a WDM system, and 9 for a single wavelength system.
adjust the VCSEL’s output wavelength. However, the loss and
TABLE IV dispersion in MMFs have limited their applications to distances
BEST-IN-CLASS CW LASER < 100 m in warehouse-size datacenters. Another disadvantage
of using MM VCSELs is the lack of support for WDM. How-
Optical λ (nm) Linewidth WPE Peak Optical ever, as an alternative to WDM, arrays of MM VCSEL are put
Power (mW) Power (mW)
together to obtain higher data-rates [40].
[34] 10 ∼1550 10 MHz 1.3%1 20 Table V lists some of the best-in-class MM VCSELs reported,
[35] 9 1550 0.22 pm 12.2%2 10 comparing them in terms of WPE, data rate, and the output
[36] 6 ∼1556 0.46 nm 9.5% -
[37] 15 ∼1555 - 7.6%2 -
power. In Section IV, a 17% peak WPE is assumed for the
[38] 17.3 ∼1566 0.22 pm 7.8% 20 VCSEL for link budget analysis.
1
Laser’s efficiency is 16.7% but drops severely with stabilization.
2
Waveguide-coupled WPE.
IV. LINK BUDGETS AND SYSTEMS EVALUATION
This section presents link budget analyses for both the MRR-
efficiencies. To function properly, wavelength stabilization and VCSEL-based links in datacenter applications using the best
systems [31]–[33] are added to the laser to compensate for efficiency figures reported for both CW lasers and VCSELs.
wavelength drifts that are caused by various effects such as In an MRR-based system an external CW laser source con-
temperature variations and aging. verts electrical power into optical power, PTX , with a WPE,
When the power consumed by the wavelength stabilization W P ECW . The optical power is then coupled to the photonics
systems is included, the wall-plug efficiency (WPE) of com- chip, with coupling losses, PCW −CPL and PSM −CPL , to be mod-
mercially available lasers is typically in the range of 1% [34], ulated by an MRR with an IL, PM RR−IL . On the other hand, in
where WPE is the ratio of laser output power to its total elec- a VCSEL-based link the laser diode is directly modulated by a
trical power consumption. Since CW lasers are power-hungry CMOS driver, which is wirebonded or flip-chip connected to the
and low efficiency devices, their effect on the overall system VCSEL chip. The VCSEL converts electrical power into opti-
power efficiency is significant. Accordingly, it is clear that for cal power, PTX , with an efficiency, W P EVCSEL . Afterwards, in
MRR-based links to be practical and energy efficient, research both cases the modulated optical signal is coupled to an optical
should be directed towards enhancing the laser WPE. fiber, with a coupling loss, PSM −CPL /PM M −CPL , that carries it
Hybrid-integrated silicon-photonic based lasers employing to the RX side after introducing an attenuation, POF−att , and in
distributed-Bragg-reflector topologies can improve the WPE. the case of an MMF, a dispersion of POF−disp as well. Finally,
Together with a power-efficient stabilization technique, [35] the optical signal is coupled to the PD, with a coupling loss,
achieved a 12.2% waveguide-coupled WPE (ratio of laser power PSM −CPL /PM M −CPL , which converts it to an electrical signal
available in the silicon waveguide to its total electrical power with a specific responsivity. The link budget of an MRR-based
consumption). In Section IV, a 12.2% waveguide-coupled WPE link and a VCSEL-based link are calculated based on equations
is assumed for the CW laser for link budget analysis. Table IV (9) and (10), respectively.
lists some of the best-in-class CW lasers reported. PRX (dBm) = PTX − PM RR−IL − PCW −CPL

B. VCSEL-Based TX − 3 ∗ PSM −CPL − POF−att − Pp en (9)


VCSELs are semiconductor-based lasers with an ability to PRX (dBm) = PTX − 2 ∗ PM M −CPL − POF−att
be directly modulated in an optical TX. Most of the popu- − POF−disp − Pp en . (10)
lar VCSELs are MM, thereby requiring MMF interconnects.
High performance and low fabrication cost has resulted in their Figs. 6 and 7 are graphical representations of the efficiency
widespread use in high-speed optical interconnects [29], [39]. degradation from the TX to the RX in an MRR-based and a
As seen in Fig. 5(c), VCSELs can be either wire-bonded or flip- VCSEL-based link, respectively. The link budget analysis is
chip connected to the CMOS TX chip, which provides both the performed at 25 Gb/s for 10 m and 100 m long optical fibers,
bias and the data signals. An MMF couples the VCSEL’s output with W P EVCSEL of 17% and 11%, and W P ECW of 12%
directly to the input of the PD on the RX side, and since PDs and 1.3%, respectively. The link penalty (PPen ) is calculated by
AHMED et al.: SILICON-PHOTONICS MICRORING LINKS FOR DATACENTERS—CHALLENGES AND OPPORTUNITIES 3700110

Fig. 6. MRR-based link efficiency degradation for CW Laser WPE of 1.3% Fig. 7. VCSEL-based link efficiency degradation for 10 m, 100 m, and 300 m
and 12% (no significant difference in performance between 10 m and 100 m MMF (a dispersion penalty of 0 dB for the 10 m and 100 m links, and 5 dB for
SMF). the 300 m link is assumed, respectively).

TABLE VI
MRR-BASED LINK CHARACTERISTICS

Laser MRR Fiber loss Coupler Number of Link


WPE IL (1550 nm) loss couplers penalties

1.3, 12 1 dB 0.5 dB/Km 1.3 dB,2 dB 41 4.8 dB

1
Laser to SMF (2 dB).
SMF to MRR, MRR to SMF, and SMF to PD (1.3 dB).

TABLE VII
VCSEL-BASED LINK CHARACTERISTICS

VCSEL Fiber loss Coupler Number of Link


efficiency (850 nm) loss couplers penalties

11, 17 3.5 dB/Km 1.1 dB [2] 2 4.8 dB

Fig. 8. Energy efficiency versus RX sensitivity for TX, RX and the overall
adding the ER penalty [42], the crosstalk (XT) and ISI penalties, VCSEL-based and MRR-based links (100 m), excluding any CDR or clocking.
and the relative intensity noise (RIN) penalty [43]. For a link
with 7 dB ER, PPen adds up to about 4.8 dB. Tables VI and VII
summarize the numbers used in the link budget analysis. Recent
reported numbers for PSM −CPL range from 0.5 dB [44], 0.7 dB poses, Fig. 7 also shows the efficiency degradation for a VCSEL
[45], 1.3 dB [46] to 2.8 dB [47]. In this work, a PSM-CPL of link on a 300 m long MMF assuming 5 dB of POF−disp [6].
1.3 dB is assumed [46]. The output of the CW laser is coupled With a 3 dB margin assumed for each link [2], [4], the overall
to an SMF with a coupling loss, PCW −CPL , of 2 dB [48]. efficiency of a VCSEL-based link with a 17% W P EVCSEL and
In MRR-based link, an SMF with 0.5 dB/Km attenuation 10 m MMF is calculated to be 1.7%. On the other hand, if it
introduces 0.005 dB and 0.05 dB loss for 10 m and 100 m is replaced by a 12% W P ECW MRR-based link, the overall
length, respectively. These losses are negligible, and therefore, efficiency is calculated to be 0.6%. The corresponding numbers
the curves in Fig. 6 are almost overlapping. However, for a for a 100 m long fiber are 1.6% and 0.6%, respectively (assuming
VCSEL-based link using an OM4 MMF with 3.5 dB/Km at- no MMF dispersion penalty).
tenuation, the optical fiber introduces attenuation of 0.035 dB Fig. 8 shows the RX, TX, and the overall energy efficiency
and 0.35 dB for 10 m and 100 m long fibers, respectively. Fur- versus the RX sensitivity for 25 Gb/s MRR-based and VCSEL-
thermore, for longer distances an MMF will cause significant based links with 100 m long channel and an inverter-based TIA
modal and chromatic dispersion to the transmitted signal, and RX as discussed in Section II. Beyond the optical devices and
the associated power penalty along with mode partition noise interconnects, the energy efficiency includes power consump-
can severely degrade the overall efficiency. For illustration pur- tion in the TX driver, MRR and CW laser tuning, and RX
3700110 IEEE JOURNAL OF SELECTED TOPICS IN QUANTUM ELECTRONICS, VOL. 22, NO. 6, NOVEMBER/DECEMBER 2016

Fig. 9. Energy efficiency breakdown versus data rate for VCSEL-based and
MRR-based links (100 m), excluding any CDR or clocking.

front-end. Power consumed in clock and data recovery (CDR)


and clocking is not included in this analysis. Fig. 10. MRR-based link energy efficiency versus the CW laser WPE.
It can be seen that improving the RX sensitivity drastically im-
proves the overall energy efficiency. For example, an improve-
ment in RX sensitivity from –10 dBm to –13 dBm enhances the at –22 dBm is used in the previously discussed links, the power
overall energy efficiency by ∼0.55 pJ/b for the MRR-based link performance of both the links would be almost identical for an
and ∼0.15 pJ/b for the VCSEL-based link, respectively. How- RX sensitivity of –19 dBm with an overall link energy efficiency
ever, improving the RX sensitivity beyond –16 dBm requires of ∼1.2 pJ/b. Additionally, as an MRR-based link needs more
a significant power overhead in the RX, as seen by the sharp couplers compared to its VCSEL-based counterpart, more re-
knee in the efficiency plot, degrading the overall link energy search should be directed towards reducing the coupling losses.
efficiency. An optimal energy efficiency of < 2 pJ/b can be re- In conclusion, beyond a few hundred meters of length, MRR-
alized for either links if an RX sensitivity of –11 to –16 dBm is based links in datacenters are already very promising. For short-
achieved. to-medium reach, their performance is becoming comparable
The approach used to generate Fig. 8 is adopted to find the to a VCSEL-based design in a single-channel implementation,
optimal design points for the two links at different data rates. with further enhancement in the RX sensitivity, W P ECW , and
Fig. 9 shows the energy efficiency breakdown for the two links coupling technology needed to bridge the performance gap.
at different data rates, where each link is assumed to be op-
erating at its optimal design point for energy efficiency. The
performance of the optical devices is assumed to be fixed. A V. TOWARDS A POWER EFFICIENT TERA-BIT/S LINK
major factor for the performance gap is the improved WPE for The rising demand for data throughput in datacenters has been
a VCSEL. The power consumption due to the TX drivers in driving the contemporary research in increasing the aggregate
both links are similar, however the VCSEL-based TX consumes link speed. Following the IEEE 802.3 standard specifications,
more power when operating the link at higher data rates due several 100 Gb/s links are already in production [40], [49], [50]
to the needed equalization power. Also, the efficiency of the as a 4 × 25 Gb/s parallel link. A shortwave WDM (SWDM)
MRR ring degrades especially at lower data rates due to their alliance has been established to multiplex different wavelengths
constant power consumption overhead, depending on the tuning near 850nm from multiple VCSELs on a single fiber pair [51],
scheme used with the MRR [13], [28], [29]. A constant tuning [6]. A 100 Gb/s link can be implemented using 4 × 25 Gb/s
power of 1 mW [29] each is assumed in Fig. 9 for tuning the SWDM. A 100 Gb/s PSM4 (parallel SM 4-lane) alliance has
hybrid-silicon laser and the MRR. also been established [52] to support interconnects up to 500 m.
As evident from Figs. 8 and 9, the MRR-based link can be PSM4 could incorporate splitting the CW single-wavelength
comparable in performance to a VCSEL-based link in energy output of one high power laser source [5] with good WPE
efficiency for short-to-medium reach. In order to bridge the between 4 parallel silicon-photonic channels. The use of this
performance gap, W P ECW must be enhanced. Fig. 10 plots technique can potentially enhance the overall energy efficiency
the energy efficiency of the MRR-based link as a function of of the link at the expense of the fiber count.
W P ECW and shows the trend of a dramatic reduction in the In order to approach the Tb/s aggregate data throughput for
energy consumption with increase in W P ECW . A significant medium-reach distances (<2 km) [4], multiple channels can
improvement in energy efficiency will be achieved in commer- be combined using PSM or WDM. The high selectivity of
cializing the W P ECW to 12% [35] and higher. Furthermore, MRRs facilitates the design of WDM interconnects with very
another approach to narrow the performance gap lies in the high aggregate data rates. In a WDM link, shown in Fig. 11, a
design of highly sensitive RXs with better energy efficiency comb CW laser source is used to generate as many wavelengths
profiles. For example, if an RX with an energy efficiency knee as needed, from λ1 to λn . The laser output is coupled to a
AHMED et al.: SILICON-PHOTONICS MICRORING LINKS FOR DATACENTERS—CHALLENGES AND OPPORTUNITIES 3700110

factor and then putting multiple such links in parallel. In both


cases, energy efficiency of the WDM link would be a scaled
version of a single channel link, along with the WDM crosstalk
penalty. Putting multiple parallel links would obviously have
the disadvantage of the increased number of laser sources and
fibers. Finally, data rates per lane can also be increased to 50 Gb/s
NRZ or PAM4. However, such high data rates introduce many
challenges on both the electrical and optical sides that lead
to a significant energy efficiency degradation. For example,
challenges for the optical devices include limited BW, difficult
tradeoff between ER and IL, effects of non-linearity and RIN.
Significant research is being currently pursued to mitigate those
challenges [59]–[62].

Fig. 11. A WDM system with MRR-based links. VI. CONCLUSION


Warehouse-scale data centers demand short-to-medium reach
optical interconnects spanning distances up to a few kilometers.
While the short-reach implementations are currently dominated
waveguide on the silicon-photonics chip which passes through
by MM VCSEL-based links, the increase in loss and modal dis-
several MRRs, each of which is tuned for a certain wavelength.
persion in MMFs render them unattractive from the perspective
The modulated optical signals are coupled to a single optical
of link energy efficiency for medium reach. MRR-based sili-
fiber that carries them to the RX side. On the RX side, the
con photonic links utilizing SMFs are a promising alternative.
received optical signal is coupled to a waveguide that passes
Even for shorter reach (<100 m), MRR-based links can be a
through a series of MRR-filters, each of which is tuned to select
competitive technology.
a specific wavelength. After separating the modulated optical
Through a comprehensive overview of the state-of-the-art of
signals based on their wavelengths, PDs are used to detect each
optical devices and CMOS circuit-level simulations, a detailed
of the incoming signals. It has already been shown that MRR-
power and noise analysis for both VCSEL and MRR-based links
based links are capable of operating at an excess of 25 Gb/s per
is presented in this paper. The simulations estimate that energy
wavelength [30], [53], [54], implying that a 25 Gb/s lane could
efficiency of MRR-based link at 25 Gb/s is within a pJ/b of
be replicated as many times as needed to reach the aggregate
that of a VCSEL-based link at 100 m of interconnect length. To
goal. To relax the RX front-end design requirements to attain a
further bridge the performance gap in energy efficiency, there
100 Gb/s throughput and beyond, the multiplexing factor (num-
are several research opportunities at the device, circuit and link
ber of wavelengths, n) can theoretically be increased to a large
level. The WPE of CW lasers must be improved, and the IL and
extent [3]. However, practical implementations introduce new
ER of the MRRs should be improved. Integrated PDs are attrac-
challenges such as the need for a good WPE comb laser with
tive due to reduced parasitic capacitances, but such a reduction
sufficient output optical power for each wavelength. Published
must not be at the cost of reduced responsivity or degradation
work on comb lasers show the capability of generating 10 to 20
in transistor performance. Beyond a certain lower limit of PD
different wavelengths using a single comb laser with 0 to 7 dBm
capacitance, the capacitance from the pads and the TIA limit
output optical power per wavelength, and with conversion effi-
the RX sensitivity. From the perspective of CMOS circuit de-
ciencies that could reach up to 22% [55], [56]. However, further
sign, alternate TIA topologies must be developed to improve
research efforts are needed to account for wavelength stabiliza-
the sensitivity of the RX. Finally, at the link level, reducing the
tion and CMOS-based control systems which are essential for
coupling loss and improving packaging techniques will ease the
MRR-based WDM links.
link budget.
As the multiplexing factor increases, the complexity of the
The small area and the high selectivity of an MRR promote
tuning circuits needed in an MRR-based WDM link also in-
it as an ideal building block for WDM transceivers that can
creases dramatically [57]. Moreover, electrical or optical inter-
achieve very high aggregate data rates for short to medium reach.
channel crosstalk limits the multiplexing factor. On the RX
Beyond solving the aforementioned challenges for a single lane,
side, the frequency response of the MRR and the link budget
developing better comb lasers, RX filters, and tuning techniques
allowance for the optical crosstalk penalty sets the minimum
will facilitate the application of silicon-photonics WDM links in
channel spacing and hence the maximum multiplexing factor.
data centers for high-throughput medium-reach communication.
As an example, [58] presented an MRR with a 12.4 nm free spec-
tral range, and reported that for a crosstalk penalty of 0.76 dB,
the maximum achievable multiplexing factor is 16. In scenarios
ACKNOWLEDGMENT
where the comb laser and/or the RX filter limits the number of
wavelengths, the aggregate throughput can still be increased by The authors would like to thank Dr. L. Chrostowski and
designing a WDM link with the maximum possible multiplexing H. Jayatilleka of the University of British Columbia.
3700110 IEEE JOURNAL OF SELECTED TOPICS IN QUANTUM ELECTRONICS, VOL. 22, NO. 6, NOVEMBER/DECEMBER 2016

REFERENCES [27] J. Ding et al., “Ultra-low-power carrier-depletion Mach-Zehnder sili-


con optical modulator,” Opt. Exp., vol. 20, no. 7, pp. 7081–7087, Mar.
[1] Y. Vlasov, “Silicon CMOS-integrated nano-photonics for computer and 2012.
data communications beyond 100G,” IEEE Commun. Mag., vol. 50, [28] C. Li et al., “Silicon photonic transceiver circuits with microring resonator
no. 2, pp. s67–s72, Feb. 2012. bias-based wavelength stabilization in 65 nm CMOS,” IEEE J. Solid-State
[2] I. A. Young et al., “Optical I/O technology for tera-scale computing,” Circuits, vol. 49, no. 6, pp. 1419–1436, Jun. 2014.
IEEE J. Solid-State Circuits, vol. 45, no. 1, pp. 235–248, Jan. 2010. [29] F. Y. Liu et al., “10-Gbps, 5.3-mW optical transmitter and receiver cir-
[3] M. Georgas et al., “Addressing link-level design tradeoffs for integrated cuits in 40-nm CMOS,” IEEE J. Solid-State Circuits, vol. 47, no. 9,
photonic interconnects,” in Proc. IEEE Custom Integr. Circuits Conf., pp. 2049–2067, Sep. 2012.
Sep. 2011, pp. 1–8. [30] H. Li et al., “A 25 Gb/s 4.4V-swing AC-coupled Si-photonic microring
[4] N. Ophir et al., “Silicon photonic microring links for high-bandwidth- transmitter with 2-tap asymmetric FFE and dynamic thermal tuning in
density, low-power chip I/O,” IEEE Micro, vol. 33, no. 1, pp. 54–67, 65nm CMOS,” in Proc. IEEE Int. Solid-State Circuits Conf., Feb. 2015,
Jan. 2013. pp. 410–412.
[5] D. Mahgerefteh et al., “Techno-economic comparison of silicon photonics [31] R. Yu et al., “High-precision in-situ wavelength stabilization and moni-
and multimode VCSELs,” J. Lightw. Technol., vol. 34, no. 2, pp. 233–242, toring of tunable lasers using AWG and PD arrays,” in Proc. Opt. Fiber
Oct. 2015. Commun. Conf., Mar. 2011, pp. 1–3.
[6] J. A. Tatum et al., “VCSEL-based interconnects for current and future [32] M. Lu et al., “A highly integrated optical phase-locked loop for
data centers,” J. Lightw. Technol., vol. 33, no. 4, pp. 727–732, Feb. 2015. laser wavelength stabilization,” IEEE Photon. Conf., Sep. 2012, vol. 2,
[7] P. Moser et al., “85-fJ Dissipated energy per bit at 30 Gb/s across 500-m pp. 844–845.
multimode fiber using 850-nm VCSELs,” IEEE Photon. Technol. Lett., [33] S. Qhumayo et al., “Wavelength and power stabilization of a three wave-
vol. 25, no. 16, pp. 1638–1641, Aug. 2013. length Erbium doped fiber laser using a nonlinear optical loop mirror,”
[8] D. Kuchta et al., “Error-free 56 Gb/s NRZ modulation of a 1530 nm AFRICON, Sep. 2006, pp. 1–4.
VCSEL link,” in Proc. IEEE Eur. Conf. Opt. Commun., Sep. 2015, [34] L. Sumitomo Electric Industries, “SLT5411/SLT5413 series” Jan., 2002.
pp. 1–3. [35] J. Lee et al., “12.2% waveguide-coupled wall plug efficiency in single
[9] C. Sun et al., “A monolithically-integrated chip-to-chip optical link in mode external-cavity tunable Si/III-V hybrid laser,” in Proc. IEEE Opt.
bulk CMOS,” IEEE J. Solid-State Circuits, vol. 50, no. 4, pp. 828–844, Interconnects Conf., Apr. 2015, pp. 142–143.
Apr. 2015. [36] A. J. Zilkie et al., “Power-efficient III-V/silicon external cavity DBR
[10] G. Kalogerakis, T. Moran, and G. Denoyer, “A quad 25 Gb/s 270 mW TIA lasers,” Opt. Exp., vol. 20, no. 21, pp. 23456–23462, Oct. 2012.
in 0.13 μm BiCMOS with <0.15 dB crosstalk penalty,” in Proc. IEEE Int. [37] S. Tanaka et al., “High-output-power, single-wavelength silicon hybrid
Solid-State Circuits Conf., Feb. 2013, pp. 116–117. laser using precise flip-chip bonding technology,” Opt. Exp., vol. 20,
[11] N. Y. Li et al., “High-performance 850 nm VCSEL and photodetector no. 27, pp. 28057–28069, Dec. 2012.
arrays for 25 Gb/s parallel optical interconnects,” in Proc. Opt. Fiber [38] J. H. Lee et al., “High power and widely tunable Si hybrid external-cavity
Commun. Conf., Mar. 2010, pp. 1–3. laser for power efficient Si photonics WDM links,” Opt. Exp., vol. 22,
[12] M. H. Nazari and A. Emami-Neyestanak, “A 24-Gb/s double-sampling no. 7, pp. 7678–7685, Apr. 2014.
receiver for ultra-low-power optical communication,” IEEE J. Solid-State [39] K. Iga, “Surface-emitting laser—Its birth and generation of new opto-
Circuits, vol. 48, no. 2, pp. 344–357, Jan. 2013. electronics field,” IEEE J. Sel. Topics Quantum Electron., vol. 6, no. 6,
[13] M. Rakowski et al., “A 4 × 20 Gb/s WDM ring-based hybrid CMOS sil- pp. 1201–1215, Nov. 2000.
icon photonics transceiver,” in Proc. IEEE Int. Solid-State Circuits Conf., [40] J-Y Jiang et al., “100 Gb/s Ethernet chipsets in 65nm CMOS technology,”
Feb. 2015, pp. 408–410. in Proc. IEEE Int. Solid-State Circuits Conf., Feb. 2013, vol. 56, pp. 120–
[14] J. F. Buckwalter et al., “A monolithic 25-Gb/s transceiver with photonic 121.
ring modulators and Ge detectors in a 130-nm CMOS SOI process,” IEEE [41] J. Proesel, C. Schow, and A. Rylyakov, “25 Gb/s 3.6 pJ/b and 15 Gb/s
J. Solid-State Circuits, vol. 47, no. 6, pp. 1309–1322, Jun. 2012. 1.37 pJ/b VCSEL-based optical links in 90nm CMOS,” in Proc. IEEE Int.
[15] R. Ding et al., “A silicon platform for high-speed photonics systems,” in Solid-State Circuits Conf., Feb. 2012, pp. 418–420.
Proc. Opt. Fiber Commun. Conf., Mar. 2012, pp. 1–3. [42] C. Politi, D. Klonidis, and M. J. O’Mahony, “Waveband converters based
[16] A. Emami-Neyestanak et al., “A 1.6 Gb/s, 3 mW CMOS receiver for on four-wave mixing in SOAs,” J. Lightw. Technol., vol. 24, no. 3,
optical communication,” in Proc. IEEE Symp. VLSI Circuits, Jun. 2002, pp. 1203–1217, Mar. 2006.
pp. 84–87. [43] Maxim Integrated, “Impact of transmitter RIN on optical link perfor-
[17] S. Saeedi and A. Emami, “A 25 Gb/s 170 uW/Gb/s optical receiver in mance,” Application note: HFAN-9.1.0 Rev.1, Apr. 2008.
28nm CMOS for chip-to-chip optical communication,” in Proc. IEEE [44] S. McNab, N. Moll, and Y. Vlasov, “Ultra-low loss photonic integrated
Radio Freq. Integr. Circuits Symp., Jun. 2014, pp. 283–286. circuit with membrane-type photonic crystal waveguides,” Opt. Exp.,
[18] S. Huang and W. Chen, “A 25-Gb/s, –10.8-dBm input sensitivity, PD- vol. 11, no. 22, pp. 2927–2939, Nov. 2003.
bandwidth tolerant CMOS optical receiver,” in Proc. IEEE Int. Solid-State [45] T. Tsuchizawa et al., “Spot-size converters for rib-type silicon photonic
Circuits Conf., Jun. 2015, pp. 120–121. wire waveguides,” in Proc. IEEE Int. Conf. Group IV Photon., Sep. 2008,
[19] E. Sackinger, “On the noise optimum of FET broadband transimpedance pp. 200–202.
amplifiers,” IEEE Trans. Circuits Syst. I, vol. 59, no. 12, pp. 2881–2889, [46] T. Barwicz et al., “An O-band metamaterial converter interfacing standard
Dec. 2012. optical fibers to silicon nanophotonic waveguides,” in Proc. Opt. Fiber
[20] S. Shekhar et al., “Design considerations for low-power receiver front-end Commun. Conf., Mar. 2015, pp. 1–3.
in high-speed data links,” in Proc. IEEE Custom Integr. Circuits Conf., [47] P. P. Absil et al., “Silicon photonics integrated circuits: A manufacturing
Sep. 2013, pp. 1–8. platform for high density, low power optical I/O’s,” Opt. Exp., vol. 23,
[21] S. Shekhar, J. S. Walling, and D. J. Allstot, “Bandwidth extension tech- no. 7, pp. 9369–9378, Apr. 2015.
niques for CMOS amplifiers,” IEEE J. Solid-State Circuits, vol. 41, [48] J. Yao et al., “A CMOS-compatible low back reflection grating coupler
no. 11, pp. 2424–2439, Nov. 2006. for on-chip laser sources integration,” presented at the Optical Fiber Com-
[22] E. Säckinger, Broadband Circuits for Optical Fiber Communication. munication Conf., Anaheim, CA, USA, Mar. 2016.
Hoboken, NJ, USA: Wiley, 2005. [49] H. Won et al., “A 0.87 W transceiver IC for 100 gigabit Ethernet in
[23] D. J. Thomson et al., “High contrast 40 Gbit/s optical modulation in 40 nm CMOS,” IEEE J. Solid-State Circuits, vol. 50, no. 2, pp. 399–413,
silicon,” Opt. Exp., vol. 19, no. 12, pp. 11507–11516, Jun. 2011. Feb. 2015.
[24] D. Patel et al., “High-speed compact silicon photonic Michelson in- [50] T. Shibasaki et al., “4 × 25.78 Gb/s retimer ICs for optical links in 0.13
terferometric modulator,” Opt. Exp., vol. 22, no. 22, pp. 26788–802, μm SiGe BiCMOS,” in Proc. IEEE Int. Solid-State Circuits Conf., Feb.
Nov. 2014. 2015, pp. 1–3.
[25] X. Wu et al., “A 20 Gb/s NRZ/PAM-4 1V transmitter in 40nm CMOS [51] [Online]. Available: http://www.swdm.org/, Sep., 2015
driving a Si-photonic modulator in 0.13μm CMOS,” in Proc. IEEE Int. [52] [Online]. Available: http://www.psm4.org/, Sep., 2014
Solid-State Circuits Conf., Feb. 2013, pp. 128–129. [53] J. Li et al., “A 25-Gb/s monolithic optical transmitter with micro-ring
[26] M. Webster et al., “Silicon photonic modulator based on a MOS-capacitor modulator in 130-nm SoI CMOS,” IEEE Photon. Technol. Lett., vol. 25,
and a CMOS driver,” in Proc. IEEE Compound Semicond. Integr. Circuit no. 19, pp. 1901–1903, Oct. 2013.
Symp., Oct. 2014, pp. 1–4.
AHMED et al.: SILICON-PHOTONICS MICRORING LINKS FOR DATACENTERS—CHALLENGES AND OPPORTUNITIES 3700110

[54] Y. Chen et al., “A 25Gb/s hybrid integrated silicon photonic transceiver Bryan Casper (M’96) is the Director of the PHY Research Lab and Senior
in 28nm CMOS and SOI,” in Proc. IEEE Int. Solid-State Circuits Conf., Principal Engineer with Intel Labs, based in Hillsboro, OR, USA. He currently
Feb. 2015, pp. 402–404. leads the organization responsible for all high-speed PHY research at Intel in
[55] D. Livshits et al., “High efficiency diode comb-laser for DWDM opti- the electrical, optical, and short-distance mmWave domains. In 1998, he joined
cal interconnects,” in Proc. IEEE Opt. Interconnects Conf., May 2014, the Performance Microprocessor Division of Intel Corporation and contributed
pp. 83–84. to the development of the Intel Pentium and Xeon processors. Since 2000, he
[56] C.-H. Chen et al., “A comb laser-driven DWDM silicon photonic trans- has been a Circuit Researcher, contributing to the development of I/O self-test
mitter with microring modulator for optical interconnect,” in Proc. Lasers technology, signaling analysis methods, high-speed I/O circuit architectures,
Electro-Opt. Conf., May 2015, pp. 1–2. and multiple I/O standards.
[57] H. Jayatilleka et al., “Automatic wavelength tuning of series-coupled
Vernier racetrack resonators on SOI,” presented at the Optical Fiber Com-
munication Conf., Anaheim, CA, USA, Mar. 2016.
Shahriar Mirabbasi (M’02) received the B.Sc. degree in electrical engineering
[58] H. Jayatilleka et al., “Crosstalk in SOI microring resonator-based filters,”
J. Lightw. Technol., vol. 34, no. 12, pp. 2886–2896, Jun. 2016. from the Sharif University of Technology, Tehran, Iran, in 1990, and the M.A.Sc.
and Ph.D. degrees in electrical and computer engineering from the University
[59] D. M. Kuchta et al., “A 55 Gb/s directly modulated 850nm VCSEL-based
of Toronto, Toronto, ON, Canada, in 1997 and 2002, respectively. Since 2002,
optical link,” in Proc. IEEE Photon. Conf., Sep. 2012, pp. 1–2.
he has been with the Department of Electrical and Computer Engineering,
[60] C. Xiong et al., “A monolithic 56 Gb/s CMOS integrated nanophotonic
PAM-4 transmitter,” in Proc. IEEE Opt. Interconnects Conf., Apr. 2015, University of British Columbia, Vancouver, BC, Canada, where he is currently
a Professor. His current research interests include analog, mixed-signal, RF, and
pp. 16–17.
mm-wave integrated circuit and system design with a particular emphasis on
[61] G. Denoyer et al., “Hybrid silicon photonic circuits and transceiver for
communication, sensor interface, and biomedical applications.
56 Gb/s NRZ 2.2 km transmission over single mode fiber,” in Proc. IEEE
Eur. Conf. Opt. Commun., Sep. 2014, pp. 1–3.
[62] A. Roshan-Zamir et al., “A 40 Gb/s PAM4 silicon microring resonator
Sudip Shekhar (S’00–M’10–SM’14) received the B.Tech. degree (Hons.) in
modulator transmitter in 65nm CMOS,” in Proc. IEEE Opt. Interconnects
Conf., May 2016, pp. 1–2. electrical and computer engineering from the Indian Institute of Technology,
Kharagpur, India, in 2003, and the M.S. and Ph.D. degree in electrical engineer-
ing from the University of Washington, Seattle, WA, USA, in 2005 and 2008,
respectively.
Abdelrahman H. Ahmed (S’14) received the B.Sc. degree in electronics and From 2008 to 2013, he was with Circuits Research Lab, Intel Corporation,
communication engineering (Hons.) from Alexandria University, Alexandria, Hillsboro, OR, USA, where he worked on high-speed I/O architectures. He is
Egypt, in 2012, and the M.Sc. degree in electronics engineering from the currently an Assistant Professor of electrical and computer engineering at the
American University in Cairo, Cairo, Egypt, in 2014. He is currently working University of British Columbia, Vancouver, BC, Canada. His research interests
toward the Ph.D. degree in electrical and computer engineering at the University include circuits for high-speed electrical and optical I/O interfaces, frequency
of British Columbia, Vancouver, BC, Canada. synthesizers, and wireless transceivers.
Between 2012 and 2014, he was a Research Assistant with the Center for Dr. Shekhar received the IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS
Nanoelectronics and Devices, Zewail City for Science and Technology, Cairo. Darlington Best Paper Award in 2010 and the IEEE Radio-Frequency IC Sym-
His research interests include circuits for high-speed electrical and optical I/O posium Best Student Paper Award in 2015.
interfaces.

Ahmad Sharkia (S’15) received the B.A.Sc. and the M.A.Sc. degrees in elec-
trical and computer engineering from the University of British Columbia,
Vancouver, BC, Canada, in 2013 and 2015, respectively, and is currently
working toward the Ph.D. degree as a Graduate Research Assistant.
He was an Engineering Intern at Qualcomm/Pixtronix in San Jose, CA, USA,
where he developed a stroboscope-based system for characterizing digital mi-
croshutter (DMS) displays in 2014. He was a Research Assistant at the UBC
Microsystems and Nanotechnology Group, where he developed and tested high
sensitivity capacitive readout circuits in 2012.

Anda mungkin juga menyukai