Anda di halaman 1dari 13

/***************************************************************************

* Synopsys Technology File Created By AccuCell(R)


*
* Software Version: 2.4.14.C
*
* Copyright (c) 1984 - 2010, Silvaco Inc.

*
****************************************************************************
* This library has been created using the Synopsys Liberty Format
*
* Liberty is a licensed trademark of Synopsys Inc.
*
****************************************************************************
*
* Library Creation Date: Fri Oct 08 01:09:07 PM PDT 2010
*
* Process:
* Voltage: 1.8
* Temperature: 25
*
****************************************************************************/
library (Cell_EX7_library) {
technology (cmos);
delay_model : table_lookup;
capacitive_load_unit (1,pf);
pulling_resistance_unit : "1kohm";
time_unit : "1ns";
voltage_unit : "1V";
current_unit : "1A";
default_fanout_load : 0.0;
default_inout_pin_cap : 0.0;
default_input_pin_cap : 0.0;
default_output_pin_cap : 0.0;
input_threshold_pct_rise : 50.0;
input_threshold_pct_fall : 50.0;
output_threshold_pct_rise : 50.0;
output_threshold_pct_fall : 50.0;
slew_lower_threshold_pct_fall : 10.0;
slew_lower_threshold_pct_rise : 10.0;
slew_upper_threshold_pct_fall : 90.0;
slew_upper_threshold_pct_rise : 90.0;

lu_table_template (delay_template4x4) {
variable_1 : input_net_transition;
variable_2 : total_output_net_capacitance;
index_1 ("1,2,3,4");
index_2 ("1,2,3,4");
}

output_current_template (CCT) {
variable_1 : input_net_transition;
variable_2 : total_output_net_capacitance;
variable_3 : time;
}

lu_table_template (receiver_capacitance_template_203454048) {
variable_1 : input_net_transition;
variable_2 : total_output_net_capacitance;
index_1 ("1,2,3,4");
index_2 ("1,2,3,4");
}
cell (inv) {
area : 0;
pin (a) {
direction : input ;
capacitance : 0.00384;
rise_capacitance : 0.00384;
fall_capacitance : 0.00384;
rise_capacitance_range (0.00384 , 0.00384) ;
fall_capacitance_range (0.00384 , 0.00384) ;
clock : false;
max_transition : 1.0;
}
pin (y) {
direction : output;
max_capacitance : 0.08000;
function : "(!a)";
timing () {
related_pin : "a";
timing_sense : negative_unate;
cell_rise (delay_template4x4) {
index_1 ("0.12500, 0.25000, 0.50000, 1.00000");
index_2 ("0.01000, 0.02000, 0.04000, 0.08000");
values ("0.05582, 0.08442, 0.13792, 0.24688", \
"0.07122, 0.10600, 0.16327, 0.26980", \
"0.09335, 0.13678, 0.20643, 0.32098", \
"0.12339, 0.18030, 0.26788, 0.40733");
}
rise_transition (delay_template4x4) {
index_1 ("0.12500, 0.25000, 0.50000, 1.00000");
index_2 ("0.01000, 0.02000, 0.04000, 0.08000");
values ("0.09241, 0.14384, 0.25470, 0.48641", \
"0.12892, 0.17815, 0.28063, 0.50180", \
"0.19564, 0.25044, 0.34964, 0.55426", \
"0.32406, 0.38482, 0.49385, 0.69261");
}
cell_fall (delay_template4x4) {
index_1 ("0.12500, 0.25000, 0.50000, 1.00000");
index_2 ("0.01000, 0.02000, 0.04000, 0.08000");
values ("0.05045, 0.07691, 0.12352, 0.21812", \
"0.06137, 0.09469, 0.14770, 0.24047", \
"0.07265, 0.11621, 0.18326, 0.28931", \
"0.08131, 0.13810, 0.22591, 0.36042");
}
fall_transition (delay_template4x4) {
index_1 ("0.12500, 0.25000, 0.50000, 1.00000");
index_2 ("0.01000, 0.02000, 0.04000, 0.08000");
values ("0.07811, 0.11745, 0.20367, 0.38673", \
"0.11520, 0.15512, 0.23304, 0.40414", \
"0.18679, 0.23016, 0.30923, 0.46441", \
"0.31905, 0.37381, 0.46039, 0.61745");
}
receiver_capacitance1_rise(receiver_capacitance_template_203454048) {
values("0.00293, 0.00289, 0.00286, 0.00284", \
"0.00303, 0.00298, 0.00293, 0.00290", \
"0.00311, 0.00306, 0.00301, 0.00296", \
"0.00319, 0.00314, 0.00308, 0.00303");
}
receiver_capacitance2_rise(receiver_capacitance_template_203454048) {
values("0.00366, 0.00337, 0.00320, 0.00310", \
"0.00440, 0.00383, 0.00350, 0.00331", \
"0.00532, 0.00445, 0.00385, 0.00350", \
"0.00621, 0.00540, 0.00449, 0.00387");
}
receiver_capacitance1_fall(receiver_capacitance_template_203454048) {
values("0.00316, 0.00310, 0.00306, 0.00304", \
"0.00332, 0.00324, 0.00316, 0.00311", \
"0.00347, 0.00337, 0.00328, 0.00319", \
"0.00362, 0.00351, 0.00341, 0.00331");
}
receiver_capacitance2_fall(receiver_capacitance_template_203454048) {
values("0.00388, 0.00356, 0.00337, 0.00326", \
"0.00467, 0.00405, 0.00369, 0.00349", \
"0.00566, 0.00472, 0.00406, 0.00369", \
"0.00595, 0.00572, 0.00476, 0.00407");
}
output_current_rise() {
vector(CCT) {
reference_time : 0.078125;
index_1(0.125);
index_2(0.01);
index_3("0.04456, 0.07256, 0.08456, 0.12456, 0.13856, 0.14656, 0.17725, 0.19325, 0.20
125, 0.24525");
values("0.01627, 0.04668, 0.07085, 0.19498, 0.20724, 0.19957, 0.08324, 0.04440, 0.031
91, 0.00473");
}
vector(CCT) {
reference_time : 0.078125;
index_1(0.125);
index_2(0.02);
index_3("0.04856, 0.08856, 0.11656, 0.15645, 0.17925, 0.21725, 0.24325, 0.26725, 0.29
925, 0.35525");
values("0.02550, 0.09884, 0.20114, 0.28562, 0.24400, 0.13729, 0.08226, 0.04869, 0.023
09, 0.00589");
}
vector(CCT) {
reference_time : 0.078125;
index_1(0.125);
index_2(0.04);
index_3("0.05056, 0.09456, 0.11456, 0.15645, 0.21925, 0.24725, 0.37125, 0.41925, 0.45
125, 0.56925");
values("0.03189, 0.13244, 0.20743, 0.32320, 0.27977, 0.25174, 0.08705, 0.05001, 0.033
82, 0.00742");
}
vector(CCT) {
reference_time : 0.078125;
index_1(0.125);
index_2(0.08);
index_3("0.05456, 0.08656, 0.15645, 0.29125, 0.38725, 0.58525, 0.64725, 0.74925, 0.89
125, 1.02325");
values("0.04207, 0.12058, 0.34222, 0.29860, 0.25449, 0.11402, 0.08088, 0.04361, 0.017
35, 0.00714");
}
vector(CCT) {
reference_time : 0.15625;
index_1(0.25);
index_2(0.01);
index_3("0.07456, 0.14256, 0.16856, 0.21256, 0.23856, 0.25456, 0.27856, 0.29656, 0.32
950, 0.36350");
values("0.00686, 0.03287, 0.06010, 0.13613, 0.15749, 0.14148, 0.07654, 0.04224, 0.014
23, 0.00318");
}
vector(CCT) {
reference_time : 0.15625;
index_1(0.25);
index_2(0.02);
index_3("0.08056, 0.16256, 0.18256, 0.20656, 0.24256, 0.27256, 0.28856, 0.35350, 0.38
150, 0.47350");
values("0.01231, 0.06691, 0.09854, 0.14755, 0.19906, 0.21400, 0.20608, 0.07489, 0.040
16, 0.00432");
}
vector(CCT) {
reference_time : 0.15625;
index_1(0.25);
index_2(0.04);
index_3("0.08656, 0.15856, 0.23256, 0.26456, 0.31270, 0.35150, 0.47550, 0.50750, 0.59
150, 0.69150");
values("0.01911, 0.07966, 0.20812, 0.24628, 0.28784, 0.25081, 0.08631, 0.05993, 0.021
28, 0.00579");
}
vector(CCT) {
reference_time : 0.15625;
index_1(0.25);
index_2(0.08);
index_3("0.09056, 0.18256, 0.31270, 0.46950, 0.53550, 0.67750, 0.77950, 0.87550, 0.99
350, 1.13350");
values("0.02450, 0.12732, 0.32523, 0.26516, 0.22220, 0.11940, 0.06734, 0.03718, 0.017
21, 0.00671");
}
vector(CCT) {
reference_time : 0.3125;
index_1(0.5);
index_2(0.01);
index_3("0.13056, 0.26256, 0.29456, 0.32056, 0.37856, 0.43056, 0.44256, 0.49656, 0.52
056, 0.58056");
values("0.00265, 0.01722, 0.02555, 0.03886, 0.08617, 0.10862, 0.10517, 0.03711, 0.018
65, 0.00223");
}
vector(CCT) {
reference_time : 0.3125;
index_1(0.5);
index_2(0.02);
index_3("0.13856, 0.27856, 0.30856, 0.42256, 0.47456, 0.49656, 0.54656, 0.59856, 0.65
920, 0.69920");
values("0.00521, 0.03219, 0.04405, 0.14010, 0.16161, 0.15353, 0.08524, 0.03213, 0.009
36, 0.00347");
}
vector(CCT) {
reference_time : 0.3125;
index_1(0.5);
index_2(0.04);
index_3("0.14656, 0.27656, 0.35056, 0.45456, 0.54056, 0.57856, 0.66800, 0.73600, 0.81
600, 0.91600");
values("0.00874, 0.04635, 0.08802, 0.18670, 0.21762, 0.20588, 0.10613, 0.04909, 0.018
07, 0.00489");
}
vector(CCT) {
reference_time : 0.3125;
index_1(0.5);
index_2(0.08);
index_3("0.15656, 0.32256, 0.35856, 0.45456, 0.55056, 0.62520, 0.70600, 0.98800, 1.12
600, 1.35000");
values("0.01358, 0.08487, 0.10982, 0.20382, 0.26060, 0.28888, 0.24809, 0.06692, 0.028
17, 0.00631");
}
vector(CCT) {
reference_time : 0.625;
index_1(1);
index_2(0.01);
index_3("0.23856, 0.47056, 0.51856, 0.59256, 0.73456, 0.78456, 0.80256, 0.88456, 0.96
056, 1.06056");
values("0.00106, 0.00760, 0.00994, 0.01801, 0.06227, 0.06885, 0.06743, 0.02364, 0.007
65, 0.00142");
}
vector(CCT) {
reference_time : 0.625;
index_1(1);
index_2(0.02);
index_3("0.24656, 0.51256, 0.61456, 0.77656, 0.85456, 0.90056, 0.97656, 1.02856, 1.06
056, 1.14056");
values("0.00204, 0.01654, 0.03204, 0.09574, 0.11049, 0.09622, 0.04212, 0.01909, 0.010
90, 0.00221");
}
vector(CCT) {
reference_time : 0.625;
index_1(1);
index_2(0.04);
index_3("0.26056, 0.47856, 0.59056, 0.65656, 0.88856, 0.94456, 1.00056, 1.13656, 1.21
656, 1.36460");
values("0.00393, 0.02348, 0.03884, 0.05750, 0.15595, 0.16372, 0.14988, 0.05512, 0.022
91, 0.00383");
}
vector(CCT) {
reference_time : 0.625;
index_1(1);
index_2(0.08);
index_3("0.27656, 0.61856, 0.96056, 1.07856, 1.16456, 1.32100, 1.41700, 1.53300, 1.64
100, 1.82100");
values("0.00679, 0.06110, 0.20436, 0.21949, 0.20333, 0.11173, 0.06473, 0.03134, 0.015
41, 0.00456");
}
}
output_current_fall() {
vector(CCT) {
reference_time : 0.078125;
index_1(0.125);
index_2(0.01);
index_3("0.05056, 0.06856, 0.08656, 0.12256, 0.13656, 0.14656, 0.17125, 0.18525, 0.19
325, 0.21125");
values("-0.02363, -0.05002, -0.09337, -0.22233, -0.25047, -0.21948, -0.07242, -0.0299
1, -0.01756, -0.00512");
}
vector(CCT) {
reference_time : 0.078125;
index_1(0.125);
index_2(0.02);
index_3("0.05456, 0.08056, 0.09256, 0.11656, 0.15645, 0.17325, 0.21725, 0.23325, 0.26
525, 0.29525");
values("-0.03522, -0.09152, -0.12868, -0.22400, -0.33880, -0.29761, -0.11024, -0.0669
4, -0.02227, -0.00749");
}
vector(CCT) {
reference_time : 0.078125;
index_1(0.125);
index_2(0.04);
index_3("0.05656, 0.09656, 0.12656, 0.15645, 0.20325, 0.22525, 0.31925, 0.36525, 0.39
925, 0.47525");
values("-0.04345, -0.15531, -0.27285, -0.36885, -0.34644, -0.32498, -0.12013, -0.0568
0, -0.03102, -0.00747");
}
vector(CCT) {
reference_time : 0.078125;
index_1(0.125);
index_2(0.08);
index_3("0.06056, 0.10256, 0.15645, 0.30125, 0.33325, 0.39125, 0.54125, 0.60525, 0.66
525, 0.83125");
values("-0.05563, -0.18656, -0.38364, -0.34942, -0.33497, -0.28099, -0.11056, -0.0650
2, -0.03803, -0.00786");
}
vector(CCT) {
reference_time : 0.15625;
index_1(0.25);
index_2(0.01);
index_3("0.08256, 0.12856, 0.15056, 0.16856, 0.21456, 0.23656, 0.24456, 0.26656, 0.28
656, 0.32670");
values("-0.01024, -0.03475, -0.05337, -0.07835, -0.15607, -0.18404, -0.17241, -0.0796
1, -0.02637, -0.00381");
}
vector(CCT) {
reference_time : 0.15625;
index_1(0.25);
index_2(0.02);
index_3("0.08856, 0.12656, 0.17856, 0.25456, 0.27056, 0.28256, 0.33350, 0.34750, 0.36
550, 0.40750");
values("-0.01674, -0.04674, -0.11077, -0.24559, -0.25655, -0.23998, -0.07524, -0.0473
7, -0.02533, -0.00548");
}
vector(CCT) {
reference_time : 0.15625;
index_1(0.25);
index_2(0.04);
index_3("0.09456, 0.14256, 0.17456, 0.24056, 0.31270, 0.34150, 0.43150, 0.47150, 0.49
550, 0.57750");
values("-0.02438, -0.07623, -0.11899, -0.24595, -0.34154, -0.30093, -0.10357, -0.0532
9, -0.03479, -0.00752");
}
vector(CCT) {
reference_time : 0.15625;
index_1(0.25);
index_2(0.08);
index_3("0.10056, 0.19456, 0.24256, 0.31270, 0.42350, 0.46150, 0.60350, 0.69150, 0.76
550, 0.93150");
values("-0.03318, -0.16649, -0.26136, -0.37152, -0.34060, -0.31329, -0.14733, -0.0734
8, -0.03811, -0.00788");
}
vector(CCT) {
reference_time : 0.3125;
index_1(0.5);
index_2(0.01);
index_3("0.14256, 0.24256, 0.29456, 0.32256, 0.41456, 0.42056, 0.43056, 0.47056, 0.49
456, 0.53456");
values("-0.00402, -0.02120, -0.03889, -0.05595, -0.12010, -0.12123, -0.11351, -0.0349
2, -0.01407, -0.00266");
}
vector(CCT) {
reference_time : 0.3125;
index_1(0.5);
index_2(0.02);
index_3("0.15056, 0.28256, 0.34456, 0.45656, 0.46856, 0.48456, 0.53456, 0.55456, 0.58
856, 0.62056");
values("-0.00734, -0.04719, -0.08787, -0.18267, -0.18672, -0.17311, -0.06462, -0.0358
0, -0.01163, -0.00378");
}
vector(CCT) {
reference_time : 0.3125;
index_1(0.5);
index_2(0.04);
index_3("0.16056, 0.27656, 0.34856, 0.46656, 0.50656, 0.53456, 0.55456, 0.64400, 0.69
200, 0.80200");
values("-0.01219, -0.06031, -0.10671, -0.21941, -0.24959, -0.25976, -0.24927, -0.0960
8, -0.04256, -0.00544");
}
vector(CCT) {
reference_time : 0.3125;
index_1(0.5);
index_2(0.08);
index_3("0.17056, 0.35256, 0.52056, 0.62520, 0.66800, 0.79200, 0.86600, 0.94800, 1.05
400, 1.13800");
values("-0.01787, -0.12571, -0.28191, -0.34255, -0.31263, -0.16622, -0.09539, -0.0470
9, -0.01757, -0.00782");
}
vector(CCT) {
reference_time : 0.625;
index_1(1);
index_2(0.01);
index_3("0.24856, 0.29656, 0.48456, 0.54056, 0.60256, 0.76456, 0.77856, 0.83856, 0.88
456, 1.02856");
values("-0.00142, -0.00330, -0.01331, -0.01933, -0.03208, -0.07540, -0.07234, -0.0193
2, -0.00765, -0.00153");
}
vector(CCT) {
reference_time : 0.625;
index_1(1);
index_2(0.02);
index_3("0.25856, 0.44056, 0.52456, 0.58856, 0.81656, 0.83256, 0.86056, 0.92056, 0.95
856, 1.06456");
values("-0.00268, -0.01761, -0.02721, -0.03989, -0.12017, -0.12259, -0.10901, -0.0436
6, -0.02087, -0.00248");
}
vector(CCT) {
reference_time : 0.625;
index_1(1);
index_2(0.04);
index_3("0.27456, 0.49856, 0.62656, 0.68456, 0.86456, 0.93056, 0.95456, 1.07256, 1.13
656, 1.22656");
values("-0.00498, -0.03596, -0.06553, -0.08779, -0.16801, -0.18810, -0.18087, -0.0555
5, -0.01967, -0.00388");
}
vector(CCT) {
reference_time : 0.625;
index_1(1);
index_2(0.08);
index_3("0.29256, 0.59456, 0.70056, 0.91056, 1.00656, 1.06456, 1.13056, 1.32300, 1.43
700, 1.59300");
values("-0.00827, -0.07277, -0.10975, -0.21196, -0.25041, -0.26138, -0.23307, -0.0672
3, -0.02386, -0.00530");
}
}
}
}
}

Anda mungkin juga menyukai