Anda di halaman 1dari 1

Actividad 2: realiza lo que se te indica:

1) Mediante un estilo funcional, programe en VHDL el funcionamiento de una lámpara


para código Morse que encienda la luz al presionar un botón y la apague al soltarlo.

2) Con un estilo funcional, program en VHDL el funcionamiento del motor de un


ventilador en que el motor gire en un sentido al presionar el botón ‘a’ y en dirección
contraria al oprimir el botón ‘b’.

3) Con un estilo por flujo de datos, programe en VHDL el funcionamiento de un panel


en una fábrica de empaquetamiento de arroz. Este panel muestra el contenido de 2
silos (a,b) que tiene la fábrica para guardar el arroz. Este panel muestra el contenido
de 2 silos (a,b) que tiene la fábrica para guardar el arroz; un sensor detecta cuán
llenos están, cuando se encuentra al 100% de su capacidad, envía un ‘1 lógico’ y
cuando tienen 25% o menos envía un ‘0 lógico’; si en uno de estos silos disminuye
el contenido a 25% o menos, se prende una luz (c), si los dos sobrepasan ese límite
se enciende otra luz (d) y suena una alarma (e).

4) Mediante el estilo de programación por flujo de datos, programe en VHDL el


funcionamiento de un robot en una planta que espera a que se llene una tarima con
cuatro cajas antes de llevarla a la bodega de almacenamieto, para saber si la tarima
está llena cuenta con cuatro sensores, cada uno apunta a las cajas; si hay una caja
marca un ‘1 lógico’; si falta, marca un ‘0 lógico’. Si falta alguna caja el robot no se
puede ir, cuando están las cuatro cajas el robot se lleva la tarima.

5) Con el estilo de programación por flujo de datos, programa en VHDL el


funcionamiento de una caja de seguridad cuya apertura requiere la presión
simultánea de tres de cuatro botones, (a,b,c,d), los botones que se deben oprimir
son: a,c,d.

Anda mungkin juga menyukai