Anda di halaman 1dari 1

UNIVERSIDAD NACIONAL MAYOR DE SAN MARCOS Ciclo Académico 2018 - I

FACULTAD DE INGENIERÍA ELÉCTRONICA Y ELECTRICA Fecha: 23-07-2018


ESCUELAS ACADEMICO PROFESIONALES Duración: 2 Horas

CURSO: ________DISEÑO DIGITAL__________________________________ COD. CURSO:

TIPO DE PRUEBA: PRACTICA No. Ex. PARCIAL EX. FINAL


EX. SUST.
PREGUNTA 1: (4 puntos) Implemente el código VHDL de la siguiente ruta de datos:

PREGUNTA 2: Utilizando la teoría de ruta de datos implemente un circuito que permita cargar un
número de 32 bits de forma paralela cuando se presione el botón LOAD. Luego cuando se pulse START el
circuito debe indicar la cantidad de bits 1s y 0s que se han ingresado.

 Dibujar la ruta de datos indicando claramente todas las líneas de control a utilizar por cada registro (2
puntos).
 Escriba la ruta de datos en VHDL (4 puntos).
 Encuentre la palabra de comando optimizada (1 punto).
 Asumiendo que tiene el componente de la unidad de control y la ruta de datos. Realice la
interconexión final del circuito (3 puntos).

PREGUNTA 3: (6 puntos) Implementar un circuito generador PWM que sea controlado por 1 botón.
Cuando se presiona por primera vez el botón debe generar una señal de 1KHz a 10% de DC, y cada vez que
se presiona el botón, el DC se incrementa en 10%. El ciclo se vuelve a repetir de 0 al 100%.

EL PROFESOR AGL

Anda mungkin juga menyukai