Piensa y trabaja
UNIVERSIDAD DE GUADALAJARA
CUCEI
Ingeniería
Proyecto 11
Programación de un registro de
corrimiento
1
Universidad de Guadalajara
Piensa y trabaja
Introducción
Xilinx, Inc. es una compañía de tecnología americana, primeramente, es un
distribuidor de dispositivos lógicos programables. Es reconocida por inventar
los FPGA (del inglés Field Programmable Gate Array) y también por ser la
primera compañía con modelos de manufactura fabless.
Ventana de procesos
Muestra los procesos necesarios para la ejecución de cada etapa de diseño.
Ventanas de edición
Permite modificar ficheros.
2
Universidad de Guadalajara
Piensa y trabaja
Ventanas de información
Muestra mensajes de error, aviso o información de la ejecución de los
programas de compilación, implementación, etc
Objetivo
Diseñar un registro de corrimiento de 4 bits y programarla en ISE.
Material
Computadora con software Xilinix y Boole deusto previamente instalado
Conocimientos previos en clase acerca de programación y palabras clave.
Desarrollo y resultados
Programación en xilinix
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
ENTITY registroctb IS
END registroctb;
COMPONENT registroc
PORT(
r : IN std_logic_vector(3 downto 0);
clk : IN std_logic;
l : IN std_logic;
w : IN std_logic;
q : buffer std_logic_vector(3 downto 0)
3
Universidad de Guadalajara
Piensa y trabaja
);
END COMPONENT;
--Inputs
signal r : std_logic_vector(3 downto 0) := (others => '0');
signal clk : std_logic := '0';
signal l : std_logic := '0';
signal w : std_logic := '0';
--Outputs
signal q : std_logic_vector(3 downto 0);
BEGIN
-- Stimulus process
stim_proc: process
begin
4
Universidad de Guadalajara
Piensa y trabaja
wait;
end process;
END;
CONCLUCIONES
Utilizando el programa xilinix adquirimos el conocimiento para
programar por otro medio, el programa pretende facilitar el
proceso de programación insertando automáticamente palabras
clave y definiendo procesos o usando librerías que incluyen dichos
procesos.
Referencias
(26/11/2018)
https://es.scribd.com/document/266441852/ISE-Xilinx
(26/11/2018)
5
Universidad de Guadalajara
Piensa y trabaja
https://es.wikipedia.org/wiki/Xilinx