Anda di halaman 1dari 6

Universidad de Guadalajara

Piensa y trabaja

UNIVERSIDAD DE GUADALAJARA
CUCEI
Ingeniería
Proyecto 11
Programación de un registro de
corrimiento

Seminario de solución de problemas de programación de


sistemas reconfigurables
Sección D03
Alumno: Cruz Esparza Josué Arturo 217757253

Tercer semestre ing. Comunicaciones y electrónica

Nombre del profesor: Durón González Mónica Judith

1
Universidad de Guadalajara
Piensa y trabaja

Introducción
Xilinx, Inc. es una compañía de tecnología americana, primeramente, es un
distribuidor de dispositivos lógicos programables. Es reconocida por inventar
los FPGA (del inglés Field Programmable Gate Array) y también por ser la
primera compañía con modelos de manufactura fabless.

Fundada en Silicon Valley en 1984, su sede está ubicada en San José,


California, con oficinas adicionales en Longmont, Colorado; Dublín, Irlanda;
Singapur; Hyderabad, India; Beijing, China; Brisbane, Australia y Tokyo, Japón.

Posee las mayores familias de productos de FPGAs, incluyendo las series


Virtex (alto rendimiento), Kintex (rango medio), y Artix (bajo costo), y la
retirada Spartan (bajo costo). También posee software computacional Xilinx
ISE y Vivado Design Suite.

El entorno de programación de ISE Xilinx es una herramienta que nos permite


realizar un diseño completo en lógica programable, esta herramienta incluye
el software necesario para realizar todas las etapas que se llevan a cabo en el
diseño de un prototipo de un circuito digital

Este entorno de programación es similar a los entornos de programación


como Visual Basic o C, posee ventanas para visualización de tareas
específicas.

Ventana de ficheros fuente


Ficheros fuente del diseño y dependencias entre ellos. Elige el dispositivo
donde se implementa el diseño

Ventana de procesos
Muestra los procesos necesarios para la ejecución de cada etapa de diseño.

Ventanas de edición
Permite modificar ficheros.

2
Universidad de Guadalajara
Piensa y trabaja

Ventanas de información
Muestra mensajes de error, aviso o información de la ejecución de los
programas de compilación, implementación, etc

Objetivo
Diseñar un registro de corrimiento de 4 bits y programarla en ISE.

Material
Computadora con software Xilinix y Boole deusto previamente instalado
Conocimientos previos en clase acerca de programación y palabras clave.

Desarrollo y resultados

Programación en xilinix
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;

-- Uncomment the following library declaration if using


-- arithmetic functions with Signed or Unsigned values
--USE ieee.numeric_std.ALL;

ENTITY registroctb IS
END registroctb;

ARCHITECTURE behavior OF registroctb IS

-- Component Declaration for the Unit Under Test (UUT)

COMPONENT registroc
PORT(
r : IN std_logic_vector(3 downto 0);
clk : IN std_logic;
l : IN std_logic;
w : IN std_logic;
q : buffer std_logic_vector(3 downto 0)

3
Universidad de Guadalajara
Piensa y trabaja

);
END COMPONENT;

--Inputs
signal r : std_logic_vector(3 downto 0) := (others => '0');
signal clk : std_logic := '0';
signal l : std_logic := '0';
signal w : std_logic := '0';

--Outputs
signal q : std_logic_vector(3 downto 0);

-- Clock period definitions


constant clk_period : time := 10 ns;

BEGIN

-- Instantiate the Unit Under Test (UUT)


uut: registroc PORT MAP (
r => r,
clk => clk,
l => l,
w => w,
q => q
);

-- Clock process definitions


clk_process :process
begin
clk <= '0';
wait for clk_period/2;
clk <= '1';
wait for clk_period/2;
end process;

-- Stimulus process
stim_proc: process
begin

4
Universidad de Guadalajara
Piensa y trabaja

-- hold reset state for 100 ns.


wait for 100 ns;

wait for clk_period*10;

-- insert stimulus here


r<="0010";
l<='1';
w<='1';

wait for clk_period;


r<="0000";
l<='1';
w<='0';

wait;
end process;

END;

CONCLUCIONES
Utilizando el programa xilinix adquirimos el conocimiento para
programar por otro medio, el programa pretende facilitar el
proceso de programación insertando automáticamente palabras
clave y definiendo procesos o usando librerías que incluyen dichos
procesos.

Referencias

(26/11/2018)
https://es.scribd.com/document/266441852/ISE-Xilinx

(26/11/2018)
5
Universidad de Guadalajara
Piensa y trabaja

https://es.wikipedia.org/wiki/Xilinx

Anda mungkin juga menyukai