Anda di halaman 1dari 10

1100 IEEE TRANSACTIONS ON NANOTECHNOLOGY, VOL. 11, NO.

6, NOVEMBER 2012

Optimized Design of a 32-nm CNFET-Based


Low-Power Ultrawideband CCII
Ale Imran, Member, IEEE, Mohd. Hasan, Member, IEEE, Aminul Islam, Member, IEEE,
and Shuja Ahmad Abbasi, Senior Member, IEEE

Abstract—CMOS technology faces significant challenges like current feedback amplifier (CFA), current differencing buffered
tunneling effect, random dopant fluctuation, and line edge rough- amplifier (CDBA), current differencing transconductance am-
ness at channel lengths below 45 nm. Carbon nanotube-based elec- plifier (CDTA) for an analog circuit design. However, among all
tronics seems to be a better prospect for extending the saturating
Moore’s law because of its higher mobility, scalability, and better CM devices, a dual-output second-generation current conveyor
channel electrostatics. This paper presents an optimum design of (CCII±) by far is the most popular one. A current conveyor is
a wide bandwidth, high-performance carbon nanotube field-effect basically a CM device that conveys (transfers) current with unity
transistor (CNFET) realization of a dual-output second-generation gain from an input port to an output port. It is widely considered
current conveyor (CCII±) at a 32-nm technology node. The per- as the op amp equivalent in CM analog signal processing and
formance of the CCII module has been thoroughly investigated
in terms of number of carbon nanotubes (CNTs), the diameter of finds wide range of applications in realizing amplifiers, differ-
CNT and inter-CNT pitch. The parameters of individual CNFET entiators, impedance converters, integrators, filters, oscillators,
are then modified to further improve the performance. The per- etc. [1]–[4]. The performance of the current conveyor is charac-
formance of the optimum CNFET (ITOPT)-based CCII is then terized by its voltage and current following behaviors.
compared with CMOS at different supply voltages. It has been In the past many decades, CMOS technology has played an
found that CNFET-based CCII provides excellent high-frequency
response and also consumes lower power at scaled supply voltage instrumental role in driving the world economics and scaling
compared with its CMOS counterpart. down of size has been the fundamental strategy for improving
the performance of the device. However, ITRS suggests that by
Index Terms—Carbon nanotube (CNT), carbon nanotube field-
effect transistor (CNFET), current conveyor, current mode circuits, 2016, the gate length of the MOSFET will be less than 10 nm
nanoelectronics. and at these nanoscale regimes both the fundamental limits and
the technological challenges are going to be encountered [5]. In
addition to that problems like process variations, extreme short-
I. INTRODUCTION
channel effects, leakage current, high field effect, lithographic
HE last decade has witnessed tremendous growth in the limits and quantum confinement effect will have significant im-
T field of current-mode (CM) analog-signal processing. In
CM operation, the circuit response is described in terms of cur-
pact on the MOSFET’s functioning. Therefore, it is extremely
imperative to find new, molecular-scale devices that could com-
rent rather than voltage. The use of current, rather than voltage plement the existing silicon platform by providing it with new
as the active variable in the whole circuit (or in part), offers an capabilities or that might even replace existing silicon CMOS
elegant solution for various circuit- and system-based problems. technology and allow device scaling to continue to the atomic
Generally, CM circuits exhibit better high-frequency response, scale [6].
have simpler architecture, provide better dynamic range, and It is a well-known fact that the last few years have witnessed
operate at lower voltages than their voltage-mode counterparts. significant increase in nanoelectronics research, where many
Another important reason for the fast development in CM cir- possibilities are appearing in the form of various device struc-
cuits is the recent availability of attractive integrated devices like tures such as multigate field-effect transistor (FET), FinFET,
nanowire FET, etc., to name a few. However, among all these,
carbon nanotubes (CNTs) is generally considered to be the most
Manuscript received September 29, 2011; accepted July 12, 2012. Date of promising nanostructured material for realizing nanoelectronic
publication August 8, 2012; date of current version November 16, 2012. This transistors because of its ballistic transport capability, very nar-
work was supported by the Comprehensive National Plan for Science, Technol- row diameter of the order of few nm, possible engineering of
ogy and Innovation, King Saud University, Riyadh, Saudi Arabia, under Grant
09-ELE854-0. The review of this paper was arranged by Associate Editor S. D. electronic properties and high current carrying capacity [7].
Cotofana. A carbon nanotube field-effect transistor (CNFET) is a three-
A. Imran and M. Hasan are with the Department of Electronics Engi- terminal device which consists of a semiconducting nanotube
neering, Aligarh Muslim University, Aligarh 202002, India (e-mail: aleimran.
amu@gmail.com; mohd.hasan@amu.ac.in). bridging two contacts (source and drain) and acting as a carrier
A. Islam is with the Department of Electronics and Communication Engi- channel, which is turned ON or OFF electrostatically via the
neering, Birla Institute of Technology, Mesra, Ranchi 835215, India (e-mail: third contact, i.e., gate. It was first fabricated in 1998 and since
aminulislam@bitmesra.ac.in).
S. A. Abbasi is with the Department of Electrical Engineering, King Saud then it has come a long way.
University, Riyadh 11421, Saudi Arabia (e-mail: abbasi@ksu.edu.sa). A CNFET has the potential of taking over in the post silicon
Color versions of one or more of the figures in this paper are available online era due to its exceptional electrical and structural characteristics,
at http://ieeexplore.ieee.org.
Digital Object Identifier 10.1109/TNANO.2012.2212248 such as quasi 1-D (ballistic) transport of electrons (and equally

1536-125X/$31.00 © 2012 IEEE


IMRAN et al.: OPTIMIZED DESIGN OF A 32-nm CNFET-BASED LOW-POWER ULTRAWIDEBAND CCII 1101

likely for holes), higher drive current (three to four times than
MOSFETs), large transconductance (four times), low intrinsic
capacitance, near ideal subthreshold slope, high temperature
resilience and strong covalent bonding [8]. Moreover, the device
structure and the operating principle of CNFET are quite similar
to the existing CMOS and it can also be fabricated by utilizing
the existing CMOS infrastructure.
Significant research work has already been carried out in Fig. 1. General structure of CCII±.
the digital domain using the emerging CNFET technology.
Full adders, multiplexers, memory (SRAM), LUTs, etc., imple-
mented using CNFET, are available in the literature [9]–[12].
However, the design of analog modules like OTA, current con-
veyor, etc. in CNFET technology still remains an unexplored
territory. This paper presents the design of a high-performance
dual-output current conveyor module and investigates its design
metrics at 32-nm technology node. It is to be noted that to the
best of our knowledge, no previous work has been reported in
the literature on the performance of a CNFET-based current
conveyor. This paper makes the following contributions.
1) In view of the aforesaid requirement of circuits operat-
ing in an ultrawideband range of frequencies, a CCII±
module is designed to achieve high performance (in terms
of current, voltage bandwidth and the input/output resis-
tances) using a CNFET optimized in terms of number of
CNTs, inter-CNT pitch and the diameter of CNT. The per-
formance of the optimized CNFET-based CCII is further Fig. 2. CCII± implementation using a translinear loop.
improved by altering parameters of an individual CNFET
(ITOPT).
2) The performance of ITOPT CCII is then compared with equations of the dual output current conveyor can be represented
CMOS at different supply voltages. as follows:
The rest of the paper is organized as follows. A brief introduc-
tion of CCII± is given in Section II, followed by an overview
of CNFET design parameters and equations in Section III. IY = 0 (1)
Section IV and V discuss in detail the design and performance VX = VY (2)
analysis of CNFET-based CCII. In Section VI, the parameters
of individual CNFET are altered to further improve the per- IZ = ±IX (3)
formance of CNFET-based CCII, and then, its performance is
compared with the existing bulk CMOS-based CCII. It is then where VX and VY are the voltages at ports X and Y, respectively.
followed by conclusion in Section VII. IX and IY are the currents entering ports X and Y. Moreover,
IZ+ is the positive-type output current and IZ− is the negative-
type output current. Ideally, a current conveyor should satisfy
II. CURRENT CONVEYOR the following attributes.
The first-generation current conveyor (CCI) was introduced 1) Infinite input impedance (RIN ) at port Y.
way back in 1968 by Sedra and Smith [13]. In 1970, the same 2) Zero input impedance (RX ) at port X for current inputs.
duo modified the architecture of CCI and came up with a novel 3) Infinite output impedance (ROUT ) at port Z.
type of current conveyor, which is now known as the second- 4) Unity current transfer gain between ports X and Z.
generation current conveyor (CCII) [14]. It is an attractive build- 5) Unity voltage transfer gain between ports Y and X.
ing block for voltage and CM circuits with great functional 6) Infinite bandwidth.
versatility. It is widely used for implementing various functions Current and voltage bandwidths along with the input and
in analog signal processing, such as amplifiers, integrators, dif- output port resistances of the CCII have been chosen as the pa-
ferentiators, oscillators, filters, etc. rameters for the assessment of its performance using the emerg-
CCII is basically a CM device, which conveys current, with ing CNFET technology at a 32-nm technology node. However,
unity gain, from the input port to the output port. It has reliable various configurations of CCII± based on silicon CMOS and
frequency response and is popularly used for high frequency bipolar technologies exist in the literature.
applications. The block diagram representation of CCII± and However, the one chosen in this paper for carrying out the
its internal transistor implementation are shown in Figs. 1 and 2 design and performance optimization with CNFET technology
respectively [15]. Using the standard notation, the characteristic exhibits excellent high-frequency response.
1102 IEEE TRANSACTIONS ON NANOTECHNOLOGY, VOL. 11, NO. 6, NOVEMBER 2012

tion in the device channel by applying a field perpendicular to


the charge flow between the two other contacts, i.e., source and
drain. The number of semiconducting SWCNTs required in the
channel region depends on the current drive requirement. The
carrier transport between the n+ /p+ source and drain regions
takes place through these narrow CNTs and utilizes its ballistic
transport property. Ballistic transport means that the mean free
path for a charge carrier is longer than the device dimensions.
Thus, the charge carriers do not collide, reducing resistance to
negligible levels, resulting in higher mobility as compared to the
bulk MOSFETs [26]. On the basis of device operation mecha-
Fig. 3. Top view of a CNFET. nism, the CNFET can be categorized into Schottky-barrier con-
trolled FET (SB-CNFET) or MOSFET-like FET. In this work,
MOSFET-like FETs are employed which exhibits unipolar be-
III. CARBON NANOTUBE FET havior by suppressing either the electron (pFET) or hole (nFET)
As silicon CMOS technology reaches its scaling limit, the transport with heavily doped source/drain.
development of alternative technologies that could improve Generally, while designing a circuit in the conventional sil-
the performance of the device/circuit is of utmost importance. icon CMOS technology, a PMOS/NMOS ratio of 2–4 is used,
Nanotechnology-based fabrication is expected to offer the ex- in order to match the p- and n-type devices. However, no such
tra density and potential performance to take electronic circuits constraint exists in CNFET technology. In a CNFET, a ratio of
to the next level. Among all the nanoscale electronic devices “1” could easily be used for PCNFET/NCNFET because both
investigated till date, CNT FET seems to have the brightest “p” and “n” types of a CNFET have similar current-driving
prospect due to its excellent electronic properties [16]. Most of capabilities with the same transistor geometries.
the traditional fundamental problems like extreme short channel Moreover, unlike CMOS, where the widths and lengths of
effects, leakage currents, high field effects, lithographic limits, the transistor are adjusted to change the PMOS/NMOS ratio,
and quantum confinement effect associated with silicon CMOS a CNFET-based current conveyor is designed in terms of the
are overcome in a CNFET [17]. A CNFET can be scaled down to following optimal structural parameters.
10-nm channel length and 4-nm channel width, thus enhancing 1) Number of CNTs (N): It is important to determine the
throughput in terms of speed. Besides that a majority of issues number of CNTs to be used in an array in order to en-
related to the fabrication process of CNFET has been solved and sure sufficient current supply for driving fixed capacitive
the future looks promising [18]–[21]. loads as a single-nanotube-based transistor does not pro-
A CNFET is obtained by replacing the channel of a conven- vide competitive performance over CMOS.
tional MOSFET by an array of semiconducting CNTs as shown 2) Inter-CNT Pitch (S): It is defined as the distance between
in Fig. 3. CNTs are long, thin allotropic cylinders of carbon, the center of two adjacent lying CNTs in the channel.
discovered by Ijima [22]. They are composed of a single shell It is denoted by “S” and is one of the important factors
namely single-walled CNT (SWCNT). SWCNTs are quasi— affecting the performance of the CNFET.
1-D molecular structures and can be formed by folding graphite 3) Diameter of CNT (DCNT ): It should be chosen very care-
layers into a cylinder. It is because of this—1-D structure of fully because it directly affects the threshold voltage of
SWCNT that CNFETs provide better electrostatic control over the device.
the channel as compared to 2-D (e.g., silicon on insulator) and The design equations relating to the diameter of the CNT
3-D (bulk CMOS) devices [23]. The properties of SWCNT de- (DCNT ), number of CNTs in the channel (N) and inter-CNT
pend on the chirality (n1 , n2 ). The SWCNT is considered to be pitch
 (S) with the width of the CNFET (W) and the energy gap
metallic if n1 = n2 or (n1 –n2 ) is a multiple of “3,” otherwise ( g ) are given as follows:
it is a semiconductor. The diameter of the CNT (DCNT ) and
its threshold voltage (Vth ) is calculated with the assistance of W = (N − 1) ∗ S + DCNT (6)

chirality vector as follows: = 0.84 eV/DCNT . (7)
g
a(n21 + n22 1/2
+ n1 n2 )
DCNT = (4)
π For carrying out simulation of CNFET-based CCII± at a
aVπ 32-nm technology node, we have used the Stanford CNFET
Vth =√ (5) model [24]. This model has been experimentally validated and
3 qDCNT
designed for the unipolar MOSFET-like CNFET and takes into
where q = electronic charge, a = 2.49 Å is the lattice constant consideration the device parasitics and non-idealities including
and Vπ = 3.033 eV is the carbon π–π bond energy [24], [25]. channel length dependence of current drive, source–drain series
The operating principle of CNFET is similar to a traditional resistance, source–drain contact resistance, effect of the source–
MOSFET. As in other FETs, the CNFET too relies on one of its drain extension region, inter-CNT charge screening effect,
three terminals, i.e., the gate, to modulate the carrier concentra- etc. along with accurate predictions of dynamic and transient
IMRAN et al.: OPTIMIZED DESIGN OF A 32-nm CNFET-BASED LOW-POWER ULTRAWIDEBAND CCII 1103

TABLE I
TECHNOLOGY PARAMETERS OF A CNFET

performance with more than 90% accuracy [27], [28]. Some


of the important device and technology parameters related to a
CNFET are given in Table I. Fig. 4. Number of CNTs versus 3-dB bandwidth.

IV. CNFET-BASED CURRENT CONVEYOR


A second-generation current conveyor is designed using a
CNFET, optimized in terms of uniformly spaced and perfectly
aligned CNTs, inter-CNT pitch, and the diameter of CNT. A
typical CNFET fabricated with Pd source/drain contacts and Al
gate contact is used in the simulation [29]. Intrinsic device ca-
pacitance (∼2–5 aF/nanotube), overlap, and fringe capacitances
(∼0.1 fF/nanotube) along with a capacitive load of 1fF are con-
sidered for a 32-nm CNFET device. To begin with, the circuit
is properly biased with power supply being kept constant at
±0.9 V and the value of current source Io , set to 10 μA in order
to establish a current in the circuit. The performance evaluation
is carried out on the basis of five key characteristics of a CCII Fig. 5. Number of CNTs versus resistance at port X.
namely current bandwidth, voltage bandwidth, input port resis-
tance X, input port resistance Y, and output port resistance Z.
The next sections investigate the effect of key parameters of the
CNFET on the performance of CCII.

A. Optimum Number of CNTs (N)


It is important to ascertain the number of CNTs to be used
in the channel so that sufficient current could be supplied for
driving load, as a single-CNT-based transistor cannot provide an
edge in performance over traditional CMOS [30]. The variation
of the performance parameters of CCII± with the number of
CNTs is shown in Figs. 4–6. It can be deduced from Fig. 4 that
with the increase in number of CNTs, the bandwidth increases
somewhat linearly, which allows for the use of larger number of Fig. 6. Number of CNT versus port resistance.
tubes to get best performance as expected. This is due to the fact
that parallel CNTs improve the driving capability of the device,
thus resulting in a significant increase in the transconductance (RX ) with the number of CNTs is depicted in Fig. 5. It can easily
[31]. be inferred that as the number of CNTs is increased, the value of
The small signal analysis of the port resistances of the device RX gradually decreases. This happens because with the increase
is performed in order to seek their dependence on the transistor in the number of CNTs, the value of transconductance increases
geometries. The small signal analysis at input port X of the and as per (8), RX decreases. Thus, increasing the number of
proposed circuit is depicted in Fig. 7. We can deduce from these CNTs is a good option for reducing RX . The same approach is
that the theoretical expression of RX is given by [32] followed for input port resistance Y and output port resistance
1 Z. The small signal analysis of the port resistances, i.e., RY and
RX = (8) RZ yields the following results:
gm 2 + gm 4
−1
where gm 2 and gm 4 are the transconductances of transistors M2 gm 1 + ro9
RY = −1 (9)
and M4, respectively. The variation of input port resistance X gm 3 + ro11
1104 IEEE TRANSACTIONS ON NANOTECHNOLOGY, VOL. 11, NO. 6, NOVEMBER 2012

Fig. 8. Inter-CNT pitch versus 3-dB bandwidth.

Fig. 7. Small signal analysis at port X.

r06 (1 − gm 6 /4αIo0.5 β 0.5 )


RZ = (10)
r07 (1 − gm 7 /4αIo0.5 β 0.5 )
where gm n and ron represent the transconductance and output
resistance of the nth transistor. Io is the value of the current
source that has been kept constant throughout the analysis at
10 μm, in order to establish a current in the circuit, and α and
β represent the values of current and voltage gain. The results
incorporating the variations in input port resistance Y (RY ) and
output port resistance Z (RZ ) with increasing number of CNTs
is shown in Fig. 6. It is to be noted that “Z” is an output current
Fig. 9. Inter-CNT pitch versus resistance at port X.
port, and for proper cascading of the device, it is preferable
to have high output resistance. However, it has been observed
that as the number CNTs in an array increases, and the value
of output port resistance Z (RZ ) decreases, thus presenting a
tradeoff with other parameters involved. Moreover, the power
dissipation goes up with the number of CNTs in the channel of
the device, thus putting an upper limit on the number of CNTs to
be incorporated in the channel. Hence, the number of CNTs to be
used is determined by the tradeoff involved between bandwidth,
input port resistances on one side and power and output port
resistances on the other. Nevertheless, looking at the overall
performance merits obtained sufficient number of tubes must
be chosen. Based on the simulation results, the optimum value
of number of tubes comes out to be 9, which has been used for
further simulation purposes.
Fig. 10. Inter-CNT pitch versus port resistance.

B. Optimum Inter-CNT Pitch (S)


current per tube ITUBE gets reduced for lower pitch because of
The effect of varying the inter-CNT pitch on the performance
the inter-CNT screening effect. Furthermore, it is observed that
of CCII is now investigated. It is worth noting that the CNFET
resistances at Ports X, Y, and Z decrease in a similar manner
model used for simulation purposes assumes that because of the
on increasing the inter-CNT pitch. Hence, compact packing of
screening phenomenon, the CNTs lying at the corner, conducts
CNTs deteriorates the overall performance. From the plots of
in a better fashion compared to the CNTs lying in-between.
Figs. 8–10, we conclude that the optimum choice of inter-CNT
Analytical results indicate that both the 3-dB current and voltage
pitch comes out to be 16 nm.
bandwidths improve slightly with the increase in inter-CNT
pitch as shown in Fig. 8. The observed variations is due to the
C. Optimum Diameter of CNT (DCNT )
fact that the capacitance from the gate to each CNT channel
(Cgc ) decreases, as the CNTs are brought closer because every The diameter of an SWCNT is a measure of its electronic
nanotube can mirror a small amount of charge [33]. Hence, the properties. An SWCNT is obtained by selective axial folding of
IMRAN et al.: OPTIMIZED DESIGN OF A 32-nm CNFET-BASED LOW-POWER ULTRAWIDEBAND CCII 1105

Fig. 11. CNT diameter versus 3-dB bandwidth.


Fig. 13. CNT diameter versus port resistance.

towards its ideal value of zero, as the diameter is increased.


This happens because the bang gap decreases with the increase
in diameter. As a result, a large number of charge carriers are
able to overcome the energy barrier, thus strengthening the cur-
rent flow, resulting in a higher value of transconductance. As
clearly indicated by (8), the expression of resistance at port X
is inversely proportional to the transconductance. However, it
is to be noted that for larger values of CNT diameter, the cur-
rent tends to saturate because of large screening and scattering
effect.
Finally, Fig. 13 shows that deterioration is observed in re-
sistances at ports Y and Z as the CNT diameter is increased.
Fig. 12. CNT diameter versus resistance at port X.
Therefore, opting for a suitable value of diameter is extremely
difficult; rather a compromise between conflicting requirements
a single layer of graphite called graphene. The diameter of CNT and a tradeoff is involved between the 3-dB bandwidth and the
(DCNT ), its bandgap energy Eg and the threshold voltage of the port resistances. The optimum diameter value is hence chosen to
device (Vth ) are closely related as given by be 1.5 nm corresponding to chiral vector (19, 0). The simulation
results of CNFET-based CCII± obtained by using the optimized
0.84 eV CNFET transistor parameters are discussed in the next section.
Eg = (11)
DCNT
Eg V. PERFORMANCE OF CNFET-BASED CCII±
Vth = (12)
2e We begin with the evaluation of static and dynamic charac-
where “e” is the electronic charge. The diameter of a CNT is in teristics of the current conveyor. The dc input–output current
fact one of the most important design parameters because it not characteristics of the current conveyor between terminals X and
only affect the source/drain series resistance but also the thresh- Z+ and X and Z– are plotted in Fig. 14. The input current applied
old voltage of CNFET. For optimum values of “N” and “S”, we to the X terminal, i.e., IIN , is swept from 100 to –100 μA, and
next consider the effect of variation in the CNT diameter on the the characteristics obtained, follows the linear curve, in accor-
circuit performance. Analytical results suggest that with the in- dance with the theory satisfying the basic equations, i.e., IZ =
crease in the diameter of the nanotube as shown in Figs. 11–13, IX and IZ = –IX . The voltage transfer characteristic between
there is a significant improvement in the frequency response of ports Y and X is illustrated in Fig. 15. Now, as expected, an ex-
a CNFET-based current conveyor. The trend could be well jus- cellent voltage following action can be seen over a wide range
tified because on increasing the diameter, the gate–to-channel in accordance with the theory of a current conveyor satisfying
capacitance along with the fringe capacitance decrease appre- the relation VX = VY . Next, the frequency characterization of
ciably on account of enhanced screening between the adjacent the current conveyor is presented. Fig. 16 shows the frequency
CNT channels [34]. Moreover, the transconductance also goes response of voltage gain between terminals Y and X of the cur-
up with the reduction in the threshold voltage with the increase rent conveyor. It is to be noted that the static voltage gain close
in diameter of the CNT. to unity is obtained.
The variation in input and output port resistances with the In addition to that a CNFET-based module provides a
CNT diameter is depicted in Figs. 12 and 13, respectively. Re- high voltage cut-off frequency. A voltage bandwidth exceed-
sults indicate that the resistance at port X decreases, moving ing 20 GHz is obtained by using optimum values of CNFET
1106 IEEE TRANSACTIONS ON NANOTECHNOLOGY, VOL. 11, NO. 6, NOVEMBER 2012

Fig. 14. Current input–output characteristics at 32 nm.

Fig. 17. Frequency response of current gain at 32 nm.

Fig. 15. Voltage transfer characteristics at 32 nm.

Fig. 18. Resistance R X against frequency at 32 nm.

Fig. 16. Frequency response of voltage gain at 32 nm.

parameters. Fig. 17 shows the small signal current gain char-


acteristics between terminals Z± and X. The CNFET module, Fig. 19. Resistance R Y against frequency at 32 nm.
besides giving a high voltage cut-off frequency, also provides
remarkably high 3-dB current bandwidth. It should be noted in Fig. 20 and it shows a value of approximately 362 kΩ, which
that current bandwidth exceeding 25 GHz is obtained, keeping decreases at higher frequencies. The optimized design param-
the static current gain close to unity. eters and the corresponding performance characteristics of the
Finally, the resistances involved at the input and output ports CNFET-based current conveyor is summarized in Table II.
of the device are investigated. The resistance at port X (RX )
is plotted for a control current of 10 uA against the frequency
as shown in Fig. 18 with port Y grounded. It is observed that VI. OPTIMIZED CNFET-BASED CCII± (ITOPT)
RX has a value of 1.45 kΩ for frequencies below 4 GHz and it Simulation results obtained after several iterations clearly
decreases at high frequencies. The resistance at port Y (RY ) is indicate that a CNFET-based current conveyor is an excel-
plotted under the same condition in Fig. 19. Ideally, the resis- lent prospect for designing high-performance analog circuits.
tance expected at port Y is infinite, since it draws no current, Various analog modules like multifunctional filters, amplifiers,
i.e., IY = 0. However, the value obtained through the HSPICE multiphase oscillators, etc., now could easily be designed for
simulation is 153.4 kΩ, which though not very high, but is satis- ultrawideband range of frequencies by using a CNFET-based
factory. The variation of resistance RZ with frequency is shown CCII± module. However, in this section, an attempt is made
IMRAN et al.: OPTIMIZED DESIGN OF A 32-nm CNFET-BASED LOW-POWER ULTRAWIDEBAND CCII 1107

TABLE III
PARAMETERS OF AN ITOPT CNFET CCII± MODULE

TABLE IV
Fig. 20. Resistance R Z against frequency at 32 nm. PERFORMANCE COMPARISON OF CNFET-BASED CCII REALIZATIONS

TABLE II
DESIGN PARAMETERS AND PERFORMANCE CHARACTERISTICS

The ITOPT designed CNFET CCII± module provides im-


proved resistance at port Y, as its value increases 1.5 folds from
153 to 231 kΩ. The resistance at port X also follows suite and
its value decreases to 0.93 kΩ from 1.45 kΩ, exhibiting another
improved parameter; however, the resistance at port Z decreases
from 362 to 304 kΩ, presenting the tradeoff involved. Slight im-
provement is also observed over the preceding design in the
to explore the possibility of further improving the performance case of frequency response, but at the cost of higher power dis-
by optimizing parameters of individual transistors of current sipation as illustrated in Table IV. In order to get a fair idea,
conveyors (ITOPT-individual transistor optimization). where the design obtained by selectively choosing parameters
The designed module, shown in Fig. 2, uses a mixed translin- of individual CNFET of CCII± module (ITOPT) stands with
ear loop (transistors M1–M4) as the input cell. Two current respect to the state-of-the-art CMOS CCII±, the 32-nm cus-
mirrors (transistors M9, M10 and M11, M13) allow the mixed tomized model parameters used for the simulation purpose of
loop to be dc biased by the current Io . The input cell presents a the CMOS CCII± module are generated from a specific tool
high input impedance at the input port Y and a low impedance known as “Nano CMOS” [35].
at port X. This cell acts as a voltage follower. The output Z Although CMOS-designed CCII shows good high-frequency
that copies the current flowing through port X is realized in the response in deep submicrometer but still its performance is much
conventional manner using two complementary mirrors. inferior to the CNFET-based realization. It is also important to
Now, as already discussed in the previous sections, the num- investigate the performance of the two designs at a scaled sup-
ber of CNTs along with the pitch and diameter plays a prominent ply voltage. Therefore, the supply voltage for both CNFET and
role in designing of a CNFET-based circuit. However, among CMOS-based modules has been varied from 0.9–0.7 V in order
these, the changes in diameter are crucial because even minor to see its effect on the module’s performance. It can be inferred
changes can lead to much improved current drive and higher from Table V that for both technologies, with the decrease in
power dissipations. Since the designed CNFET CCII± module supply voltage from 0.9 to 0.7 V, there is a significant deteri-
has not so high port Y resistance values, the diameters of the oration in the 3-dB bandwidth. This happens because of much
corresponding transistors affecting its value, i.e., transistors M1 lower driving current and hence transconductance. However, it
and M3 are increased in order to achieve higher transconduc- is to be noted that, even at a lower supply voltage of 0.7 V, the
tance values for the best possible RY . Nevertheless, it needs to CNFET-based module provides better performance compared to
be noted that transistors M1, M2, M3 and M4 form a translinear bulk CMOS. Hence, the supply voltage scaling of CNFET-based
loop and changes in M1–M3 will lead to alterations in M2–M4. CCII can be carried out from 0.9 to 0.7 V for achieving slightly
The parameters of various transistors in the designed module better performance in all key parameters along with lower power
(ITOPT) are given in Table III. consumption. Hence, an ITOPT CNFET-designed module could
1108 IEEE TRANSACTIONS ON NANOTECHNOLOGY, VOL. 11, NO. 6, NOVEMBER 2012

TABLE V K. Tsukamoto, M. Inuishi, H. Makino, K. Ishibashi, and H. Shinohara, “A


PERFORMANCE COMPARISON OF ITOPT CNFET DESIGN WITH BULK CMOS 65-nm SOC embedded 6 T-SRAM designed for manufacturability with
read and write operation stabilizing circuits,” IEEE J Solid-State Circuits,
vol. 42, no. 4, pp. 820–829, Apr. 2007.
[13] K. C. Smith and A. S. Sedra, “The current conveyor—A new circuit
building block,” Proc. IEEE, vol. 56, no. 8, pp. 1368–1369, Aug. 1968.
[14] A. S. Sedra and K. C. Smith, “A second generation current conveyor and
its applications,” IEEE Trans. Circuit Theory, vol. 17, no. 1, pp. 132–134,
Feb. 1970.
[15] A. Toker, H. Kuntman, O. Cicekoglu, and M. Discigil, “New oscillator
topologies using inverting second generation current conveyor,” Turk. J.
ELEC Eng., vol. 10, no. 1, pp. 119–129, 2002.
[16] J. Appenzeller, “CarbonNanotubes for high performance electronics-
progress & prospect,” Proc. IEEE, vol. 96, no. 2, pp. 201–211, Feb.
2008.
[17] N. Patil, J. Deng, S. Mitra, and H.-S. P. Wong, “Circuit-level perfor-
be utilized for low power high performance analog circuits in mance benchmarking and scalability of carbon nanotube transistor cir-
the microwave range of frequencies. cuits,” IEEE Trans. Nanotechnol., vol. 8, no. 1, pp. 37–45, Jan. 2009.
[18] N. Patil, A. Lin, E R. Myers, and H.-S. P. Wong, “Integrated wafer-
scale growth and transfer of directional carbon nanotubes and misaligned-
VII. CONCLUSION carbon-nanotube-immune logic structures,” in Proc. Symp. VLSI Techno.
Dig. Tech. Papers, Jun. 2008, pp. 205–206.
This paper has successfully presented the design of a CNFET- [19] N. Patil, J. Deng, A. Lin, H.-S. P. Wong, and S. Mitra, “Designed meth-
ods for misaligned and mispositined carbon nanotube immune circuits,”
based dual output current conveyor at 32-nm technology node IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 27, no. 10,
that is based on optimum parameters of CNFET. The perfor- pp. 1725–1736, Oct. 2008.
mance of CNFET-based CCII is further improved by optimizing [20] N. Patil, A. Lin, E. R. Myers, R. Koungmin, A. Badmaev, C. Zhou, H.-
S. P. Wong, and S. Mitra, “Wafer-scale growth and transfer of aligned
parameters of individual CNFET giving rise to ITOPT. ITOPT single-wall carbon nanotubes,” IEEE Trans. Nanotechnol., vol. 8, no. 4,
provides excellent voltage and current bandwidths along with pp. 498–504, Jul. 2009.
good port resistances, thus making it a viable proposition for [21] S. J. Kang, C. Kocabas, T. Ozel, S. Moonsub, N. Pimparkar, M. A. Alam,
S. V. Rotkin, and J. A. Rogers, “High performance electronics using
ultrawideband systems. The overall design and analysis clearly dense perfectly aligned arrays of single walled carbon nanotube,” Nat.
indicates that a CNFET can significantly achieve better perfor- Nanotechnol, vol. 2, pp. 230–236, 2007.
mance than CMOS even at a scaled supply voltage of 0.7 V with [22] S. Iijima, “Hellical microtubes of graphite,” Nature, vol. 354, pp. 56–58,
1991.
the added advantage of lower power consumption. [23] Y. Cui, Z. Zhong, D. Wang, W. U. Wang, and C. M. Lieber, “High perfor-
mance silicon nanowire field effect transistors,” Nano Lett., vol. 3, no. 2,
pp. 149–152, 2003.
REFERENCES [24] Stanford University. (2008). CNFET Model Website, [Online]. Available:
http://nano.stanford.edu./model.php.? Id = 23.
[1] A. S. Sedra, “The current conveyor: history and progress,” in Proc. IEEE [25] J. W. Mintmire and C. T. White, “Universal density of states for carbon
Int. Symp. Circuits Syst., May 1989, vol. 3, pp. 1567–1571. nanotubes,” Phys. Rev. Lett., vol. 81, no. 12, pp. 2506–2508, 1998.
[2] B. Wilson, “Recent developments in current conveyor and current mode [26] Z. Yao, C. L. Kane, and C. Dekker, “High-field electrical transport in
circuits,” in Proc. IEEE, Apr. 1990, vol. 137, no. 2, pp. 63–77. single-wall carbon nanotubes,” Phys. Rev. Lett., vol. 84, no. 13, pp. 1941–
[3] C. Thoumazou, F. Lidgey, and D. Haigh, Analog Integrated Circuit De- 2944, 2000.
sign: The Current Mode Approach. London, U.K.: IEE, 1990. [27] J. Deng and H.-S. P. Wong, “A compact SPICE model for carbon-nanotube
[4] S. Minaei, O. K. Sayein, and H. Kuntman, “A new CMOS electronically field-effect transistors including nonidealities and its application-part I:
tunable current conveyor and its application to current mode filter,” IEEE model of the intrinsic channel region,” IEEE Trans. Electron. Devices,
Trans. Circuits Syst. I, Reg. Paper, vol. 53, no. 7, pp. 1448–1457, Jul. vol. 54, no. 12, pp. 3186–3194, Dec. 2007.
2006. [28] J. Deng and H.-S. P. Wong, “A compact SPICE model for carbon-nanotube
[5] Semiconductor Industry Association. (2005). International Technology field effect transistors including nonidealities and application—Part II:
Roadmap for Semiconductors-2005, Update: Overview and Summaries, Full device model and circuit benchmarking,” IEEE Trans. Electron.
[Online]. Available: http:ww.itrs.net/Links/2005, ITRS/Home 2005htm, Devices, vol. 54, no. 12, pp. 3195–3205, Dec. 2007.
[6] D. J. Frank, R. H. Dennard, E. Nowak, P. M. Solomon, Y. Taur, and [29] A. Javey, J. Guo, D. B. Farmer, Q. Wang, Y. Erhan, R. G., Gordon,
H. S. P. Wong, “Device scaling limits of Si MOSFETS and their application M. Lundstrom, and H. Dai, “Self-alligned ballistic molecular transis-
dependencies,” in Proc. IEEE, vol. 89, no. 3, pp. 259–288, Mar. 2001. tors and electrically parallel nanotube arrays,” Nano Lett., vol. 4, no. 7,
[7] M. S. Dresselhaus, G. Dresselhaus, and P. Avouris, “Carbon nanotube,” pp. 1319–1322, 2004.
Berlin, Germany: Springer-Verlag, 2001. [30] J. Deng and H.-S. P. Wong, “A circuit-compatabile spice model for en-
[8] P. L. McEuen, M. S. Fuhrer, and P. Hongkun, “Single-walled carbon hancement mode carbon nanotube field effect transistors,” in Proc. Int.
nanotube electronics,” IEEE Trans. Nanotechnol., vol. 1, no. 1, pp. 78– Conf. Simul. Semicond. Process Devices, Sep. 2006, pp. 166–169.
85, Mar. 2002. [31] J. Deng, N. Patil, K. Ryu, A. Badmaev, C. Zhou, S. Mitra, and H.-S. P.
[9] K. Zhang, U. Bhattacharaya, Z. Chen, F. Hamzaoglu, D. Murray, N. Wong, “Carbon nanotube transistor circuits: circuit-level performance
Vallepalli, Y. Wang, B. Zheng, and M. Bohr, “A 3 GHz 70 Mb SRAM benchmarking and design options for living with imperfections,” in Proc.
in 65 nm CMOS technology with integrated column base dynamic power Int. Solid State Circuit Conf., San Fransisco, CA, Feb. 2007, pp. 70–588.
supply,” IEEE J. Solid-State Circuits, vol. 41, no. 1, pp. 146–151, 2006. [32] N. B. El Feki and N. Debril, “On the frequency compensation of simulated
[10] J. Zhang, N. Patil, and S. Mitra, “Design guidelines for metallic-carbon- CCII based tunable floating inductance for LC ladder filter applications,”
nanotube tolerant digital logic circuits,” in Proc. Des. Autom. Test Eur., in Proc. Int. Conf. Electr. Electron. Comput. Eng., Sep. 2004, pp. 549–552.
Mar. 2008, pp. 1009–1014. [33] A. Raychaudhary, A. Keshvarzai, J. Kurtin, V. De, and K. Roy, “Optimal
[11] N. Patil, A. Lin, J. Zhang, H. Wei, K. Anderson, H.-S. P. Wong, and spacing of carbon nanotubes in a CNFET array for highest circuit perfor-
S. Mitra, “Scalable carbon nanotube computational and storage circuits mance,” in Proc. IEEE 64th Device Res. Conf., Jun. 2006, pp. 129–130.
immune to metallic and mispositioned carbon nanotubes,” IEEE Trans. [34] J. Deng and H.-S. P. Wong, “Modelling and analysis of planar-gate elec-
Nanotechnol., vol. 10, no. 4, pp. 744–750, Jul. 2011. trostatic capacitance of 1-D FET with cylindrical conducting channels,”
[12] S. Ohbayashi, M. Yabuuchi, K. Nii, Y. Tsukamoto, S. Imaoka, Y. Oda, IEEE Trans. Electron Devices, vol. 54, no. 9, pp. 2377–2385, Sep. 2007.
T. Yoshihara, M. Igarashi, M. Takeuchi, H. Kawashima, Y. Yamaguchi, [35] [Online]. Available: http://ptm.asu.edu/, copyright 2007, last updated 2011
IMRAN et al.: OPTIMIZED DESIGN OF A 32-nm CNFET-BASED LOW-POWER ULTRAWIDEBAND CCII 1109

Ale Imran (S’10–M’11) received the B.Tech. Aminul Islam (M’10) received the B.Tech. degree in
(Hons.) and M.Tech (Hons.) degrees in electronics computer engineering from The Institution of Engi-
engineering with major in electronic circuits and sys- neers (India), Kolkata, India, in 2001 and the M.Tech.
tem design from Aligarh Muslim University (AMU), degree in electronics and communication engineering
Aligarh, India, in 2007 and 2010 respectively. from the Birla Institute of Technology (BIT) (Deemed
He has been currently a Lecturer in the Department University), Mesra, Ranchi, India, in 2006. He is cur-
of Electronics Engineering, Aligarh Muslim Univer- rently working toward the Ph.D. degree in the field of
sity (AMU) since 2008. He is the author or coauthor very large scale integrated (VLSI) design at the De-
of more than 20 research papers in reputed journals partment of Electronics Engineering, Aligarh Muslim
and conference proceedings. His current research in- University, Aligarh, India.
terests include simulation studies of circuits employ- Since November 2006, he has been in the De-
ing technologies beyond CMOS (that include emerging technologies such as partment of Electronics and Communication Engineering, BIT, where he is
fin-shaped FETs, carbon-nanotube FETs, etc.) currently an Assistant Professor. He is the author or coauthor of more than 37
Mr. Imran is a member of The Institution of Electronics and Telecommuni- research papers in reputed journals and conference proceedings. His research
cation Engineers (IETE). interests include VLSI/computer-aided design for classical CMOS, nonclassical
CMOS, and non-CMOS technologies (that include emerging technologies such
as fin-shaped FETs, carbon-nanotube FETs, nanowire FETs and tunnel FETs),
robust design of ultralow-power nanoscale circuit for portable computing and
wireless communications, and spin transfer torque–magnetic tunnel junction
(STT–MTJ)-based logic and memory design.

Shuja Ahmad Abbasi (M’80–SM’98) was born at


Amroha, India, in 1950. He received B.Sc. (Eng.) and
M.Sc. (Eng.) degrees in electrical engineering from
Aligarh Muslim University (AMU), Aligarh, India,
in 1970 and 1972, respectively, with first position in
Mohd. Hasan (M’10) received the B.Tech. degree the University. He received the Ph.D. degree in mi-
in electronics engineering from Aligarh Muslim Uni- croelectronics from the University of Southampton,
versity, Aligarh, India, in 1990, the M.Tech. degree Southampton, U.K., in 1980.
in integrated electronics and circuits from the Indian He joined the Department of Electrical Engineer-
Institute of Technology Delhi, New Delhi, India, and ing at Aligarh Muslim University, Aligarh, India, in
the Ph.D. degree in low-power architectures for multi- 1971, as an Assistant Professor, and was promoted to
carrier systems, under a Commonwealth Scholarship, the positions of Associate Professor and Professor in 1982 and 1986, respec-
from the University of Edinburgh, Edinburgh, U.K., tively. He shifted to the newly created Department of Electronics Engineering
in 2004. at AMU as a Professor in 1988. He served as the Chairman of the Depart-
He has been currently a Full Professor at AMU ment of Electronics Engineering, AMU, from 1996 to 1999. He held many
since 2005. From 2008 to 2009, he was a Visiting Academic/ Administrative positions in the past at AMU and outside. He joined
Postdoctoral Researcher on a project funded by the prestigious Royal Academy as a Professor of Electronics Engineering at the College of Engineering, King
of Engineering, U.K., on low-power field programmable gate array (FPGA) Saud University, Riyadh, Saudi Arabia, in 1999. He has more than 100 research
architecture with the School of Engineering, University of Edinburgh. He is the publications to his credit so far. He has completed many client funded projects
author of more than 123 research papers in reputed journals and conference from various organizations. His current interests include Nanoelectronics, VLSI
proceedings. He received both the best International journal paper and interna- design and technology.
tional conference paper awards. His research interests include low-power VLSI Dr. Abbasi is a Fellow of the Institution Electronics and Telecommunication
design, nanoelectronics, batteryless electronics along with spintronics. Engineers (IETE).

Anda mungkin juga menyukai