Anda di halaman 1dari 71

Curso Técnico em

Mecatrônica

Componente Curricular:
Eletrônica Digital

Manual do Aluno
Versão 2.0 – Fev/2015

Autor: Prof. Engº Ariovaldo Sano


Curso Técnico em Mecatrônica
Eletrônica Digital

Sumário
Aula 1 - Revisão de Sistemas Numéricos ....................................................................................... 1
1. Sistema Decimal ...................................................................................................................... 1
2. Sistema Binário ....................................................................................................................... 1
2.1 Conversão de número Binário para Decimal .................................................................... 1
2.2 Conversão de número Decimal para Binário .................................................................... 1
3. Sistema Hexadecimal .............................................................................................................. 2
3.1 Conversão de número Hexadecimal para Binário ............................................................. 2
3.2 Conversão de número Binário para Hexadecimal ............................................................. 2
3.3 Conversão de número Hexadecimal para Decimal ........................................................... 3
3.4 Conversão de número Decimal para Hexadecimal ........................................................... 3
Exercícios ................................................................................................................................ 4
Aula 2 - Revisão: Portas Lógicas .................................................................................................... 5
1. Portas Lógicas básicas ............................................................................................................. 5
2. Associação de Portas Lógicas ................................................................................................. 5
2.1 Expressão Lógica a partir do Circuito Elétrico ................................................................. 5
2.2 Circuito Elétrico a partir da Expressão Lógica ................................................................. 6
2.3 Expressão Lógica a partir da Tabela Verdade ................................................................... 6
2.4 Tabela Verdade a partir da Expressão Lógica ................................................................... 6
Aula 3 - Circuitos Aritméticos ........................................................................................................ 8
1. Aritmética Binária ................................................................................................................... 8
1.1 Adição ............................................................................................................................... 8
Exercícios ................................................................................................................................ 8
1.2 Subtração ........................................................................................................................... 8
Exercícios ................................................................................................................................ 9
2. Circuitos Aritméticos .............................................................................................................. 9
2.1 Introdução.......................................................................................................................... 9
2.2 Somador ............................................................................................................................ 9
2.3. Subtrator ......................................................................................................................... 12
Aula 4 - Laboratório: Circuitos Aritméticos ................................................................................. 16
1. Objetivo ................................................................................................................................. 16
2. Materiais a serem utilizados .................................................................................................. 16
3. Procedimentos ....................................................................................................................... 16
Aula 5 -Multiplexadores................................................................................................................ 17
1. Introdução.............................................................................................................................. 17
2. Mux de dois canais ................................................................................................................ 17
Curso Técnico em Mecatrônica
Eletrônica Digital

3. Mux de quatro canais ............................................................................................................ 18


4. Mux de oito canais ................................................................................................................ 19
5. Associação de multiplexadores ............................................................................................. 20
5.1 Associação paralela de multiplexadores ......................................................................... 20
5.2 Associação série de multiplexadores............................................................................... 21
Exercícios .............................................................................................................................. 21
Aula 6 - Laboratório: Multiplexadores.......................................................................................... 23
1. Objetivo ................................................................................................................................. 23
2. Materiais a serem utilizados .................................................................................................. 23
3. Prática .................................................................................................................................... 23
3.1 Procedimentos ................................................................................................................. 23
Aula 7 - Demultiplexadores .......................................................................................................... 25
1. Introdução.............................................................................................................................. 25
2. Demux de n canais ................................................................................................................ 25
Exercícios .............................................................................................................................. 26
Transmissão e recepção de dados via mux e demux ..................................................................... 28
1. Introdução.............................................................................................................................. 28
2. Bits de paridade ..................................................................................................................... 29
Aula 8 - Laboratório: Mux e Demux ............................................................................................. 31
1. Objetivo ................................................................................................................................. 31
2. Materiais a serem utilizados .................................................................................................. 31
3. Prática .................................................................................................................................... 31
3.1 Procedimentos ................................................................................................................. 31
Aula 9 - Circuitos Sequenciais ...................................................................................................... 33
1. Introdução.............................................................................................................................. 33
2. O Flip-Flop RS básico ........................................................................................................... 33
3. O Flip-Flop RS com clock .................................................................................................... 34
3. O Flip-Flop JK com gatilho .................................................................................................. 35
4. O Flip-Flop JK com Preset e Clear ....................................................................................... 36
5. O Flip-Flop tipo D ................................................................................................................. 37
6. O Flip-Flop T ........................................................................................................................ 37
Aula 10 - Laboratório: Flip-Flop RS ............................................................................................. 38
1. Prática 1: Flip-Flop RS básico .............................................................................................. 38
1.1 Objetivo ........................................................................................................................... 38
1.2 Materiais a serem utilizados ............................................................................................ 38
1.3 Procedimentos ................................................................................................................. 38
2. Prática 2: Flip-Flop RS com clock ........................................................................................ 38
Curso Técnico em Mecatrônica
Eletrônica Digital

2.1 Objetivo ........................................................................................................................... 38


2.2 Materiais a serem utilizados ............................................................................................ 38
2.3 Procedimentos ................................................................................................................. 39
Aula 11 - Laboratório: Flip-Flop JK ............................................................................................. 40
1. Objetivo ................................................................................................................................. 40
2. Prática .................................................................................................................................... 40
2.1 Materiais a serem utilizados ............................................................................................ 40
2.2 Procedimentos ................................................................................................................. 40
Aula 12 - Registradores ................................................................................................................. 42
1. Registrador com entrada e saída serial (ES/SS) .................................................................... 42
2. Registrador com entrada serial e saídas paralelas (ES/SP) ................................................... 43
3. Registrador com entradas paralelas e saída serial (EP/SS) ................................................... 44
4. Registrador com Entrada e Saída Paralela (EP/SP) .............................................................. 44
Exercício................................................................................................................................ 45
5. Registrador bidirecional ........................................................................................................ 46
6. Registradores de deslocamento (shift registers) .................................................................... 46
Aula 13 - Laboratório: Registradores ............................................................................................ 47
1. Objetivo ................................................................................................................................. 47
2. Materiais a serem utilizados .................................................................................................. 47
3. Prática .................................................................................................................................... 47
3.1 Procedimentos ................................................................................................................. 47
Aula 14 - Contadores..................................................................................................................... 49
1. Contadores Assíncronos ........................................................................................................ 49
1.1 Contador assíncrono de década ....................................................................................... 50
1.2 Contadores assíncronos decrescentes .............................................................................. 50
2. Contadores síncronos ............................................................................................................ 51
2.1 Circuito para o contador síncrono de década .................................................................. 51
Aula 15 - Laboratório: Contadores................................................................................................ 52
1. Objetivo ................................................................................................................................. 52
2. Materiais a serem utilizados .................................................................................................. 52
3. Prática 1: Contador de 0 a 15 ................................................................................................ 52
3.1 Procedimentos ................................................................................................................. 52
4. Prática 2: Contador de década ............................................................................................... 53
4.1 Procedimentos ................................................................................................................. 53
5. Prática 3: Contador de 0 a 5 .................................................................................................. 53
5.1 Procedimentos ................................................................................................................. 53
Aula 16 - Conversores D/A e A/D ................................................................................................ 55
Curso Técnico em Mecatrônica
Eletrônica Digital

1 Introdução............................................................................................................................... 55
2. Conversores digitais-analógicos (DAC)................................................................................ 55
2.1 Tipos básicos de Conversores D/A ................................................................................. 56
Exercício................................................................................................................................ 57
2.2 Especificações para Conversores D/A ............................................................................ 60
2.3 Exemplos de Conversores D/A ....................................................................................... 61
3. Conversores analógicos-digitais (ADC)................................................................................ 61
3.1 Introdução........................................................................................................................ 61
3.2 O Conversor A/D básico ................................................................................................. 62
3.3 Conversor contador prático ............................................................................................. 63
3.4 Servo-conversor .............................................................................................................. 64
3.5 Especificações de um conversor A/D.............................................................................. 64
Referências Bibliográficas ............................................................................................................ 66
Curso Técnico em Mecatrônica
Eletrônica Digital

Aula 1 - Revisão de Sistemas Numéricos


1. Sistema Decimal
Tomemos como exemplo o número digital 5.347 (cinco mil, trezentos e quarenta e sete).
5 3 4 7

milhar centena dezena unidade


Decompondo este número na base 10 (ou base decimal), temos:
5347 = 5*103 + 3*102 + 4*101 + 7*100 = 5*1000 + 3*100 + 4*10 + 7*1 = 5000 + 300 + 40 +7

2. Sistema Binário
No sistema binário, ou sistema de base 2, os dígitos utilizados, como vimos, são “0” e “1”.
Exemplos de números binários: 101, 111011, 10110101

2.1 Conversão de número Binário para Decimal


Para fazer a conversão de um número binário para um número decimal, precisamos fazer a
decomposição deste número binário para a base binária (ou base 2), do mesmo modo que
fizemos com o sistema decimal. Temos então:
(101)2 = 1*22+ 0*21+1*20 = 1*4 + 0*2 + 1*1 = 4 + 0 + 1 = (5)10
Ou seja, o número 101 na base binária, que podemos representar como (101)2, equivale ao
número 5 na base decimal, que podemos representar como (5)10.

2.2 Conversão de número Decimal para Binário


Para converter um número decimal inteiro em um número binário equivalente, temos pelo menos
duas formas diferentes, que apresentaremos agora.
 Método da divisão por 2:
Neste método, dividimos o número decimal sucessivamente por “2” (que é a base do sistema
binário) até que o quociente da divisão seja igual a zero. A sequência de “0” e “1” constituídas
pela sucessão dos restos de cada divisão será o número no sistema binário. O sentido de leitura
dos restos é do último obtido para o primeiro.
Exemplo: (137)10 = ( ? )2

1
Curso Técnico em Mecatrônica
Eletrônica Digital

 Método da conversão direta:


Neste método, subtraímos inicialmente o número decimal pelo menor número imediato múltiplo
de 2 multiplicado por “1” ou “0”; em seguida, pegamos o resultado desta subtração e subtraímos
novamente pelo menor número imediato múltiplo de 2 multiplicado por “1” ou “0”; e assim
sucessivamente, até que o resultado da subtração seja zero. Os “1” e “0” utilizados para
multiplicar os múltiplos de 2 formam o número binário equivalente.
Exemplo: (325)10 = ( ? )2
Múltiplos de 2 256 128 64 32 16 8 4 2 1
0 ou 1 1 0 1 0 0 0 1 0 1
Multiplicação 256 0 64 0 0 0 4 0 1
Subtração 325-256 69-0 69-64 5-0 5-0 5-0 5-4 1-0 1-1
Resultado da operação 69 69 5 5 5 5 1 1 0
Dígito binário 1 0 1 0 0 0 1 0 1
Resposta: (325)10 = (101000101)2

3. Sistema Hexadecimal
Como o próprio nome diz, o sistema hexadecimal possui “16” dígitos e utiliza a base “16”. Os
“10” primeiros dígitos são idênticos aos dígitos do sistema decimal e os restantes são
representadas pelas letras A, B, C, D, E e F. Cada dígito hexadecimal equivale a quatro dígitos
binários. A seguir, é mostrada uma tabela com as equivalências entre os sistemas hexadecimal,
decimal e binário.
Decimal Hexadecimal Binário Decimal Hexadecimal Binário
0 0 0000 8 8 1000
1 1 0001 9 9 1001
2 2 0010 10 A 1010
3 3 0011 11 B 1011
4 4 0100 12 C 1100
5 5 0101 13 D 1101
6 6 0110 14 E 1110
7 7 0111 15 F 1111

3.1 Conversão de número Hexadecimal para Binário


Para converter um número hexadecimal em seu equivalente binário, basta converter cada dígito
hexadecimal no seu equivalente binário de quatro dígitos.
Exemplo: (BA5)16 = (?)2
hexadecimal B A 5
binário 1011 1010 0101
Resposta: (BA5)16 = (101110100101)2

3.2 Conversão de número Binário para Hexadecimal


Para converter um número binário para hexadecimal, basta fazer o processo inverso do anterior,
ou seja, converte-se cada grupo de 4 dígitos binários para o seu equivalente hexadecimal.
Entretanto, antes de fazer a conversão, separe os dígitos binários em grupos de 4, iniciando pelo
dígito menos significativo.

2
Curso Técnico em Mecatrônica
Eletrônica Digital

Exemplo: (101110101101)2 = ( ? )16

binário 1011 1010 1101


hexadecimal B A D
Resposta: (101110101101)2 = (BAD)16

3.3 Conversão de número Hexadecimal para Decimal


A conversão de hexadecimal para decimal é feita pelo método de decomposição do número para
a base 16, como mostra os exemplos a seguir.
Exemplo: (CF8)16 = (?)10
CF8 = C*162+ F*161+ 8*160 = 12*256 + 15*16 + 8*1 = 3072 + 240 + 8 = 3320
Resposta: (CF8)16 = (3320)10

3.4 Conversão de número Decimal para Hexadecimal


Para converter um número decimal inteiro para um número hexadecimal equivalente, o processo
é idêntico ao da conversão de decimal para binário.
 Método da divisão por 16:
Neste método, dividimos o número decimal sucessivamente por “16” (que é abase do sistema
hexadecimal) atéque o quociente da divisão seja igual a zero. A sequência dos restos de cada
divisão será o equivalente em hexadecimal. O sentido de leitura dos restos é do último obtido
para o primeiro.
Exemplo: (4312)10 = ( ? )16

Resposta: (4312)10 = (10D8)16 (lembre-se que 1310=D16)


 Método da conversão direta:
Neste método, subtraímos inicialmente o número decimal pelo menor número imediato múltiplo
de 16, multiplicado por 0 a 15; em seguida, pegamos o resultado desta subtração e subtraímos
novamente pelo menor número imediato múltiplo de 16, multiplicado por 0 a 15; e assim
sucessivamente, até que o resultado da subtração seja zero. Os números de 0 a 15 que utilizamos
formam o número hexadecimal equivalente, como mostram os exemplos seguintes
Exemplo: (5340)10 = ( ? )16
Múltiplos de 16 4096 256 16 1
Nº de 1 a 15 1 4 13 12
Resultado da multiplicação 4096 1024 208 12
Subtração 5340-4096 1244-1024 220-208 12-12
Resultado da operação 1244 220 12 0
Dígito hexadecimal 1 4 D C
Resposta: (5340)10 = (14DC)16

3
Curso Técnico em Mecatrônica
Eletrônica Digital

Nota: Como já foram apresentadas as conversões de decimal para binário e de binário para
hexadecimal, pode-se adotar esse processo como um terceiro método para converter
decimal para hexadecimal.

Exercícios
Preencha a tabela abaixo, efetuando as conversões necessárias:
Sistema Decimal Sistema Binário Sistema Hexadecimal
345
1010101010
4A5
0110011001
633
FA81
11000011101
452
2CDF
1101011110011
721
B3E7
1011000111011
847

4
Curso Técnico em Mecatrônica
Eletrônica Digital

Aula 2 - Revisão: Portas Lógicas


1. Portas Lógicas básicas
Vamos recordar as portas lógicas básicas preenchendo o quadro abaixo:
Porta Tabela Expressão
Símbolo Função Lógica
Lógica Verdade Lógica

Inversora

OU (OR)

E (AND)

NOR

NAND

Ou
Exclusivo
(XOR)

Coincidência
(XNOR)

2. Associação de Portas Lógicas

2.1 Expressão Lógica a partir do Circuito Elétrico


Procedimento: Para escrever a expressão lógica referente a um circuito elétrico, vá escrevendo a
expressão na saída de cada porta lógica, começando pela primeira, até chegar na saída do
circuito.

5
Curso Técnico em Mecatrônica
Eletrônica Digital

Exemplo:

2.2 Circuito Elétrico a partir da Expressão Lógica


Procedimento: Dado uma expressão lógica, primeiramente separe os termos da expressão em
funções lógicas básicas; depois, monte o circuito elétrico através de portas lógicas básicas, de
acordo com cada função lógica.
Exemplo: S = A . B + A . B
Separando os termos, temos S = (A.B) + (A.B). O
primeiro termo, A.B, é uma porta E com entradas A e
B, e o segundo termo, A.B, é uma porta NAND com
entradas A e B. Estas duas portas são conectadas
através de uma porta OU. Logo, o circuito fica como
apresentado na figura ao lado.

2.3 Expressão Lógica a partir da Tabela Verdade


Procedimento: Dada uma tabela verdade, primeiramente devemos escrever a expressão lógica
relativa a cada linha da tabela em que a saída S = 1; para isso, efetuamos um produto lógico entre
as variáveis de entrada, colocando a variável com barra (ou seja, invertida) quando ela tiver o
valor zero, e sem barra quando ela tiver o valor um. Após escrevermos os termos referentes à
cada linha, conectamo-las através da soma lógica.
Exemplo: Considere a seguinte tabela verdade.
A B S S = 1 somente na segunda e na terceira linhas.
0 0 0 Na segunda linha, temos A = 0 e B =1, ou seja, A . B, e na terceira linha temos
0 1 1 A = 1 e B = 0, ou seja, A . B. Logo, a expressão lógica referente à tabela
1 0 1 verdade ao lado é: S = A . B + A . B
1 1 0
Conhecendo-se então a expressão lógica, podemos desenhar o circuito elétrico através do
procedimento anterior.

2.4 Tabela Verdade a partir da Expressão Lógica


Procedimento: Primeiramente, você deve montar a estrutura da tabela verdade, colocando na 1ª
linha do lado esquerdo da tabela as variáveis de entrada, e na 1ª linha do lado direito cada termo
da saída, até formar a expressão total da saída; em seguida, insira todas as opções de combinação
das variáveis de entrada, na ordem sequencial (de 00...0 até 11...1, a partir da 2ª linha da tabela,
até chegar na última linha da tabela. Após montar a estrutura da tabela verdade, você deve
determinar o valor de cada termo da saída considerando-se os valores das variáveis definidos na
2ª linha da tabela, até chegar ao valor lógico final da expressão.

6
Curso Técnico em Mecatrônica
Eletrônica Digital

Exemplo: S = A.B + A+C . B.C


A B C A.B A+C B.C (A+C) . (B.C) S = A.B + (A+C . B.C)
0 0 0 1 1 1 1 1
0 0 1 1 0 1 0 1
0 1 0 1 1 1 1 1
0 1 1 1 0 0 0 1
1 0 0 1 1 1 1 1
1 0 1 1 1 1 1 1
1 1 0 0 1 1 1 1
1 1 1 0 1 0 0 0

7
Curso Técnico em Mecatrônica
Eletrônica Digital

Aula 3 - Circuitos Aritméticos


Antes de começarmos a estudar os circuitos aritméticos, que são os somadores e os subtratores,
temos que entender como se faz uma soma e uma subtração com números binários, ou seja, a
aritmética binária.

1. Aritmética Binária

1.1 Adição
A adição aritmética em binário é muito simples. São poucas regras:
0+0=0
0+1=1
1+0=1
1 + 1 = 0 e "vai 1" para o dígito de ordem superior
1 + 1 + 1 = 1 e "vai 1" para o dígito de ordem superior
Exemplo: Efetuar a seguinte adição binária: 110110 + 101010
1 1 1 1 1  Nesta linha estão indicados o "vai 1"
110110
101010
--------------
1 1 0 0 0 0 0  Somam-se as colunas da direita para a esquerda, tal como uma soma em
decimal

Exercícios
Faça as seguintes adições dos números binários:
a) 1110001110 + 11101010

b) 11000101 + 1000111 + 1110011

1.2 Subtração
As regras da subtração são:
0-0=0
1-0=1
1-1=0
0 - 1 = 1 e "empresta 1” do próximo dígito de ordem superior

8
Curso Técnico em Mecatrônica
Eletrônica Digital

Exemplo: Efetuar a seguinte subtração: 110100 - 11010


110100
1 1 1  Nesta linha estão indicados o "empresta 1"
11010
--------------
0 1 1 0 1 0  Subtraem-se as colunas da direita para a esquerda, tal como uma subtração
em decimal

Exercícios
Faça as seguintes subtrações dos números binários:
a) 1100111 - 100111

b) 1110001110 - 11101010

2. Circuitos Aritméticos

2.1 Introdução
Nas aulas anteriores foram vistos circuitos (ou blocos) que fazem operações lógicas elementares
(AND, OR, NOT) ou expressões delas derivadas. As operações lógicas não são equivalentes a
operações aritméticas, apesar do uso de alguns sinais aritméticos na Álgebra de Boole.
Por exemplo a função OR. A expressão lógica S = A + B (lê-se "S é igual a A ou B") não
equivale à expressão aritmética S = A + B ("S é igual a A mais B"). Basta ver a tabela de
verdade para concluir que a correspondência falha para A = 1 e B = 1.
Pode-se dizer, no entanto, que a função EXCLUSIVE OR é igual à soma aritmética. Mas a
semelhança ainda é incompleta. Na operação de soma, é preciso considerar também um dígito de
transporte ("vai um") e a função mencionada não tem esse recurso.
Portando, para que a operação aritmética de soma seja executada por um circuito, necessitamos
de circuitos específicos, que são os somadores e os subtratores, os quais serão abordados nos
próximos tópicos.
Observação sobre o dígito de transporte: a fim de preservar uniformidade com várias outras
fontes, mantém-se aqui a notação inglesa, isto é, a letra C ("carry") para representá-lo. Mais
especificamente, usa-se Cin ("carry in") se for entrada de circuito e Cout ("carry out") se for
saída.

2.2 Somador

2.2.1 Meio-somador
Meio-Somador (Half-Adder em inglês) é um circuito combinacional que tem a função de somar
2 bits de entrada, A e B. O resultado desta operação aritmética vai para a saída S do circuito.
No caso das entradas A e B assumirem os valores “1”, o resultado na saída S será “0”, gerando
um bit “1” (equivalente ao “vai um” na matemática), que é colocado na saída Cout (Carry out)
do circuito. A tabela-verdade do circuito Meio-Somador é apresentada abaixo:

9
Curso Técnico em Mecatrônica
Eletrônica Digital

A B S Cout
0 0 0 0
0 1 1 0
1 0 1 0
1 1 0 1
Da tabela-verdade, podemos escrever as seguintes expressões lógicas:
S=A B
Cout = A . B
A partir dessas duas expressões, obtém-se o seguinte circuito combinacional:

Representação esquemática em bloco:

2.2.2 Somador completo


Como vimos, o Meio-Somador é um circuito capaz de somar 2 números binários de 1 dígito
cada. Para somarmos 2 números binários A e B que possuem mais de 1 dígito, necessitamos de
um circuito Somador Completo (Full-Adder, em inglês), que considera o bit de Cout, resultante
da soma dos primeiros dígitos de A e B, na soma dos respectivos segundos dígitos de A e B.
Esse bit de Cout vira o bit de Cin (Carry in) quando utilizado na entrada do circuito.
Podemos representar um circuito Somador Completo através do cascateamento de 2 circuitos
Meio-Somadores, como abaixo:

10
Curso Técnico em Mecatrônica
Eletrônica Digital

Do circuito acima, podemos extrair a seguinte tabela-verdade:


A B Cin S1 C1 S C2 Cout
0 0 0 0 0 0 0 0
0 1 0 1 0 1 0 0
1 0 0 1 0 1 0 0
1 1 0 0 1 0 0 1
0 0 1 0 0 1 0 0
0 1 1 1 0 0 1 1
1 0 1 1 0 0 1 1
1 1 1 0 1 1 0 1
Da tabela-verdade acima, podemos obter a expressão para a saída S em função das entradas A, B
e Cin:
S = A.B.Cin + A.B.Cin + A.B.Cin + A.B.Cin
Essa expressão equivale a um circuito Exclusive OR de 3 entradas, podendo ser escrita da
seguinte forma:
S=A B Cin ou S = (A B) Cin
cujo respectivo circuito é apresentado abaixo:

Da tabela-verdade acima, podemos obter a expressão de Cout em função das entradas A, B e Cin:
Cout = A.B.Cin + A.B.Cin + A.B.Cin + A.B.Cin
Podemos simplificar essa expressão utilizando o diagrama de Veitch-Karnaugh:

O diagrama permite a simplificação com os três pares formados:


Cout = A.B + Cin.A + B.Cin
cujo respectivo circuito é apresentado abaixo:

Juntando então os dois circuitos, o circuito do Somador-Completo fica:

11
Curso Técnico em Mecatrônica
Eletrônica Digital

Representação esquemática em bloco:

Desse modo, podemos cascatear circuitos somadores


para possibilitar a soma de números com maior
quantidade de dígitos.
Por exemplo, para somarmos paralelamente 2 números de 4 dígitos, podemos fazer o seguinte
cascateamento:

2.3. Subtrator

2.3.1 Meio-subtrator
Meio-Subtrator (Half-Subtractor em inglês) é um circuito combinacional que tem a função de
subtrair 2 bits de entrada, A e B. O resultado desta operação aritmética vai para a saída S do
circuito.
No caso da entrada A assumir o valor “0” e B assumir o valor “1”, o resultado na saída S será
“1”, gerando um bit de empréstimo “1” (equivalente ao “empresta um” na matemática), que é
colocado na saída Bout (Borrow out) do circuito. A tabela-verdade do circuito Meio-Subtrator é
apresentada abaixo:
A B S Bout
0 0 0 0
0 1 1 1
1 0 1 0
1 1 0 0
Da tabela-verdade, podemos escrever as seguintes expressões lógicas:

12
Curso Técnico em Mecatrônica
Eletrônica Digital

S=A B
Bout = A . B
A partir dessas duas expressões, obtém-se o seguinte circuito combinacional:

Representação esquemática em bloco:

2.3.2 Subtrator completo


Como vimos, o Meio-Subtrator é um circuito capaz de somar 2 números binários de 1 dígito
cada. Para somarmos 2 números binários A e B que possuem mais de 1 dígito, necessitamos de
um circuito Subtrator Completo (Full-Subtractor, em inglês), que considera o bit de Bout,
resultante da subtração dos primeiros dígitos de A e B, na subtratação dos respectivos segundos
dígitos de A e B. Esse bit de Bout vira o bit de Bin (Borrow in) quando utilizado na entrada do
circuito.
Podemos representar um circuito Subtrator Completo através do cascateamento de 2 circuitos
Meio-Subtratores, como abaixo:

Do circuito acima, podemos extrair a seguinte tabela-verdade:


A B Bin S1 B1 S B2 Bout
0 0 0 0 0 0 0 0
0 1 0 1 1 1 0 1
1 0 0 1 0 1 0 0
1 1 0 0 0 0 0 0
0 0 1 0 0 1 1 1
0 1 1 1 1 0 0 1
1 0 1 1 0 0 0 0
1 1 1 0 0 1 1 1
Da tabela-verdade acima, podemos obter a expressão para a saída S em função das entradas A, B
e Cin:
S = A.B.Bin + A.B.Bin + A.B.Bin + A.B.Bin

13
Curso Técnico em Mecatrônica
Eletrônica Digital

Essa expressão equivale a um circuito Exclusive OR de 3 entradas, podendo ser escrita da


seguinte forma:
S=A B Bin ou S = (A B) Bin
cujo respectivo circuito é apresentado abaixo:

Da tabela-verdade acima, podemos obter a expressão de Bout em função das entradas A, B e Bin:
Bout = A.B.Bin + A.B.Bin + A.B.Bin + A.B.Bin
Podemos simplificar essa expressão utilizando o diagrama de Veitch-Karnaugh:

O diagrama permite a simplificação com os três pares formados:


Bout = A.Bin + A.B + B. Bin
cujo respectivo circuito é apresentado abaixo:

Juntando então os dois circuitos, o circuito do Subtrator-Completo fica:

14
Curso Técnico em Mecatrônica
Eletrônica Digital

Representação esquemática em bloco:

Desse modo, podemos cascatear circuitos subtratores para possibilitar a subtração paralela de
números com maior quantidade de dígitos.
Por exemplo, para somarmos paralelamente 2 números de 4 dígitos, podemos fazer o seguinte
cascateamento:

15
Curso Técnico em Mecatrônica
Eletrônica Digital

Aula 4 - Laboratório: Circuitos Aritméticos


1. Objetivo
 Efetuar somas aritméticas utilizando um Somador.

2. Materiais a serem utilizados


 Maleta Minipa; Módulo M 1115A

3. Procedimentos
1) Utilizando o CI 4008 existente no módulo M1115A, efetue a montagem a seguir:
• Alimentar o CI com 5 VDC (borne
positivo a Vdd) e conecte o borne Vss
ao terra.
• Ligar cada pino de entrada (A0~A3 e
B0~B3) nas chaves digitais.
• Conectar o pino C0 ao terra.
• Ligar as saídas (S0 ~ S3 ) e o pino 14
(C4-carry de saída) nos leds
indicadores.
2) Efetuar as somas abaixo, registrando-as
na tabela abaixo (registrar também na
tabela o estado lógico de C0 e C4):
a) A (0001) + B (0001) h) A (1111) + B (0001)
b) A (1001) + B (1001) i) A (1010) + B (1111)
c) A (0111) + B (1000) j) A (1111) + B (1111)
d) A (1000) + B (1000) k) A (1101) + B (0001)
e) A (0101) + B (1111) l) A(1011) + B (0111)
f) A (0100) + B (0101)
g) A (0001) + B (0010)
C0 A B S C4 C0 A B S C4

3) Mantendo a ligação anterior, desconectar o pino carry de entrada C0 que estava aterrado e
ligar ao nível lógico 1 (Vdd), efetuar as somas abaixo, registrando-as em uma tabela
(registrar também na tabela o estado lógico de C0 e C4):
a) A(0001) + B(0101) d) A(1111) + B(0100)
b) A(1001) + B(1001) e) A(1111) + B(1111)
c) A(1000) + B(0001) f) A(1011) + B(1001)
C0 A B S C4 C0 A B S C4

16
Curso Técnico em Mecatrônica
Eletrônica Digital

Aula 5 -Multiplexadores
1. Introdução
O multiplexador ou Mux é um circuito combinacional dedicado com a finalidade de selecionar,
através de variáveis de seleção, uma de suas entradas, conectando-a eletronicamente à uma única
saída. Esta operação é denominada multiplex ou multiplexação, que significa seleção e tanto as
entradas como a saída são denominadas também de canais de entrada e saída. Como exemplo,
quando se escolhe um canal de televisão através do controle remoto se efetua na verdade uma
seleção entre as várias emissoras existentes. As emissoras correspondem às entradas, a tela de
TV à saída e o controle remoto faz a função do Mux. Pode-se fazer uma analogia com uma chave
de seleção de várias entradas e uma saída, como mostra a figura abaixo:

Um Mux pode ser representado pelo modelo apresentado na figura abaixo:

No Mux, o número de entradas E está relacionado com o número de variáveis de seleção, ou


seja:
n = 2m
onde n - número de canais de entrada;
m - número de variáveis de seleção.
Como exemplo, um Mux com duas variáveis de seleção (m=2) pode ser codificado de quatro
modos diferentes (00, 01, 10, 11) e possui, portanto, quatro canais de entrada (n=22=4). Com três
variáveis de seleção (m=3) pode ser codificado de oito modos diferentes (000, 001, 010, 011,
100, 101, 110, 111) possuindo oito canais de entrada (n=23=8).
Dentre as inúmeras aplicações nos sistemas digitais podemos citar:
 seleção de informações digitais para um determinado circuito;
 seleção de informações digitais para serem transmitidas a um outro sistema digital;
 serialização de informações de vários bits;
 implementação de expressões booleanas.

2. Mux de dois canais


Um Mux de dois canais ou entradas precisa de apenas uma variável de seleção, pois:
n = 2m = 2 1 = 2
Como a seleção das entradas não depende do nível lógico das mesmas, a tabela-verdade que
representa o funcionamento deste multiplexador deve ter na mesma coluna da saída, ao invés de
níveis lógicos, o nome das variáveis de entrada:
17
Curso Técnico em Mecatrônica
Eletrônica Digital

A S
0 E0
1 E1
onde: En - entradas;
A - variável de seleção;
S - saída.
Expressão booleana da saída: S = A .E0 + A.E1
O circuito lógico do Mux de dois canais é representado na figura abaixo:

O Mux de 2 canais pode ser representado pelo símbolo mostrado na figura abaixo:

Cabe observar que os índices das entradas representam no sistema decimal os códigos das
variáveis de seleção correspondentes no sistema binário e, portanto, é mais importante sempre
destacar qual variável é a mais significativa (MSB) e qual é a menos significativa (LSB).

3. Mux de quatro canais


Um Mux de quatro canais ou entradas precisa de duas variáveis de seleção, pois n = 2m = 22 = 4.
Sua tabela-verdade é a seguinte:
A B S
0 0 E0
0 1 E1
1 0 E2
1 1 E3
onde: En - entradas;
A e B - variáveis de seleção;
S - saída.
Expressão booleana da saída: S = A.B.E0 + A .B.E1 + A. B.E2 + A.B.E3
O circuito lógico do Mux de quatro canais é representado na figura seguinte:

18
Curso Técnico em Mecatrônica
Eletrônica Digital

O Mux de 4 canais pode ser representado pelo símbolo mostrado na figura abaixo:

4. Mux de oito canais


O Mux de oito canais é o mais utilizado na prática por trabalhar com dados de 8 bits, ou seja, um
byte. Utiliza três variáveis de seleção, pois n = 2m = 23 = 8. Sua tabela-verdade é a seguinte:
A B C S
0 0 0 E0
0 0 1 E1
0 1 0 E2
0 1 1 E3
1 0 0 E4
1 0 1 E5
1 1 0 E6
1 1 1 E7
onde: En - entradas;
A, B e C - variáveis de seleção;
S - saída.
Expressão booleana da saída: S = A .B.C.E0 + A .B.C.E1 + A. B.C.E2 + A.B.C.E3 +A.B.C.E4 +
A.B.C.E5 + A.B.C.E6 + A.B.C.E7
O circuito lógico do Mux de oito canais é representado pela figura a seguir:

19
Curso Técnico em Mecatrônica
Eletrônica Digital

O Mux de 4 canais pode ser representado pelo símbolo mostrado na figura abaixo:

5. Associação de multiplexadores
Os multiplexadores podem ser encontrados prontos em circuitos integrados comerciais, mas o
número de entradas é limitado em cada CI. Quando se necessita de um Mux com uma quantidade
de canais de entrada maior do que os encontrados comercialmente ou quando é necessário
multiplexar vários canais simultaneamente, basta fazer a associação conveniente de vários
multiplexadores de forma a ampliar o número de canais de entrada para uma única saída ou
ampliar o número de saídas para se obter mais de um canal de entrada ativo simultaneamente.

5.1 Associação paralela de multiplexadores


Esta associação é importante quando se necessita selecionar informações digitais de vários bits
simultaneamente. Para isto, basta utilizar um Mux com número de canais de entrada igual ao
número de informações a serem multiplexadas, sendo o número de Mux’s igual ao número de
bits destas informações.
Exemplo: Deseja-se multiplexar quatro informações diferentes (E1, E2, E3 e E4) cada uma
composta de 3 bits (E11, E12, E13; E21, E22, E23,...) para que apenas uma informação de 3 bits
esteja na saída. O circuito de multiplexação pode ser implementado com 3 Mux de quatro
entradas cada:

20
Curso Técnico em Mecatrônica
Eletrônica Digital

5.2 Associação série de multiplexadores


Esta associação é uma ampliação da capacidade dos canais de entrada. Consiste em uma variação
da associação paralela pois, para ampliar a capacidade de canais de entrada, basta multiplexar os
Mux de entrada através de um Mux de saída.
Exemplo: Deseja-se obter um Mux de 16 canais utilizando apenas Mux de quatro canais. Para
isto, basta utilizar um Mux de saída multiplexando 4 Mux de entrada:

Note que as variáveis de seleção do Mux resultante são A, B, C e D, sendo a variável A o bit
mais significativo (Mux de saída) e a variável D o Bit menos significativo (Mux de entrada).

Exercícios
1) Utilizando somente Mux de 2 canais, faça uma associação de modo a resultar em um Mux de
4 canais. Escreva a tabela verdade resultante. Desenhe também seu bloco lógico.

21
Curso Técnico em Mecatrônica
Eletrônica Digital

2) Utilizando somente Mux de 2 canais, faça uma associação de modo a resultar em um Mux de
8 canais. Escreva a tabela verdade resultante. Desenhe também seu bloco lógico.

3) Utilizando Mux de 2 e de 4 canais, faça uma associação de modo a resultar em um Mux de 8


canais, utilizando pelo menos 1 de cada Mux e na menor quantidade possível. Escreva a
tabela verdade resultante. Desenhe também seu bloco lógico.

22
Curso Técnico em Mecatrônica
Eletrônica Digital

Aula 6 - Laboratório: Multiplexadores


1. Objetivo
 Interpretar e avaliar circuitos multiplexadores.

2. Materiais a serem utilizados


 Maleta Minipa; Módulo M-1115A

3. Prática

3.1 Procedimentos
1) Utilizando o CI 4051 presente no módulo M-1115A como multiplexador, siga os passos a
seguir:
 Alimentar o CI com 5 VDC (Vdd +) ( Vss -).
 Ligar os pinos A, B, C e INC em uma chave lógica.
 Conectar cada terminal de 0 a 7 a uma chave lógica (em sequência).
 Ligar a saída I/O (pino 3) a um led de saída.
 Através das chaves lógicas, inserir os níveis correspondentes a tabela abaixo:
7 6 5 4 3 2 1 0
1 0 1 1 1 0 0 1
 Colocar o pino INC em nível lógico 0 (pois se este estiver em nível lógico 1, bloqueará a
saída).
 Mudando apenas os pinos A, B, C, preencher a tabela a seguir:
C B A Z
0 0 0
0 0 1
0 1 0
0 1 1
1 0 0
1 0 1
1 1 0
1 1 1
2) Analise e justifique o resultado obtido na tabela.
___________________________________________________________________________
___________________________________________________________________________
___________________________________________________________________________
___________________________________________________________________________
3) Mantendo os pinos de entrada (0..7) no mesmo estado do procedimento 1, e deixando o pino
INC em nível lógico 1, preencha a tabela abaixo.
C B A Z
0 0 0
0 0 1
0 1 0
0 1 1
1 0 0
1 0 1

23
Curso Técnico em Mecatrônica
Eletrônica Digital

1 1 0
1 1 1
4) Analise e justifique o resultado obtido na tabela.
___________________________________________________________________________
___________________________________________________________________________
___________________________________________________________________________
___________________________________________________________________________
5) Quais os passos a serem seguidos, utilizando o CI 4051 como multiplexador, para termos o
canal 6 habilitado na saída?
___________________________________________________________________________
___________________________________________________________________________
___________________________________________________________________________
___________________________________________________________________________

24
Curso Técnico em Mecatrônica
Eletrônica Digital

Aula 7 - Demultiplexadores

1. Introdução
Um Demultiplexador ou simplesmente Demux é
um circuito combinacional que faz a função
inversa de um Mux. Ou seja, no demux, temos
uma única entrada e várias saídas; a informação
presente na entrada é enviada a uma das saídas,
conforme as variáveis de seleção. Funciona
analogamente como se fosse uma chave seletora de várias posições.

2. Demux de n canais
A representação gráfica de um Demux de 8 saídas (ou canais) é apresentada a seguir.
Nesse Demux, as saídas S0 a S7 são selecionadas através da combinação de A, B e C. A entrada E
envia a informação então para a saída selecionada. A entrada Enable serve para habilitar ou não
o Demux.

Note que, como temos 8 saídas, há necessidade de 3 variáveis de seleção: A, B e C, que


selecionam as saídas S0 a S7 através da seguinte combinação:
Enable A B C S7 S6 S5 S4 S3 S2 S1 S0
0 0 0 0 0 0 0 0 0 0 0 E
0 0 0 1 0 0 0 0 0 0 E 0
0 0 1 0 0 0 0 0 0 E 0 0
0 0 1 1 0 0 0 0 E 0 0 0
0 1 0 0 0 0 0 E 0 0 0 0
0 1 0 1 0 0 E 0 0 0 0 0
0 1 1 0 0 E 0 0 0 0 0 0
0 1 1 1 E 0 0 0 0 0 0 0
1 x x x 0 0 0 0 0 0 0 0

25
Curso Técnico em Mecatrônica
Eletrônica Digital

Exercícios
1) Desenhe um circuito e o seu diagrama em bloco que execute a função de um Demux de 2
canais, utilizando portas lógicas.
Tabela-verdade:
A S0 S1 onde: E = entrada
0 E 0 A = variável de seleção
1 0 E Sn = saídas
Expressão booleana da saída: S0 = A.E e S1 = A.E

Circuito elétrico: Diagrama em bloco:

2) Desenhe um circuito que execute a função de um Demux de 4 canais, utilizando portas


lógicas. Escreva a tabela verdade resultante. Desenhe também seu bloco lógico.

3) Utilizando somente demux de 2 canais, faça uma associação de modo a resultar em um demux
de 4 canais. Escreva a tabela verdade resultante. Desenhe também seu bloco lógico.

26
Curso Técnico em Mecatrônica
Eletrônica Digital

4) Utilizando somente demux de 2 canais, faça uma associação de modo a resultar em um demux
de 8 canais. Escreva a tabela verdade resultante. Desenhe também seu bloco lógico.

5) Utilizando demux de 2 e de 4 canais, faça uma associação de modo a resultar em um demux


de 8 canais, utilizando pelo menos 1 de cada demux e na menor quantidade possível. Escreva
a tabela verdade resultante. Desenhe também seu bloco lógico.

27
Curso Técnico em Mecatrônica
Eletrônica Digital

Transmissão e recepção de dados via mux e demux


1. Introdução
Em uma transmissão de dados, por exemplo no acesso à Rede Internet através de um
computador, os mesmos trafegam serialmente pela linha de comunicação (linha telefônica ou
cabo coaxial ou cabo de fibra ótica), ou seja, bit a bit.
Sabemos que internamente ao computador, para aumentar a velocidade de processamento, os
dados digitais são processados em paralelo, ou seja, vários bits trafegam paralelamente pelas
trilhas dos circuitos impressos. A transmissão de dados paralelamente também é aplicada entre
dois equipamentos localmente próximos (exemplo: computador e impressora).
Na prática, a transmissão de dados entre dois equipamentos distantes se faz serialmente, pois o
modo paralelo não é viável economicamente devido ao custo das linhas de transmissão e
necessidade de repetidores de sinais ao longo da transmissão devido à degradação dos sinais.
Para que seja possível a transmissão serial entre dois equipamentos remotos, há necessidade de
equipamentos como os mux e o demux. A figura abaixo ilustra essa necessidade:

Nos circuitos de comunicação do computador, temos presentes um mux e um demux. O mux faz
o papel de multiplexar as informações em formato de bytes para bits para serem transmitidos
serialmente através da linha de transmissão. Na recepção dos dados pelo computador, os bits
chegam serialmente através da linha de transmissão e o demux demultiplexa-os para o formato
de bytes, para serem processados internamente pelo computador. Na figura acima, os contadores
geram sincronamente as variáveis de seleção de cada canal do mux e do demux.
A multiplexagem também é uma técnica utilizada para que, pelo mesmo canal de comunicação,
possam circular transmissões diferentes, otimizando a infra-estrutura física das redes. Ou seja,
através de um mux podemos processar sinais diferentes num único canal de transmissão. Do
mesmo modo, através de um demux podemos separar os vários tipos de sinais recebidos pelo
único canal de comunicação, possibilitando assim uma grande redução nos custos das linhas de
comunicação.
Um exemplo desta técnica é o FDM (Frequency Division Multiplexing - Multiplexagem por
Divisão de Frequência), que consiste em fazer passar por um mesmo cabo sinais de frequências
diferentes. Um exemplo deste tipo de multiplexagem é o da TV por cabo, no qual as operadoras
colocam as várias frequências dos seus canais num mesmo cabo coaxial. A figura abaixo ilustra
essa multiplexagem.

28
Curso Técnico em Mecatrônica
Eletrônica Digital

Outro exemplo de multiplexagem é o TDM (Time Division Multiplexing - Multiplexagem por


Divisão de Tempo), que é baseada na divisão do tempo de transmissão do canal em pequenas
partes (slots). O canal fica, assim, reservado para cada emissor durante um certo intervalo de
tempo, como ilustra a figura abaixo:

Já o STDM (Statistical Time Division Multiplexing - Multiplexagem por Divisão Estatística de


Tempo) aproveita o fato dos usuários não transmitirem durante um percentual do tempo, e usa
essa banda livre para enviar dados de outro slot. Não há desperdício de banda, como ocorre com
o TDM puro. A figura abaixo ilustra o STDM:

2. Bits de paridade
Em uma transmissão de dados, os bits de paridade são utilizados para detectarem eventuais erros
de transmissão, possíveis de ocorrerem devido a ruídos na linha, má qualidade da linha de
transmissão, degradação dos dados, interferências magnéticas, etc.
Os bits de paridade não corrigem os erros e sim detectam os erros, permitindo que o receptor
informe ao transmissor que houve erro na transmissão.
A lógica é simples: o transmissor considera todos os bits a serem enviados, efetua um cálculo
matemático que pode ser feito através de fórmulas diversas, e o resultado final deste cálculo
transforma em bits, que são os bits de paridade. Esses bits são transmitidos no final do slot
juntamente com os bits de dados. O receptor, após o recebimento do slot e dos bits de paridade,
efetua o mesmo cálculo matemático e compara o seu resultado com os bits de paridade. Caso
29
Curso Técnico em Mecatrônica
Eletrônica Digital

coincidam, significa que a transmissão de dados foi feita corretamente; caso contrário, houve
erro na transmissão; neste caso, o receptor informa ao transmissor que houve erro e solicita ao
transmissor que envie o mesmo slot novamente.
Uma das técnicas bastante usada para gerar bits de paridade é o CRC - Cyclic Redundancy
Check, que é muito eficaz pois efetua cálculos polinomiais com os bits a serem transmitidos.

30
Curso Técnico em Mecatrônica
Eletrônica Digital

Aula 8 - Laboratório: Mux e Demux


1. Objetivo
 Interpretar e avaliar circuitos multiplexadores e demultiplexadores.

2. Materiais a serem utilizados


 Maleta Minipa; Módulo M-1115A

3. Prática

3.1 Procedimentos
1) Monte o circuito abaixo seguindo os seguintes passos:

 Conectar o pino Vdd dos 2 CIs (4051 mux e demux) ao + 5Vdc e conectar o pino Vss ao
terra de ambos.
 Ligar os pinos (A, B, C, INC) de ambos os CIs cada um a uma chave lógica.
 Fazer um jumper entre os pinos 3 dos dois circuitos.
 Ligar nas entradas 0, 1, 2 do circuito Mux às frequências 1Hz, 10Hz, 100Hz
respectivamente (esses sinais estão disponíveis abaixo das chaves lógicas).
 Ligar a saída do Demux (pinos 0,1, 2) nos leds de saída para visualização.
2) Fazendo as entradas A, B, C de ambos os sinais iguais a 0 e deixando o pino INC de ambos
em nível lógico 1, o que teremos na saída? Explique porque.
___________________________________________________________________________
___________________________________________________________________________
___________________________________________________________________________
___________________________________________________________________________
3) Deixando ainda os pinos A, B, C em nível 0 e agora colocando também os pinos INC em nível
0, o que teremos nos leds de saída? Explique o conceito.
___________________________________________________________________________
___________________________________________________________________________
___________________________________________________________________________

31
Curso Técnico em Mecatrônica
Eletrônica Digital

4) Como posso ter na saída do canal 0 do Demux a frequência de 10Hz?


___________________________________________________________________________
___________________________________________________________________________
___________________________________________________________________________
___________________________________________________________________________
5) E para termos a frequência de 100Hz na saída 1 do Demux?
___________________________________________________________________________
___________________________________________________________________________
___________________________________________________________________________
___________________________________________________________________________

32
Curso Técnico em Mecatrônica
Eletrônica Digital

Aula 9 - Circuitos Sequenciais


1. Introdução
Circuitos sequenciais são aqueles cujas saídas dependem não só do estado atual das entradas,
mas também dos estados anteriores (estados temporais) das suas saídas internas e externas. São
construídos fundamentalmente de portas lógicas e flip-flops (ou multivibradores biestáveis), que
são circuitos oscilantes que podem alternar sua saída em função dos parâmetros de entrada e do
tempo decorrido.
Portanto, em um circuito sequencial, algumas de suas saídas realimentam as entradas do
circuito, podendo alterar os valores das saídas. Desta forma, fica evidente que as saídas de um
circuito sequencial dependem não apenas das entradas, mas também do estado temporal das suas
saídas. Em função deste comportamento sequencial, um circuito sequencial é especificado pela
sequência temporal de entradas, saídas e estados internos.
O conceito de tempo está associado a pulsos de um relógio (clock), que vai alternar estados entre
0 e 1, com uma frequência pré-determinada, e será utilizado na propagação temporal da
informação. A figura abaixo mostra um exemplo de sinal de clock. Em sua forma de onda podem
ser identificados a borda ascendente (borda de subida), a borda descendente (borda de descida), o
nível baixo (nível lógico zero) e o nível alto (nível lógico um). O tempo que decorre para o sinal
se repetir é denominado período e é representado por T. Por exemplo, o tempo entre duas bordas
de subida (ou bordas de descida) sucessivas é igual a T.

2. O Flip-Flop RS básico
O flip-flop RS básico pode ser construído com o uso de duas
portas NOR de 2 entradas cada, conectadas conforme mostra a
figura ao lado. Note que existe uma conexão entre a saída Q e a
entrada da NOR 2, e uma conexão entre a saída /Q ( Q ) e a entrada
da NOR 1.
Vamos montar a tabela-verdade do flip-flop RS, que é denominada
tabela de transição de estados (ou simplesmente, tabela de
transição).
 Para R=1 e S=0 em t0, teremos Q=0 e /Q=1.
 Para R=0 e S=0 em t1, Q continua igual a 0 e /Q com o valor 1.
 Para R=0 e S=1 em t2, teremos a mudança de Q para 1 e de /Q para 0.
 Para R=1 e S=1, ambas as saídas se estabilizarão em 0, o que determina um estado proibido
(ou indeterminado), de modo que a situação (R=1 e S=1) deve sempre ser evitada.
Em resumo, em um flip-flop RS básico, conclui-se que a aplicação de R=1 e S=0 faz com que o
flip-flop vá para o estado reset (ou seja, a saída Q estabiliza-se com o valor lógico 0),
independente de seu estado anterior. Se logo após for aplicado R=0 e S=0, o flip-flop não muda
o seu estado. A aplicação de R=0 e S=1 faz com que o flip-flop vá para o estado set (ou seja, a
saída Q estabiliza-se com o valor lógico 1), independente de seu estado anterior. Se logo após for
aplicado R=0 e S=0, o flip-flop não muda o seu estado. Portanto, pode-se afirmar que a aplicação
de R=0 e S=0 não muda o estado em que o flip-flop está. Por outro lado, R=1 e S=1 deve ser

33
Curso Técnico em Mecatrônica
Eletrônica Digital

evitado, por conduzir ao estado proibido. Essas informações podem ser resumidas pela tabela
abaixo.
R S Q /Q Ação
1 0 0 1 Vai para estado reset
0 0 0 1 Mantém estado reset (estado anterior)
0 1 1 0 Vai para estado set
0 0 1 0 Mantém estado set (estado anterior)
1 1 0 0 Estado proibido
A tabela acima pode ser escrita de maneira mais compacta, de modo a incorporar a informação
da dependência temporal, como mostra a tabela abaixo.
R S QP Comentário
0 0 QA Mantém estado anterior
0 1 1 Estado set
1 0 0 Estado reset
1 1 - Estado proibido
onde QP é o estado atual de Q e QA é o seu estado anterior.
A figura ao lado mostra o símbolo do flip-flop RS básico.

3. O Flip-Flop RS com clock


Para evitarmos o estado proibido do flip-flop RS, no flip-flop RS com clock (também chamado
de flip-flop RS síncrono) temos uma entrada denominada clock para habilitar o flip-flop, ou seja,
deixá-lo sensível ou não aos valores das entradas R e S.
A entrada clock pode ser de vários tipos:
 sensível a nível lógico “0”;
 sensível a nível lógico “1”;
 sensível à borda de subida; ou
 sensível à borda de descida.
Na figura ao lado temos um flip-flop RS com clock
sensível a nível lógico “1”.
A tabela de transição desse flip-flop é mostrada na tabela a seguir. Note que, se Clock=0, o flip-
flop mantém seu estado, independente dos valores de R e S (os X indicam essa independência).
Repare também que há ainda outra situação em que o flip-flop mantém o estado, qual seja,
quando C=1, mas R=0 e S=0.
Clock R S QP Comentário
0 X X QA Mantém estado anterior
1 0 0 QA Mantém estado anterior
1 0 1 1 Estado set
1 1 0 0 Estado reset
1 1 1 - Estado proibido

34
Curso Técnico em Mecatrônica
Eletrônica Digital

A figura a seguir mostra os símbolos dos flip-flop RS com clock.

RS com clock sensível a RS com clock sensível a RS com clock sensível à RS com clock sensível à
nível “1” nível “0” borda de subida borda de descida

3. O Flip-Flop JK com gatilho


Todos os flip-flops que estudamos até o momento
não permitem a condição R=S=1. Para solucionar
este problema, utilizamos o flip-flop JK com
gatilho mostrado na figura ao lado.
Funcionamento do Flip-Flop JK com gatilho:
 Para J=K=0
Nível lógico 0 numa das entradas das portas AND fará com que na saída destas portas
(entradas S e R do flip-flop RS) tenha nível lógico 0, o que faz com que suas saídas não
mudem, ainda que o sinal CLK habilite o flip-flop.
 Para J=1 e K=0
Nível lógico 0 na entrada K fará com que na saída da porta AND (entrada R do flip-flop RS)
tenha nível lógico 0. Nível lógico 1 na entrada J fará com que a saída da porta AND ligada à
entrada S dependa do nível lógico presente na saída /Q do flip-flop RS, podendo ocorrer duas
situações:
a) Q=1 e /Q=0 antes do flip-flop RS ser habilitado (saídas Q e /Q acompanhando as entradas
J e K)
/Q=0 fará com que na saída da porta AND (entrada S do flip-flop) tenha nível lógico 0.
Deste modo, nas entradas S e R do flip-flop RS teremos nível lógico 0 fazendo com que
suas saídas não mudem quando o sinal de CLK habilitar o flip-flop.
b) Q=0 e /Q=1 antes do flip-flop ser habilitado (saídas Q e /Q com nível lógico contrário às
entradas J e K)
/Q=1 fará com que na saída da porta AND ligada à entrada S tenha nível lógico 1. Nível
lógico 1 na entrada S e 0 na entrada R fará com que a saída Q do flip-flop RS vá para o
nível lógico 1 e a saída /Q vá para nível lógico 0 quando o sinal CLK habilitar o flip-flop.
Conclusão: J=1 e K=0 faz com que a saída Q vá (ou fique) em nível 1 (e /Q em 0) no instante
em que o sinal CLK habilita o flip-flop.
 Para J=0 e K=1
Analisando esta possibilidade de modo semelhante, chegaremos à seguinte conclusão: a saída
Q vai para 0 (ou se mantém em 0) no instante em que CLK habilitar o flip-flop.
 Para J=K=1
Nesta situação, as saídas das portas AND dependerão das situações das saídas Q e /Q.
a) Q=0 e /Q=1 antes do flip-flop ser habilitado.
Q=0 fará a saída da porta AND ligada à entrada R ir para 0. /Q=1 juntamente com J=1
fará a saída da porta AND ligada à entrada S ir para 1. Assim, para S=1 e R=0, teremos
/Q=1 e Q=0 no instante em que o flip-flop for habilitado.
b) Q=1 e /Q=0 antes do flip-flop ser habilitado
/Q=0 forçará a saída da porta AND ligada à entrada S ir para 0. Q=1 juntamente com K=1
fará a saída da porta AND ligada à entrada R ir para 1. Assim, para S=0 e R=1, teremos
Q=0 e /Q=1 no instante em que o flip-flop for habilitado.
Conclusão: Para J=K=1, teremos uma inversão do nível lógico presente nas saídas Q e /Q
cada vez que o flip-flop for habilitado.
35
Curso Técnico em Mecatrônica
Eletrônica Digital

A tabela abaixo mostra a tabela de transição do Flip-Flop JK com gatilho.


CLK J K QP /QP Ação
≠↓ X X QA /QA Não muda
↓ 0 0 QA /QA Não muda
↓ 1 0 1 0 Ativa (seta)
↓ 0 1 0 1 Desativa (reseta)
↓ 1 1 /QA QA Inverte as saídas
As figuras abaixo mostram os símbolos do Flip-Flop JK com gatilho.

4. O Flip-Flop JK com Preset e Clear


As entradas de preset e clear de um flip-flop têm a finalidade de colocar as saídas do circuito
em estados pré-determinados. A função preset quando ativada coloca a saída Q em nível lógico 1
e a saída /Q em nível lógico 0, ou seja, o flip-flop é setado. A função clear quando ativada coloca
a saída Q em nível lógico 0 e a saída /Q em nível lógico 1, ou seja, o flip-flop é resetado.
As funções preset e clear nunca poderão ser ativadas ao mesmo tempo, e funcionam
independentemente do sinal CLK, ou seja, mesmo que CLK não habilite o flip-flop, se ativarmos
a função preset por exemplo, Q vai para 1 e /Q vai para 0.
As figuras abaixo mostram os símbolos do flip-flop JK com preset e clear.

A barra em PR e CLR significa que estas funções são ativadas com nível lógico 0; portanto,
quando não quisermos ativá-las, deveremos colocá-las em nível 1. A ausência da barra sobre PR
e CLR significa que as funções são ativadas em nível 1.
A tabela a seguir apresenta a tabela de transição do Flip-Flop JK com entradas Preset e Clear
ativas em 0.
CLK J K /PR /CLR Q /Q Comentário
X X X 0 0 - - Proibido
X X X 0 1 1 0 Seta
X X X 1 0 0 1 Reseta
1 1 Normal

36
Curso Técnico em Mecatrônica
Eletrônica Digital

5. O Flip-Flop tipo D
O flip-flop tipo D é construído a partir do flip-flop JK (ou RS),
com a particularidade de possuir as entradas J e K (ou R e S)
invertidas, conforme mostra a figura ao lado. Portanto, neste
flip-flop temos as seguintes combinações possíveis para as
entradas: J=0 e K=1, e J=1 e K=0, Nunca irão ocorrer os casos
J=K=0 e J=K=1.
A tabela abaixo apresenta a tabela de transição do flip-flop tipo D.
CLK D J K Q /Q
↓ 0 0 1 0 1
↓ 1 1 0 1 0
A figura ao lado apresenta o símbolo de um flip-flop tipo D.

6. O Flip-Flop T
O flip-flop T também é um flip-flop JK (ou RS), com a
particularidade de possuir as entradas J e K curto-
circuitadas (uma ligada à outra), como mostra a figura ao
lado. Portanto, neste flip-flop temos as seguintes
combinações possíveis para as entradas: J=K=0, e J=K=1.
Nunca irão ocorrer os casos J=1 e K=0, e J=0 e K=1.
A tabela abaixo apresenta a tabela de transição do flip-flop
T.
CLK T J K QP /QP
↓ 0 0 0 QA /QA
↓ 1 1 1 /QA QA
A figura abaixo apresenta o símbolo de um flip-flop T.

37
Curso Técnico em Mecatrônica
Eletrônica Digital

Aula 10 - Laboratório: Flip-Flop RS


1. Prática 1: Flip-Flop RS básico

1.1 Objetivo
 Verificar o funcionamento de um Flip-Flop RS básico.

1.2 Materiais a serem utilizados


 Maleta Minipa; Módulo M-1112A

1.3 Procedimentos
1) Utilizando o módulo recebido, monte o flip-flop RS básico
apresentado na figura ao lado. Interligue o circuito montado
na maleta Minipa, alimentando o módulo com a fonte +5VDC,
ligando as entradas R e S nas chaves digitais existentes na
maleta e as saídas Q e /Q nos leds existentes na maleta.
2) Aplique nível lógico 1 na entrada R e nível lógico 0 na
entrada S do flip-flop, e verifique os estados das saídas Q e
/Q. Registre o resultado em uma tabela, conforme modelo
apresentado abaixo.
3) Aplique em seguida nível lógico 0 nas entradas R e S do flip-flop, e verifique os estados das
saídas Q e /Q. Registre o resultado na tabela.
4) Aplique agora nível lógico 0 na entrada R e nível lógico 1 na entrada S do flip-flop, e
verifique os estados das saídas Q e /Q. Registre o resultado na tabela.
5) Aplique agora nível lógico 0 nas entradas R e S do flip-flop, e verifique os estados das saídas
Q e /Q. Registre o resultado na tabela.
6) Aplique nível lógico 1 nas entradas R e S do flip-flop, e verifique os estados das saídas Q e
/Q. Registre o resultado na tabela.
7) Acrescente os comentários necessários na tabela.
R S Q /Q Comentário

2. Prática 2: Flip-Flop RS com clock

2.1 Objetivo
 Verificar o funcionamento de um Flip-Flop RS com clock.

2.2 Materiais a serem utilizados


 Maleta Minipa; Módulo M-1112A

38
Curso Técnico em Mecatrônica
Eletrônica Digital

2.3 Procedimentos
1) Utilizando o módulo M1112A, monte no protoboard o flip-flop RS com clock apresentado na
figura ao lado. Interligue o circuito montado na maleta Minipa, alimentando o módulo com a
fonte +5VDC, ligando as entradas Clock, R e
S nas chaves digitais existentes na maleta e
as saídas Q e /Q nos leds existentes na
maleta.
2) Mantendo a entrada Clock em nível lógico
0, verifique os níveis lógicos nas saídas Q e
/Q, variando-se os níveis lógicos nas
entradas R e S. Registre o resultado em uma
tabela, conforme modelo apresentado abaixo.
3) Aplique agora nível lógico 1 na entrada Clock, nível lógico 1 na entrada R e nível lógico 0 na
entrada S do flip-flop, e verifique os estados das saídas Q e /Q. Registre o resultado na tabela.
4) Aplique em seguida nível lógico 0 nas entradas R e S do flip-flop, e verifique os estados das
saídas Q e /Q. Registre o resultado na tabela.
5) Aplique agora nível lógico 0 na entrada R e nível lógico 1 na entrada S do flip-flop, e
verifique os estados das saídas Q e /Q. Registre o resultado na tabela.
6) Aplique agora nível lógico 0 nas entradas R e S do flip-flop, e verifique os estados das saídas
Q e /Q. Registre o resultado na tabela.
7) Aplique nível lógico 1 nas entradas R e S do flip-flop, e verifique os estados das saídas Q e
/Q. Registre o resultado na tabela.
8) Acrescente os comentários necessários na tabela.
Clock R S Q /Q Comentário

39
Curso Técnico em Mecatrônica
Eletrônica Digital

Aula 11 - Laboratório: Flip-Flop JK


1. Objetivo
 Demonstrar o funcionamento de um Flip-Flop JK.

2. Prática

2.1 Materiais a serem utilizados


 Maleta Minipa; protoboard; CIs 4001 e 4081; fios para conexão; alicates de corte e de ponta
fina

2.2 Procedimentos
1) Utilizando os CIs recebidos e consultando a pinagem dos CIs, desenhe um circuito que realize
a função de um Flip-Flop JK com clock. Indique no desenho a pinagem de cada porta lógica
utilizada.
Pinagem dos CIs:
CI 4001 CI 4081

Desenho do circuito:

2) Monte no protoboard o circuito desenhado.


3) Ligue as entradas J, K e Clock do flip-flop JK às chaves existentes no kit digital.
4) Ligue as saídas Q e /Q do flip-flop JK a dois LEDs existentes no kit digital.

40
Curso Técnico em Mecatrônica
Eletrônica Digital

5) Através das chaves, varie os níveis lógicos das entradas J, K e Clock, e observe o resultado
nos LEDs ligados às saídas Q e /Q, de modo a demonstrar a tabela-verdade do flip-flop JK.
Registre os resultados em uma tabela-verdade.
Tabela-Verdade:

6) Após a comprovação do funcionamento do flip-flop JK, chame o professor para demonstrar o


funcionamento.

41
Curso Técnico em Mecatrônica
Eletrônica Digital

Aula 12 - Registradores
Registrador é um conjunto de elementos de memória (flip-flop ou latch) utilizados para
armazenar bits, muito utilizado em computadores.
Possui, geralmente, n entradas de dados (de 1 a 32), sendo cada entrada referente a um bit do
dado a ser armazenado no registrador, n saída de dados (de 1 a 32), sendo também cada saída
referente a um bit do dado armazenado anteriormente, e entradas de sinais de controle do
registrador (clock, clear ou reset, preset, enables, etc.), conforme o tipo de registrador.
Há vários tipos de registradores, que são classificados conforme sua forma de operação ou
conforme à quantidade de bits que trabalha. São eles:
 Registrador com entrada e saída seriais;
 Registrador com entrada serial e saídas paralelas;
 Registrador com entradas paralelas e saída serial;
 Registrador com entradas e saídas paralelas;
 Registrador bidirecional;
 Registrador de deslocamento.
Vamos detalhar cada um deles.

1. Registrador com entrada e saída serial (ES/SS)


Neste registrador, o dado entra no registrador um bit de cada
vez, serialmente, através de uma única entrada, e fica
disponibilizado na única saída do registrador, serialmente. A
figura ao lado ilustra um Registrador ES/SS com a entrada
serial D e a saída serial Q. A entrada Reset serve para resetar
(zerar) a saída Q. A entrada e a saída de dados são comandadas
pelo sinal de Clock, ou seja, a cada pulso de Clock um bit do
dado de entrada D é armazenado no registrador e
disponibilizado na saída serial Q. O dispositivo interligado na
saída desse registrador necessita capturar cada bit presente na
saída Q antes da chegada do próximo bit. Note que, para que esse tipo de registrador realize essa
função, basta ter internamente um flip-flop, por exemplo do tipo D com clock e reset.
Para entender um pouco melhor o funcionamento de um registrador ES/SS, vejamos o seu
diagrama de tempo. Na figura abaixo temos os dados enviados às entradas Clock, Reset e D em
função do tempo. Vamos determinar o sinal na saída Q em função dessas entradas.

Note que a entrada Reset é prioritária em relação à entrada Clock, ou seja, quando o Reset estiver
ativo, a saída Q imediatamente vai para o nível lógico “0”, independentemente do Clock. Agora,
com o Reset inativo (nível “0”), a saída Q registra o nível lógico da entrada D quando o sinal na
entrada do Clock mudar de “0” para “1”, ou seja, na subida do Clock.

42
Curso Técnico em Mecatrônica
Eletrônica Digital

2. Registrador com entrada serial e saídas paralelas (ES/SP)


Neste registrador, o dado entra no registrador um bit por vez,
através de uma única entrada, serialmente, e é disponibilizado
em sua saída paralela, sendo cada bit do dado disponibilizado
em sua saída paralela correspondente. A figura ao lado ilustra
um Registrador ES/SP com uma entrada serial D e 8 saídas
seriais Q (Q0 a Q7). A entrada Reset serve para resetar as
saídas Q. A entrada de dados é comandada pelo sinal de
Clock. Para cada pulso de Clock, 1 bit de entrada é
armazenado no registrador e disponibilizado em sua saída
respectiva Q (o bit 0 do dado fica disponibilizado na saída Q0,
o bit 1 na saída Q1, e assim por diante). Note que, para
habilitar a entrada de 8 bits de dados, há necessidade de 8
pulsos na entrada Clock. A partir do oitavo pulso de clock,
todos os 8 bits de entrada ficam disponíveis nas saídas Q. O
dispositivo interligado na saída desse registrador necessita capturar as saídas Q a partir do 8º
pulso e antes do dado seguinte ser armazenado no registrador. Note que, para que esse tipo de
registrador realize essa função, há necessidade de ter internamente pelo menos 8 flip-flops, um
para cada bit de dado.
Para entender um pouco melhor o funcionamento de um registrador ES/SP, vejamos o seu
diagrama de tempo. Na figura abaixo temos os dados enviados às entradas Clock, Reset e D em
função do tempo. Vamos determinar os sinais nas saídas Q0 a Q7 em função dessas entradas.

Note que a entrada Reset é prioritária em relação à entrada Clock, ou seja, quando o Reset estiver
ativo, todas as saídas Q imediatamente vão para o nível lógico “0”, independentemente do Clock.
Agora, com o Reset inativo (nível “0”), as saídas Q registram o nível lógico da entrada D na
subida do Clock.

43
Curso Técnico em Mecatrônica
Eletrônica Digital

3. Registrador com entradas paralelas e saída serial (EP/SS)


Neste registrador, o dado entra paralelamente através de linhas de
entrada paralela, e é disponibilizado na sua saída serial, bit a bit. A
figura ao lado ilustra um Registrador EP/SS com 8 linhas de entrada
D (D0 a D7) e 1 saída serial Q. A entrada Reset serve para resetar a
saída Q. A entrada do dado é comandada pela entrada de Clock. No
primeiro clock, o bit 0 do dado de entrada é armazenado na saída Q;
no segundo clock, é a vez do bit 1, e assim por diante, até que o bit 7
seja armazenado. O dispositivo interligado na saída desse
registrador necessita ler cada bit na saída Q antes da chegada do
próximo bit. Para esse tipo de registrador, há necessidade de pelo
menos 8 flip-flops internos, um para cada bit do dado.
Para entender um pouco melhor o funcionamento de um registrador
ES/SP, vejamos o seu diagrama de tempo. Na figura abaixo temos os dados enviados às entradas
Clock, Reset e D em função do tempo. Vamos determinar os sinais na saída Q em função dessas
entradas.

Note que a entrada Reset é prioritária em relação à entrada Clock, ou seja, quando o Reset estiver
ativo, a saída Q imediatamente vai para o nível lógico “0”, independentemente do Clock. Agora,
com o Reset inativo (nível “0”), as saídas Q registram o nível lógico das entradas D na subida do
Clock.

4. Registrador com Entrada e Saída Paralela (EP/SP)


Neste registrador, os dados entram paralelamente através de linhas de entrada paralela, e são
disponibilizados nas suas linhas de saída paralelas. A figura a seguir ilustra um Registrador
44
Curso Técnico em Mecatrônica
Eletrônica Digital

EP/SP com 8 linhas de entrada D (D0 a D7) e 8 linhas de saída Q (Q0 a Q7). A entrada Reset serve
para resetar as saídas Q. As entradas dos dados D e suas
disponibilizações nas saídas Q são comandadas pela entrada de Clock.
No pulso de clock, os 8 bits de D são armazenados nas linhas de saída Q
correspondentes. O dispositivo interligado nas saídas desse registrador
necessita ler os 8 bits presentes nas saídas Q antes da chegada do
próximo dado. Para esse tipo de registrador, há necessidade de pelo
menos 8 flip-flops internos, um para cada bit do dado.
Para entender um pouco melhor o funcionamento de um registrador
ES/SP, vejamos o seu diagrama de tempo. Na figura abaixo temos os
dados enviados às entradas Clock, Reset e D em função do tempo.

Exercício
Faça o diagrama de tempo para
determinar os sinais nas saídas
Q7-Q0 em função das entradas
Clock, Reset e D7-D0.

Note que a entrada Reset é prioritária em relação à entrada Clock, ou seja, quando o Reset estiver
ativo, as saídas Q imediatamente vão para o nível lógico “0”, independentemente do Clock.
Agora, com o Reset inativo (nível “0”), as saídas Q registram o nível lógico das entradas D na
subida do Clock.

45
Curso Técnico em Mecatrônica
Eletrônica Digital

5. Registrador bidirecional
Neste tipo de registrador, as mesmas linhas de entrada de dados
funcionam também como linhas de saída, funcionando como
linhas bidirecionais. A figura ao lado mostra um Registrador
Bidirecional de 8 bits, com 8 linhas de entrada/saída paralelas IO
(IO0 a IO7), com 1 linha de entrada/saída serial SR, com entradas
de Clock, Reset e S/P (Serial/Paralela), que serve para selecionar
as linhas como entrada ou como saída de dados. Esse tipo de
registrador pode funcionar como ES/SP (Entrada Serial SR e
Saídas Paralelas IO) ou como EP/SS (Entradas Paralelas IO e
Saída Serial SR), dependendo da necessidade. Para esse tipo de
registrador, há necessidade de pelo menos 8 flip-flops internos,
um para cada bit do dado.

6. Registradores de deslocamento (shift registers)


Um dos registradores mais utilizados é o registrador de deslocamento, e por isso merece um
destaque especial. O registrador de deslocamento é um registrador de n-bits que tem a
capacidade de deslocar em uma posição o valor armazenado, a cada pulso de clock. Podemos ter
um registrador de deslocamento com entrada e saída seriais, com entrada serial e saída paralela,
ou com entrada paralela e saída serial. Como exemplo, vamos analisar um registrador de
deslocamento com entrada serial e saída
paralela de 4 bits, que é apresentado na
figura ao lado. Esse registrador é
formado por 4 flip-flops JK ligados em
cascata e com clock comum. Note que o
primeiro flip-flop foi transformado em
tipo D, onde os valores presentes nas
entradas J e K só podem ser
complementares entre si, isto é, se J é 0, K é 1 e vice-versa. As saídas Q e /Q de cada flip-flop é
ligada às entradas J e K, respectivamente, do flip-flop seguinte.
Nessas condições, conforme a tabela
verdade vista anteriormente, os valores das
saídas não dependem dos estados
anteriores, mas apenas dos valores nas
entradas para o clock igual a 1. E o circuito
atua como um conversor série/paralelo, isto
é, uma informação em série aplicada na
entrada ES, desde que devidamente
sincronizada com os pulsos de clock, será
posta nas saídas S0 a S3 após o 4º pulso de
clock (figura ao lado).
Supõe-se, por exemplo, que, em
determinado instante, a entrada série ES
seja 1. Assim, no primeiro flip-flop ocorre J = 1 e K = 0. Na próxima transição (descida) do
clock, esses valores estarão nas saídas Q e /Q do mesmo flip-flop. E, então, S3 = 1. Na transição
seguinte do clock, esse valor será, pelo mesmo processo, transferido para a saída S2 porque as
entradas do flip-flop 2 são as saídas do flip-flop 3. E assim sucessivamente. Portanto, a cada
pulso de clock, a informação se desloca da esquerda para a direita, razão do nome registrador de
deslocamento. No exemplo da figura anterior, depois do 4º pulso, a saída será 1001.
46
Curso Técnico em Mecatrônica
Eletrônica Digital

Aula 13 - Laboratório: Registradores


1. Objetivo
 Observar o comportamento de um registrador de deslocamento.

2. Materiais a serem utilizados


 Maleta Minipa; Módulo Registrador de Deslocamento (Bit 9).

3. Prática

3.1 Procedimentos
1) Coloque o módulo Registradores de Deslocamento na Maleta Minipa.
2) Conecte a alimentação da tensão positiva de +5V no borne indicado por +5V e a tensão
negativa ao borne indicado por GND (terra).
3) Faça as ligações do circuito conforme o diagrama a seguir. A entrada CLK2 pode também ser
ligada a uma chave 0-1. Ligue a saída QH a um dos leds da maleta.

4) Aplique a combinação 01001101 nas entradas E8-E1.


5) Desligue a conexão do pino “SHIFT LOAD” do +5V e aterre ao GND para carregar a entrada
para o Registrador.
6) Reconecte novamente o pino “SHIFT LOAD” ao +5V.

47
Curso Técnico em Mecatrônica
Eletrônica Digital

7) Dê um pulso de clock, verifique o estado da saída Q e registre na tabela abaixo.


ENTRADA PARALELA SAÍDA
CLOCK
E8 E7 E6 E5 E4 E3 E2 E1 Q
- 0 1 0 0 1 1 0 1








8) Dê um segundo clock, verifique o estado da saída Q e registre na mesma tabela. Repita a
operação completando a tabela.
9) Compare os dados da tabela, ou seja, os níveis lógicos aplicados nas entradas E8-E1 com as
obtidas na saída serial Q. Justifique o resultado nas linhas abaixo.
_____________________________________________________________________________
_____________________________________________________________________________
_____________________________________________________________________________
_____________________________________________________________________________
10) Repita a experiência com outra informação na entrada, completando a tabela abaixo.
ENTRADA PARALELA SAÍDA
CLOCK
E8 E7 E6 E5 E4 E3 E2 E1 Q
-







48
Curso Técnico em Mecatrônica
Eletrônica Digital

Aula 14 - Contadores
Contadores são dispositivos de múltiplas e importantes aplicações e, na Eletrônica Digital, são
facilmente implementados com flip-flops. Dividem-se basicamente em 2 tipos: assíncronos e
síncronos.

1. Contadores Assíncronos
São assim denominados porque as entradas de controle (clock) dos diversos flip-flops que os
compõem não trabalham na mesma frequência.
Um exemplo de contador assíncrono de 4 bits é apresentado na figura abaixo. Neste exemplo,
são usados 4 flip-flops JK ligados em cascata, com a saída Q de cada ligada à entrada de clock
do seguinte. Como somente a entrada CK do flip-flop 0 é ligada ao sinal CK externo e as demais
entradas CK dos outros flip-flops são ligadas à saída Q do flip-flop anterior, esse contador
define-se como assíncrono. As entradas J e K de cada flip-flop são mantidas no nível 1.

Supondo que inicialmente todos os flip-flops estão no nível 0, o comportamento pode ser visto
pelos gráficos da figura seguinte. Uma sequência de pulsos retangulares é aplicada na entrada de
clock CK do flip-flop 0, conforme mostra o primeiro gráfico da figura.

Cada um dos flip-flop JK só muda de estado na descida (transição de 1 para 0) dos pulsos de
clock. Assim, a saída do flip-flop 0 não acompanha exatamente a entrada de clock e o resultado é
uma sequência de pulsos com o dobro da largura. E de forma análoga para os demais. Desde que
os flip-flops estão ligados em cascata, as larguras de pulso dobram em cada etapa, o que é
claramente visto no gráfico.
A tabela-verdade deste CK S3 S2 S1 S0
contador é apresentada na 0 0 0 0 0
tabela ao lado. Na coluna 1 0 0 0 1
CK, os valores são apenas 2 0 0 1 0
números sequenciais dos 3 0 0 1 1
pulsos de entrada e as demais 4 0 1 0 0
colunas contêm os níveis 5 0 1 0 1
lógicos das saídas de acordo 6 0 1 1 0
49
Curso Técnico em Mecatrônica
Eletrônica Digital

com os gráficos anteriores, 7 0 1 1 1


considerando S3 o dígito 8 1 0 0 0
mais significativo. Pode-se 9 1 0 0 1
notar que os valores das 10 1 0 1 0
saídas correspondem às 11 1 0 1 1
contagens em números 12 1 1 0 0
binários dos pulsos de 13 1 1 0 1
entrada. E o processo é 14 1 1 1 0
reiniciado no 16º pulso. 15 1 1 1 1
16 0 0 0 0
Voltando aos gráficos, pode-se verificar que o circuito opera também como um divisor de
frequência. Se as larguras dos pulsos, isto é, os períodos são dobrados, as frequências são
reduzidas pela metade. S0 tem frequência igual à metade da de entrada, S1 a metade da de S0 e
assim sucessivamente, ou seja, cada flip-flop divide a frequência por 2.

1.1 Contador assíncrono de década


O circuito anterior conta sequências de 16 pulsos e não é difícil concluir que esse número é
resultado de 2n, onde n é o número de flip-flops (4 no caso). Entretanto, em muitos casos, é
necessário que a contagem seja feita em sequências de 10 pulsos (ou décadas), que é a base
decimal. Desde que 10 não é potência inteira de 2, pode ser usado o artifício indicado na figura
abaixo: uma porta NAND com a saída conectada nas entradas CL (clear) dos flip-flops.

As entradas da porta recebem os valores S3, S2 (equivalente a Q do flip-flop 2), S1 e S0


(equivalente a Q do flip-flop 0). Assim, quando o valor nessas entradas for igual a 1010 (10 em
binário), as entradas CL serão nulas, zerando os flip-flops e reiniciando a contagem. Este artifício
pode ser ajustado para qualquer tamanho da sequência, desde que menor que 2n, onde n é o
número de flip-flops.

1.2 Contadores assíncronos decrescentes


Os circuitos vistos até aqui contam de forma crescente. Algumas aplicações exigem forma
contrária, isto é, decrescente. Na contagem decrescente, as saídas são complementos dos valores
da tabela 1, ou seja, 1111, 1110, etc. Assim, um meio de se obter contagem decrescente é
simplesmente considerar, no circuito da primeira figura, as saídas S0 a S3 como as saídas Q dos
respectivos flip-flops, conservando as ligações entre Q e CK dos flip-flops adjacentes.
Outra forma é modificar o circuito para o da última figura: as entradas de clock recebem as
saídas Q e não Q, permanecendo estas últimas como saídas.

50
Curso Técnico em Mecatrônica
Eletrônica Digital

2. Contadores síncronos
Conforme visto, nos contadores assíncronos os flip-flops são ligados em cascata e trabalham em
diferentes frequências. Na realidade, cada um opera na metade da frequência do anterior. Os
circuitos são simples e, em princípio, parecem atender todas as necessidades. Os circuitos
práticos apresentam pequenas diferenças e variações de tempos de resposta e, portanto, erros
podem ocorrer com frequências mais altas.
Nos contadores síncronos esse problema é minimizado porque todos os flip-flops recebem, nas
entradas de clock, o mesmo sinal, isto é, os pulsos a contar.
Um exemplo de um contador síncrono de 4 dígitos binários é dado na figura abaixo: cada flip-
flop recebe a mesma entrada CK e as saídas Q são os dígitos resultantes da contagem, de forma
similar ao assíncrono.

2.1 Circuito para o contador síncrono de década


Com o uso de dois blocos E de duas entradas e um de três entradas, é possível aplicar os valores
nas entradas dos flip-flops de acordo com os resultados do tópico anterior. E o circuito básico do
contador é dado na figura abaixo.

51
Curso Técnico em Mecatrônica
Eletrônica Digital

Aula 15 - Laboratório: Contadores


1. Objetivo
 Familiarizar-se com circuitos contadores.
 Implementar contadores de 0 a n.

2. Materiais a serem utilizados


 Maleta Minipa; Módulo Contador Assíncrono (Bit9).

3. Prática 1: Contador de 0 a 15

3.1 Procedimentos
1) Conecte o módulo Contador Assíncrono no bastidor eletrônico digital.
2) Conecte a alimentação com o polo positivo de +5V indicado pelo borne +5V e o polo
negativo ao borne indicado por GND (terra).
3) Faça as conexões adequadas para configurar o circuito como Contador de 0 a 15. O circuito
configurado é apresentado na figura abaixo:

4) Dê pulsos na entrada de clock e anote os estados das saídas Q na tabela a seguir.


CK Q3 Q2 Q1 Q0
0
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16

52
Curso Técnico em Mecatrônica
Eletrônica Digital

4. Prática 2: Contador de década

4.1 Procedimentos
1) Mantendo a placa Contador Assíncrono conectada e alimentada no bastidor eletrônico digital,
faça as conexões adequadas para configurar o circuito como Contador de década (de 0 a 9).
Desenhe o circuito configurado.

2) Dê pulsos na entrada de clock e anote os estados das saídas Q na tabela a seguir.


CK Q3 Q2 Q1 Q0
0
1
2
3
4
5
6
7
8
9
10

5. Prática 3: Contador de 0 a 5

5.1 Procedimentos
1) Mantendo a placa Contador Assíncrono conectada e alimentada no bastidor eletrônico digital,
faça as conexões adequadas para configurar o circuito como Contador de 0 a 5. Desenhe o
circuito configurado.

53
Curso Técnico em Mecatrônica
Eletrônica Digital

2) Dê pulsos na entrada de clock e anote os estados das saídas Q na tabela a seguir.


CK Q3 Q2 Q1 Q0
0
1
2
3
4
5
6

54
Curso Técnico em Mecatrônica
Eletrônica Digital

Aula 16 - Conversores D/A e A/D


1 Introdução
Os dados em um microprocessador estão em forma digital. Isto difere do mundo exterior onde os
dados estão em forma analógica (contínua). Para obter dados digitais, necessitamos de um
conversor analógico/digital (conversor A/D); ele converterá tensão ou corrente analógica em
uma “palavra” digital equivalente. Inversamente, depois de uma CPU (Central Processing Unit)
ter processado os dados, muitas vezes pode ser conveniente converter a resposta digital em uma
tensão ou corrente analógicas. Esta conversão requer um conversor digital-analógico
(conversor D/A). A figura abaixo ilustra esse processo.

A interface analógica é o limite ou a fronteira onde digital e analógico se encontram, onde o


microcomputador se conecta ao mundo exterior. Nesta interface, encontramos ou um conversor
A/D (lado de entrada) ou um conversor D/A (lado de saída).
Um exemplo da necessidade de conversores pode ser visto na transmissão digital. Suponha, por
exemplo, que se deseja transmitir um sinal proveniente de um microfone à entrada de um alto-
falante remoto. Um processo usado para transmitir o sinal sem o efeito do ruído (perturbação
aleatória imprevisível) é transmitir o sinal digitalmente. Portanto a primeira coisa a ser feita é
amplificar o sinal e convertê-lo para digital, utilizando um conversor A/D. Depois transmitir o
sinal digital e no ponto remoto restaurar o sinal digital (técnica facilmente obtida com
comparadores) e transformá-lo em sinal analógico utilizando conversor D/A e, em seguida,
aplicá-lo no alto-falante.
Os conversores D/A são mais simples do que os conversores A/D. Mais ainda, um conversor
D/A é frequentemente utilizado dentro da estrutura de conversores A/D. Por estas razões,
consideraremos primeiro os conversores D/A.

2. Conversores digitais-analógicos (DAC)


A melhor forma de descrever a relação entre
uma saída analógica e uma entrada digital é
através de uma representação gráfica. A figura
ao lado ilustra a saída de um conversor de 3 bits
tendo oito níveis discretos, compreendendo a
faixa desde 0 até 7/8 do fundo de escala.
Existe uma grande variedade de conversores
D/A, alguns fornecem uma tensão na saída e
outros fornecem uma corrente na saída, cujo
valor é proporcional à “palavra” digital aplicada
na entrada.
Embora um conversor digital-analógico possa
ser implementado apenas com resistores e
diodos, é mais comum o uso do amplificador

55
Curso Técnico em Mecatrônica
Eletrônica Digital

operacional para proporcionar tensões de saída em níveis


razoáveis, evitando valores muito baixos que ocorrem no caso
de resistores e diodos. O circuito ao lado é o básico de um
circuito somador com amplificador operacional. A tensão de
saída Vs deste circuito é dada por:
Vs = − Rr [ (Va/Ra) + (Vb/Rb) + (Vc/Rc) ]
Se Ra = Rb = Rc = R, o circuito faz a soma porque
Vs = − (Rr/R) ( Va + Vb +Vc )

2.1 Tipos básicos de Conversores D/A


As duas técnicas comumente usadas em conversores D/A são:
 rede de resistor com peso binário e
 rede R-2R.
Ambos os termos se relacionam com a malha de resistores utilizada no conversor. Estes dois
tipos são mais convenientemente descritos para o caso de tensão na saída, ou seja, a “palavra”
digital produz na saída um nível equivalente de tensão.

2.1.1 Conversor D/A com resistores de peso binário


Este tipo de conversor é
mostrado na figura ao lado.
Inclui uma tensão de referência,
um conjunto de chaves, um
conjunto de resistores de
precisão de peso binário e um
amplificador operacional. Cada
bit da palavra digital controla a
chave correspondente. Se o
valor do bit é o estado binário
1, a chave fecha em +VREF. Se é
0, a chave fecha em 0 [V].
Quando a chave fecha, a tensão
de referência é aplicada no extremo do resistor em série com a chave, e a corrente flui para o nó
somador. A soma das correntes no nó somador produz uma tensão na saída proporcional à
corrente total e, portanto, proporcional ao código digital.
As tensões VA, VB, VC e VD podem assumir valores iguais a +VREF e a zero, dependendo do
estado binário correspondente.
Então temos :
VA = A.VREF, VB = B.VREF, VC = C.VREF e VD = D.VREF
onde as letras A, B, C e D representam o estado binário.
Por exemplo: se a palavra digital é 0101, as tensões correspondentes são:
VA = VREF, VB = 0, VC = VREF e VD = 0
Usando esta linha de raciocínio e a teoria de amplificadores operacionais, podemos escrever a
tensão na saída como:

Fazendo: RA = 8 RD, RB = 4RD e RC = 2RD , e substituindo as tensões, temos:

56
Curso Técnico em Mecatrônica
Eletrônica Digital

Vemos pela equação acima que a tensão de saída é proporcional à palavra digital, caracterizando
assim um Conversor Digital-Analógico.
Em aplicações práticas, um conversor D/A de 12 bits necessita de uma faixa de valores de
resistores de 2048:1. Logo, se o valor do resistor MSB (bit mais significativo) for 10 K, o LSB
(bit menos significativo) deverá ter um resistor de 212-1x10 K= 2048 K= 20,48 M
tornando-o inviável. Portanto, este tipo de configuração é limitado a sistemas de baixa resolução
(até 5 bits) devido a larga faixa de valores de resistores envolvidos e a dificuldade em se
estabelecer o mesmo grau de tolerância e estabilidade para relações maiores do que 20:1.

Exercício
Projetar um conversor de 3 bits, de tal forma que a saída analógica possa variar entre 0 e 5V.
Dado VREF = – 5V.
Solução:
Com 3 bits, podemos ter 8 C B A VO (V)
estados diferentes, portanto a 0 0 0 0
única forma da saída 0 0 1 0,625
analógica variar entre 0 e 5V 0 1 0 1,250
é fazer com que cada 0 1 1 1,875
mudança do LSB provoque 1 0 0 2,500
um degrau de 5/8V na saída 1 0 1 3,125
analógica, como vemos na 1 1 0 3,750
tabela ao lado. 1 1 1 4,275
Da equação anterior, para o caso de 3 bits temos:

Para CBA = 001, VO = 5/8


Adotando RC = 2Ke Rf = 1K, temos:
RA = 4.RC = 8 KRB = 2.RC = 4 K

Suponha agora, que se deseja obter uma tensão na saída entre o mesmo valor, mas variando com
um degrau menor que 5/8 para que a representação do sinal digital seja mais precisa. É óbvio que
com 3 bits não podemos cumprir esta exigência, portanto a única maneira de fazermos isto é
aumentando o número de bits. Concluímos então, que a precisão está relacionada com o número
de bits do conversor.
Para 4 bits, temos 16 estados diferentes, com isto o valor do LSB deverá ser de 5/16 V e para
todos bits iguais a “1” teremos que a máxima tensão na saída será de

A necessidade de se aumentar o número de bits para se conseguir um melhor resultado na


conversão faz com que este tipo de conversor fique limitado a um número de bits relativamente
baixo, devido as considerações já apresentadas. Uma maneira de se contornar este problema é
utilizar a Rede R-2R, apresentada a seguir.

2.1.2 Conversor D/A com rede R-2R


A vantagem sensível deste tipo de conversor é a necessidade de se usar somente dois valores de
resistores na malha, ou seja, R e 2R. Com isto, a tecnologia já existente permite a construção de
57
Curso Técnico em Mecatrônica
Eletrônica Digital

conversores em circuitos integrados com número de bits acima de 8. Na rede R- 2R, cada
posição do bit contribui na saída, na proporção do peso binário.
Desde que a rede é linear, a operação pode ser analisada pela superposição: isto é, a contribuição
na tensão de saída de
cada bit pode ser
considerada
independente dos
outros bits.
Finalmente, todas as
contribuições são
somadas na entrada
do amplificador e
produz uma tensão
na saída proporcional
a palavra digital.
Considerando que
somente a chave SN-l
está fechada em +Vref enquanto que todas as outras
estão em zero, temos:
Nó N-l: A tensão no nó N-l, VNÓ, será:
VNÓ = VREF - 2R.I
Sabemos que :

Da teoria de amplificadores operacionais, a tensão na saída é:

Fazendo a mesma consideração no Nó N-2 temos:


Para calcularmos a tensão na saída, devemos calcular a tensão VNÓ:

58
Curso Técnico em Mecatrônica
Eletrônica Digital

Logo, a tensão V02 será :

Considerando ainda o Nó N-3


temos:
A tensão VNó será:

Logo,

Por analogia temos:

Usando o teorema da superposição e considerando a presença de uma palavra digital DCBA,


podemos escrever :

Novamente, temos a tensão de saída proporcional ao código digital DCBA, caracterizando um


conversor D/A.
Usando este tipo de rede, existem ainda várias versões de conversores D/A. As modificações
ocorrem na configuração do amplificador de saída e no modo em que se faz a comutação com as
chaves.
As figuras a seguir ilustram estas situações.

59
Curso Técnico em Mecatrônica
Eletrônica Digital

2.2 Especificações para Conversores D/A


Consideraremos agora vários parâmetros que servem para descrever a qualidade do desempenho
de um conversor D/A. Estes parâmetros são geralmente especificados pelos fabricantes dos
conversores.
Resolução
A resolução de um conversor D/A especifica o número de bits de entrada e, consequentemente, o
número de tensões na saída (ou correntes) possíveis. Por exemplo, um conversor ideal que pode
aceitar 10 bits de entrada é referido como um conversor com uma resolução de 10 bits. O
numero de possíveis tensões de saída é 210 1024. Assim, a mínima variação possível da tensão
de saída é 1/1024 da faixa de variação da saída. Aproximando-se 1024 por 1000, podemos
descrever a resolução como sendo de 1 parte em 1000 ou 0,1%.
Linearidade ou precisão relativa
Linearidade e precisão relativa são sinônimos usados para especificar conversores. Geralmente o
termo linearidade é usado para conversores D/A, enquanto que precisão relativa é usada para
conversores A/D. Em um conversor D/A ideal, iguais incrementos numéricos da entrada digital
deveriam nos dar incrementos iguais na saída analógica. Se analisarmos a saída de um conversor
D/A que consiste de um conjunto de pontos discretos, o erro de linearidade ou a não linearidade
pode ser definida como a máxima distância entre qualquer desses pontos discretos e a reta
traçada entre os pontos zero e o fundo de escala para uma conversão unipolar. Estes pontos são
ajustados pelo usuário (na prática) por um trimpot de ajuste.
Precisão
A precisão de um conversor é uma medida da diferença entre a tensão analógica obtida na saída
e aquela que o seria em um caso ideal. A falta de linearidade contribui para a imprecisão.
Maiores limitações na precisão são devidas à incerteza nas tensões de referência, ao ganho do
amplificador, ao OFFSET do amplificador, etc. As especificações típicas de um fabricante de um
conversor de qualidade razoável podem ser dadas como, por exemplo, “0,2% do valor do LSB”.
Tempo de acomodação
Quando a entrada digital varia, chaves se abrem e se fecham, surgindo variações de tensão.
Devidos às inevitáveis capacitâncias parasitas presentes no circuito passivo, os transientes
iniciados podem persistir por um tempo apreciável. Soma-se a isto o tempo de resposta do
amplificador operacional para os conversores com saídas em tensão. O intervalo compreendido

60
Curso Técnico em Mecatrônica
Eletrônica Digital

entre o instante da variação na entrada até o instante em que a saída se aproxima o suficiente de
seu valor final é chamado de tempo de acomodação.
Tempo de conversão
Tempo de conversão ou velocidade de conversão é o tempo necessário para o conversor fazer a
medida total, desde o instante em que o sinal digital aparece na entrada até o instante em que o
sinal analógico correspondente aparece na saída. O tempo de conversão para os conversores D/A
de alta velocidade é aproximadamente 10s ou menos. Conversores de velocidade moderada tem
um tempo de conversão entre 10 a 100s e os conversores considerados lentos apresentam tempo
de conversão de 100s ou mais.

2.3 Exemplos de Conversores D/A


Como exemplos de Conversores D/A disponíveis no mercado, temos:
DAC 0800
É um conversor D/A de 8 bits, monolítico, de alta velocidade, saída em corrente e com um
tempo de acomodação de aproximadamente 100ns para ±1/2 LSB.
Os conversores DAC0800L, DAC0802L, DAC0800LC, DAC0801LC e DA00802LC,
pertencentes à linha de conversores da National Semiconductor, são diretamente substituídos
pelos DAC08, DAC08C, DAC08E e DAC08H, respectivamente, pertencentes à série DAC08 da
Motorola.
DAC 0808
O conversor DAC0808 tem um tempo de acomodação de 150ns e uma precisão relativa de ±1/2
LSB; é, portanto, um conversor inferior ao DAC0800. Este conversor de 8 bits barato e
amplamente usado contém uma fonte de corrente do referência, uma escada R–2R, e oito chaves
a transistor para comandar as correntes binárias. Uma tensão e um resistor externos são usados
para ajustar a corrente de referência em um valor típico de 2mA. O DAC0808 é compatível com
os níveis lógicos TTL, DTL e CMOS e é diretamente substituível pelo MC1508/MC1408 da
Motorola.
MC 6890
O conversor MC6890 é de 8 bits e precisão de ± 0,19%, possui barramentos compatíveis com os
microprocessadores da série 6800, podendo ser diretamente “interfaceado” com estes micros.
Possui um tempo de acomodação em torno de 200ns e permite uma grande variação de tensão na
saída: +5, +l0, +20, ou ±2,5, ± 5 e ± 10 (V).

3. Conversores analógicos-digitais (ADC)

3.1 Introdução
Os conversores analógicos-digitais são circuitos ou componentes que, excitados por uma tensão
ou corrente, produzem um código digital equivalente. O ADC produzirá uma saída codificada, a
qual mudará de 1 LSB representando algum incremento ou decremento na tensão ou corrente de
entrada.
Os ADC’s são usados para compatibilizar a interface entre instrumentos digitais ou
computadores com o mundo analógico. Em qualquer sistema de instrumentação típico, algum
tipo de sinal deve ser aplicado ao computador. Este sinal, geralmente proveniente de um
amplificador, representará o valor de algum fenômeno analógico que foi convertido para sinais
elétricos através de transdutores.
Existem vários tipos de conversores A/D. As características de cada tipo definem as aplicações
típicas; portanto, devemos ter o conhecimento das técnicas empregadas para uma melhor
caracterização dos conversores A/D integrados.
61
Curso Técnico em Mecatrônica
Eletrônica Digital

Existem duas filosofias básicas de conversão que podem ser classificadas como medida de tempo
e comparação. A conversão tensão/frequência e integração são técnicas de conversão que
utilizam o principio de medida de tempo. Aproximação sucessiva e a conversão paralelo ilustram
o princípio de conversão por comparação.
Os conversores A/D são importantes em aplicações industriais, comerciais e militares. O
desenvolvimento da tecnologia tem produzido conversores A/D de baixo custo permitindo a
utilização destes CI’s em áreas de controle de processo, sinalização, telemetria e indústria
automotivas.

3.2 O Conversor A/D básico


A figura ao lado mostra o mais
simples e o menos usado dos
métodos de conversão A/D. Vent é
a tensão de entrada analógica. D3
a D0 constituem a saída digital. A
saída digital comanda um
conversor D/A, que produz uma
saída analógica Vsai. Quando a
linha CONT estiver alta, ou seja,
o amplificador operacional
(comparador) estiver saturado
positivamente o contador contará
para cima. Quando a linha CONT
estiver baixa (Amplificador operacional saturado negativamente) o contador interromperá a
contagem. Por conveniência um conversor D/A de 4 bits e um contador de 4 bits são usados, mas
a idéia aplica-se a qualquer número de bits.
Operação do conversor básico
A conversão A/D ocorre como segue. Primeiro, a linha INICIO apresenta-se em nível lógico
baixo, causando o reset no contador. Quando o pulso INICIO retornar a nível alto, o contador
estará pronto para funcionar. Inicialmente, Vsai é zero, portanto, o Amplificador Operacional tem
uma saída alta e CONT é alta. O contador começa contando para cima a partir de zero. Uma vez
que a saída do contador alimenta um conversor D/A, a saída do conversor é uma onda em escada
de tensão positiva. Enquanto Vent for maior do que Vsai, o Operacional terá uma saída saturada
positivamente, portanto, CONT permanece alta e a tensão em escada se mantém crescendo.
Em algum ponto ao longo da escada, o próximo degrau torna Vsai maior que Vent. Isto obriga o
Operacional a saturar negativamente inibindo a contagem do contador. Agora, a saída digital D3
a D0 é o equivalente digital da entrada analógica. A transição negativa do sinal CONT é usada
como um sinal de fim de conversão. Isto diz aos outros circuitos que a conversão A/D está
terminada.
Se a entrada analógica Vent for modificada, os circuitos externos deverão transmitir um outro
pulso INICIO para iniciar a conversão. Isto restabelece a contagem e começa um novo ciclo.
A principal desvantagem do método do contador é sua baixa velocidade. No pior caso (entrada
máxima) o contador tem que alcançar a contagem máxima antes que a tensão em escada seja
maior do que a entrada analógica.
Para um conversor de 8 bits, isto significa um tempo de conversão de 255 períodos de clock.
Para um conversor de 12 bits, o tempo de conversão é de 4.095 períodos de clock.

62
Curso Técnico em Mecatrônica
Eletrônica Digital

3.3 Conversor contador prático


A próxima figura ilustra o circuito de um conversor implementado com circuitos digitais básicos.
O conversor D/A de 3 bits pode ser do tipo rede R-2R ou do tipo resistores de peso binário.
Vamos supor inicialmente que a linha de controle H está no estado lógico 1. Este estado impede
a passagem do clock, inibindo o contador. Admitiremos ainda que a linha reset foi usada para
fazer a zeragem do contador. Neste caso
a saída também será 000.
Consideraremos ainda que a linha H seja
também usada para controlar a operação
do circuito S/H, de modo que o sinal de
entrada Va(t) seja amostrado quando H=1
e mantido quando H=0. Seja V0 = 1/2
LSB inicialmente, e a saída lógica do
comparador igual ao 1 lógico. Mudemos
H para H=0. A porta G0 é habilitada,
permitindo a passagem do clock para o
contador. A cada pulso do clock, o
contador avança um na contagem e a
saída V0 do conversor D/A salta de um
degrau. Em algum instante teremos V0 > Va. Neste instante, a saída C0 ficará com nível lógico 0,
inibindo o contador. A contagem presente nas saídas dos FFs é a saída digital e será proporcional
(exceto por um erro de quantização) à tensão analógica Va(t). Como temos, para a contagem, um
tempo suficientemente longo para assegurarmos que Vo>Va(t), poderemos então levar H para
H=1, permitindo a leitura da saída digital bem como possibilitar ao circuito S/H amostrar
novamente o sinal de entrada. Antes de retornarmos H para H=0, fazemos o reset por um breve
instante para zerar o contador. A transição negativa de H permite ao conversor iniciar um novo
ciclo de conversão.
No conversor A/D contador, bem como no conversor de aproximações sucessivas, é necessário
desajustarmos as saídas V0 do conversor D/A, colocando um sinal de offset. No caso presente,
este offset deverá ser feito no sentido de aumentar, ao invés de diminuir, o V0 de uma tensão
correspondente a 1/2 LSB. Façamos uma rápida análise no circuito anterior. Considerando a
tensão de offset igual a zero e a tensão do LSB igual a 1V, nos é permitido identificar a
necessidade de um ajuste. Para uma tensão de LSB igual a 1V, o erro máximo de quantização
deveria ser de ±0,5V . Suponha agora que Va é infinitesimalmente maior que 0V. No início da
conversão deveremos ter C0=1 e o contador avançará de um, parando em seguida. Isto nos dará
uma saída digital 001 e, portanto, 1V na saída do D/A. O erro de quantização correspondente
será de 1V. Por outro
lado, com um offset de
0,5V, o contador não
avançará de um até que
Va > 0,5V.
As formas de onda no
conversor são mostradas
na próxima figura.
Suponhamos, como
anteriormente, um
conversor D/A que nos
dá saídas (excluindo-se o
offset) de 0 a 7V para
entradas digitais 000 a
63
Curso Técnico em Mecatrônica
Eletrônica Digital

111, respectivamente. Devido ao formato de V0, o conversor é também chamado de conversor


rampa digital. Dois intervalos de conversão são mostrados. No primeiro, a tensão analógica se
situa entre 5,5 e 6,5V, e a saída digital é 110 = 6V. No segundo, Va se situa entre 2,5 e 3,5V, e a
saída é então 011. A maior entrada analógica que pode ser apresentada com um erro máximo de
quantização de 0,5V é novamente 7,5 V.
Para uma dada taxa de amostragem e um dado número de bits de saída, o conversar contador
geralmente precisa de uma clock muito mais rápido do que o conversor de aproximações
sucessivas. No conversor contador com N bits de saída, são necessários 2N períodos de clock
para uma operação de conversão (pior caso). No conversor de aproximações sucessivas (será
visto posteriormente), o número necessário é N (ou N+2 se incluirmos os intervalos de re1ógio
para fazer o reset e a leitura). De qualquer forma, a frequência do clock aumenta
exponencialmente com N no conversor contador e de forma linear no conversor por
aproximações sucessivas. O conversor A/D do tipo contador é geralmente restrito às frequências
de amostragem que são menores que 100KHz, enquanto que, com conversores por aproximações
sucessivas, taxas de amostragem da ordem de 1MHz são normais.

3.4 Servo-conversor
O conversor contador pode ser melhorado, à custa de um aumento de complexidade, pela
substituição do contador crescente por um contador crescente-decrescente. Tal conversor é
referido como um conversor de rampa digital contínuo, ou conversor de acompanhamento, ou
servo-conversor. O contador é comandado para contar para cima (crescente) ou para baixo
(decrescente), dependendo da saída do comparador estar no 1 ou 0 lógico, e de V0 ser maior ou
menor do que Va. Se inicialmente Va>V0, o contador conta para cima até que V0>Va. Neste
ponto o contador reverte. Se depois de uma contagem para baixo encontrarmos V0<Va, o
contador reverterá novamente e assim por diante. A tensão V0 variará ao redor de Va.
A figura ao lado ilustra a variação
de V0 em torno de Va. A saída pode
ser lida no final do tempo de
retenção. Comparado a um
conversor contador comum, na
versão contador temos um tempo de
conversão que é, em média, igual à
metade do tempo necessário para
uma conversão completa. Assim,
um servo-contador pode operar com
o dobro da velocidade.

3.5 Especificações de um conversor A/D


As especificações de um conversor A/D que normalmente são fornecidas pelos fabricantes
comerciais podem ser enumeradas como:
Tensão analógica de entrada
Esta especificação designa a máxima faixa de tensões analógicas de entrada permitidas.
Valores típicos são: 0 a 10 V, 5 V, 10 V, etc.
Impedância de entrada
Os valores variam de 1ka 1M, dependendo do tipo de conversor A/D. A capacitância da
entrada se situa na faixa das dezenas de picofarads.
Precisão do conversor

64
Curso Técnico em Mecatrônica
Eletrônica Digital

A precisão de um conversor A/D inclui o erro de quantização, o ruído do sistema digital


incluindo o que está presente na tensão de referência (usado no conversor D/A), desvios de
linearidade, etc. Em geral o ruído de quantização é especificado como 1/2 LSB. A precisão
também inclui a soma de todas as outras fontes de erro. Valores típicos são da ordem de 0,02%
da leitura de fim de escala. Conversores A/D de altíssima precisão, entretanto, podem ser
adquiridos com precisões de 0,001% da leitura de fundo de escala. A precisão de um conversor
geralmente determina o número de bits que podem ser utilizados. Como exemplo, considere um
conversor com uma escala analógica cobrindo a faixa 10 V. Se a precisão for de 0,02% do
fundo de escala, o erro máximo devido a tal limitação de precisão é de 2mV. Para 9, 10, 11 e 12
bits, os erros de quantização (1/2 LSB) são 10, 5, 2,5 e 1,25mV respectivamente. Há uma
vantagem em usarmos 10 bits no lugar de 9. Poderíamos ainda justificar o uso de 11 bits, mas 12
bits provavelmente não.
Estabilidade
A precisão do sistema é geralmente dependente da temperatura. Coeficientes típicos de erro de
temperatura são da ordem de 20ppm do FS por grau Celsius. Como exemplo, se um sinal de 10
V é aplicado a 75oC, temos como resultado um erro de (20 x 10-6)x(10)x(75 – 25) = 10mV. Com
um conversor A/D de 10 bits, e erro limita a resposta à de um dispositivo de 9 bits.
Tempo de conversão
É o tempo necessário para se realizar a conversão completa. Os tempos típicos de conversão
variam de 50s, para unidades de velocidade moderada, a 50ns para um dispositivo de alta
velocidade.
Formatos
Um conversor A/D pode ser obtido praticamente para qualquer código usado em geral: binário
unipolar, binário com desajuste, complemento de um, complemento de dois. Os níveis de tensão
de saída são geralmente ajustados de modo a possibilitar a conexão direta a algumas famílias
lógicas (TTL, CMOS, etc).

65
Curso Técnico em Mecatrônica
Eletrônica Digital

Referências Bibliográficas
 Casillo, L. A. Curso Ciência da Computação: Circuitos Combinacionais MSI – Parte 2.
Universidade Federal Rural do Semi-Árido

 Blogspot. Disponível em <http://muxedemux.blogspot.com.br/2011/07/transmissao-e-


recepcao-de-dados.html>. Acesso em 18.Jan.2014.

66

Anda mungkin juga menyukai