Anda di halaman 1dari 9

SEMINAR NASIONAL

FAKULTAS TEKNIK UNIVERSITAS NEGERI MAKASSAR

PERANCANGAN MODUL PEMBELAJARAN ELEKTRONIKA


DIGITAL ENCODER, DECODER, MULTIPLEXER DAN
DEMULTIPLEXER.
Sabran1*, Muliadi2
1,2
Dosen PTA FT Universitas Negeri Makassar
* sabran_fh66@yahoo.com

ABSTRAK

Penelitian ini bertujuan untuk untuk mendesain Modul Pembelajaran


Elektronika Digital Encoder, Decoder, Multiplexer dan Demultiplexer. Jenis
penelitian ini adalah penelitian eksperimental. Metode eksperimental dilakukan
dalam merancang Modul Pembelajaran Elektronika Digital Encoder, Decoder,
Multiplexer dan Demultiplexer. Hasil penelitian menunjukkan bahwa data output
rangkaian encoder setelah dimasukkan ke dalam rangkaian decoder maka
outputnya sama dengan input rangkaian encoder, begitupula data output
rangkaian multiplexer setelah dimasukan ke dalam rangkaian demultiplexer
maka outputnya sama dengan input rangkaian multiplexer.

Kata Kunci: Modul Pembelajaran, Encoder, Decoder, Multiplexer,


Demultiplexer

PENDAHULUAN Pembelajaran Elektronika Digital


Encoder, Decoder, Multiplexer dan
Praktek Elektronika digital adalah
Demultiplexer yang dapat digunakan
salah satu mata kuliah pada Jurusan
untuk pengambilan data percobaan Data
Pendidikan Teknik Elektronika Fakultas
Handling Circuit yang terdiri dari
Teknik Universitas Negeri Makassar
rangkaian Encoder, Decoder, Multiplexer
yang terdiri dari enam topic yaitu
dan Demultiplexer, selain itu dapat juga
Individual Logic Gate, Binary Memory
digunakan untuk pembahasan materi
Elemen, Sequential Logic, Aritmethic
Encoder, Decoder, Multiplexer dan
Elements, Data Handling Circuits dan
demultiplexer pada mata kuliah teknik
Memory. Data Handling Circuit terdiri
digital dan pengantar arsitektur komputer
dari Rangkaian Encoder, Decoder,
dengan cara simulasi.
Multiplexer dan Demultiplexer.
Menurut Tokheim (1990: 31)
Mahasiswa pada umumnya mengalami
Gerbang Logika (Logic gate) merupakan
kesulitan dalam memahami secara
dasar pembentukan system digital.
mendalam materi Data Handling Circuit
Gerbang logika beroperasi dengan
jika hanya disampaikan secara teori saja.
bilangan biner. Oleh karena itu gerbang
Data Handling Circuit memiliki
tersebut disebut gerbang logika biner.
rangkaian yang cukup rumit dibuat dan
Tegangan yang digunakan dalam gerbang
membutuhkan waktu yang lama seperti
logika adalah tinggi (HIGH) atau rendah
rangkaian Encoder, Decoder, Multiplexer
(LOW). Tegangan tinggi berarti biner 1
dan Demultiplexer. Pengambilan data
sedangkan tegangan rendah berarti biner
percobaan Data Handling Circuit
0. Gerbang logika merupakan rangkaian
terutama rangkaian multiplexer dan
elektronika yang hanya tanggap terhadap
demultiplexer masih sulit dilaksanakan
tegangan tinggi disebut satuan atau
secara maksimal karena keterbatasan
tegangan rendah yang disebut nol.
komponen yang ada pada Modul
Semua system digital disusun
Praktikum Elektronika Digital yang
hanya menggunakan tiga gerbang logika
sudah ada. Berdasarkan masalah
dasar. Gerbang-gerbang dasar ini disebut
tersebut, maka perlu dirancang Modul

443
SEMINAR NASIONAL
FAKULTAS TEKNIK UNIVERSITAS NEGERI MAKASSAR

gerbang AND, gerbang OR dan gerbang Adapun tujuan yang ingin dicapai
NOT. Sistem digital yang kompleks dalam penelitian ini adalah untuk
seperti komputer besar disusun dari mendesain Modul Pembelajaran
gerbang-gerbang logika dasar. Gerbang Elektronika Digital Encoder, Decoder,
AND, OR dan NOT adalah yang paling Multiplexer dan Demultiplexer.
dasar. Empat gerbang logika lain yang
bermanfaat dapat dibuat dari piranti dasar METODE
ini. Gerbang-gerbang lainnya disebut
Jenis penelitian ini adalah
gerbang NAND, gerbang NOR, gerbang
penelitian eksperimental. Metode
OR-eksklusif, dan gerbang NOR-
eksperimental dilakukan dalam
eksklusif. Pada pembahasan ini juga akan
merancang Modul Pembelajaran
dijabarkan tabel kebenaran dan aljabar
Elektronika Digital Encoder, Decoder,
Bolean untuk tujuh gerbang logika yang
Multiplexer dan Demultiplexer.
digunakan dalam system digital.
Perancangan dilakukan sesuai dengan
Menurut Willa (2007:41) Coder
prosedur perancangan yang telah disusun.
adalah salah satu rangkaian yang yang
berfungsi untuk mengubah suatu bentuk Prosedur perancangan yaitu: (1)
ke bentuk yang lain. Coder terdiri dari menyiapkan alat dan bahan, (2) membuat
encoder dan decoder. Rangkaian encoder desain rancangan yang meliputi Desain
dapat diaktifkan dengan cara Panel dan Desain Rangkaian dan
menghubungkan inputnya ke sumber Demultiplexer (3) membuat jalur PCB
tegangan +5 Volt dan outputnya rangkaian Modul Pembelajaran
dihubungkan dengan indikator atau seven Elektronika Digital Encoder, Decoder,
segmen. Rangkaian encoder dapat dibuat Multiplexer dan Demultiplexer, (4)
dengan menggunakan IC TTL 74147. memasang komponen pada jalur PCB
Decoder adalah rangkaian yang berfungsi yang telah dibuat, (5) membuat box
mengembalikkan bentuk yang Modul Pembelajaran Elektronika Digital
diencoderkan ke bentuk semula, decoder Encoder, Decoder, Multiplexer dan
dapat mengubah BCD ke bentuk decimal. Demultiplexer (6) membuat panel pada
Menurut Willa (2007:76) rotary box Modul Pembelajaran Elektronika
switch menunjukkan prinsip dasar dari Digital Encoder, Decoder, Multiplexer
multiplexer. Output rangkaian dan Demultiplexer (7) menghubungkan
multiplexer dengan 8 input dan 1 output rangkaian dengan panel Modul
bergantung posisi switch yang dapat Pembelajaran Elektronika Digital
dipindahkan atau sesuai dengan Encoder, Decoder, Multiplexer dan
kebutuhan. Bila posisi switch berada Demultiplexer, (8) menguji coba Modul
pada titik 1, maka input 1 yang akan lolos Pembelajaran Elektronika Digital
ke output. Rotary switch juga disebut Encoder, Decoder, Multiplexer dan
data selektor mekanik, sedangkan data Demultiplexer yang telah dibuat, (9)
selektor elektronik adalah multiplexer. melakukan pengambilan data.
Multiplexer dapat dibangun dari Prosedur pengujian dilakukan
kombinasi gerbang-gerbang NOT, AND dengan langkah-langkah sebagai berikut:
dan OR sesuai dengan jumlah input yang Langkah pertama, Pengujian
diinginkan. Jumlah jalur data selektor Rangkaian Encoder: (1) atur SW3 pada
harus sebanding dengan jumlah jalur posisi high atau logika 1, SW4, SW5, dan
input yang dikehendaki. Untuk 4 input SW6 pada posisi low atau logika 0. (2)
membutuhkan 2 data selektor, 8 input amati kondisi lampu indikator L1 pada
membutuhkan 3 selektor data dan 16 output A dan lampu indikator L2 pada
input membutuhkan 4 data selektor. output B dan catat hasil pengamatan pada
tabel 1, (3) atur SW4 pada posisi high

444
SEMINAR NASIONAL
FAKULTAS TEKNIK UNIVERSITAS NEGERI MAKASSAR

atau logika 1, SW3, SW5, dan SW6 pada selektor data Y pada posisi high atau
posisi low atau logika 0, (4) amati logika 1, (2) amati kondisi lampu
kondisi lampu indikator L1 pada output indikator L7 yang berfungsi sebagai
A dan lampu indikator L2 pada output B output Multiplexer W dan catat hasil
dan catat hasil pengamatan pada tabel 1, pengamatan pada tabel 3, (3) atur semua
(5) atur SW5 pada posisi high atau logika saklar SW10, SW9, SW8, dan SW7 pada
1, SW3, SW4, dan SW6 pada posisi low posisi low atau logika 0, atur posisi
atau logika 0, (6) amati kondisi lampu SW11 yang berfungsi sebagai selektor
indikator L1 pada output A dan lampu data X pada posisi low atau logika 0 dan
indikator L2 pada output B dan catat hasil atur SW12 yang berfungsi sebagai
pengamatan pada tabel 1, (7) atur SW6 selektor data Y pada posisi high atau
pada posisi high atau logika 1, SW3, logika 1, (4) amati kondisi lampu
SW4, dan SW5 pada posisi low atau indikator L7 yang berfungsi sebagai
logika 0, (8) amati kondisi lampu output Multiplexer W dan catat hasil
indikator L1 pada output A dan lampu pengamatan pada tabel 3, (5) atur semua
indikator L2 pada output B dan catat hasil saklar SW10, SW9, SW8, dan SW7 pada
pengamatan pada tabel1. posisi low atau logika 0, atur posisi
Langkah kedua, Pengujian SW11 yang berfungsi sebagai selektor
Rangkaian Decoder: (1) atur SW2 = input data X pada posisi low atau logika 0 dan
B pada posisi low atau logika 0, dan SW1 atur SW12 yang berfungsi sebagai
= input A pada posisi low atau logika 0, selektor data Y pada posisi low atau
(2) amati kondisi lampu indikator L3, logika 0, (6) amati kondisi lampu
L4, L5, dan L6 pada output rangkaian indikator L7 yang berfungsi sebagai
decoder dan catat hasil pengamatan pada output Multiplexer W dan catat hasil
tabel 2, (3) atur SW2 = input B pada pengamatan pada tabel 3, (7) atur semua
posisi low atau logika 0 dan SW1 = input saklar SW10, SW9, SW8, dan SW7 pada
A pada posisi high atau logika 1, (4) posisi low atau logika 0, atur posisi
amati kondisi lampu indikator L3, L4, SW11 yang berfungsi sebagai selektor
L5, dan L6 pada output rangkaian data X pada posisi high atau logika 1 dan
decoder dan catat hasil pengamatan pada atur SW12 yang berfungsi sebagai
tabel 2, (5) atur SW2 = input B pada selektor data Y pada posisi low atau
posisi high atau logika 1 dan SW1 = logika 0, (8) amati kondisi lampu
input A pada posisi low atau logika 0, (6) indikator L7 yang berfungsi sebagai
amati kondisi lampu indikator L3, L4, output Multiplexer W dan catat hasil
L5, dan L6 pada output rangkaian pengamatan pada tabel 3, (9) atur saklar
decoder dan catat hasil pengamatan pada SW10, SW9, SW8 pada posisi low atau
tabel 2, (7) atur SW2 = input B pada logika 0, SW7 pada posisi high dan atur
posisi high atau logika 1 dan SW1 = SW11 yang berfungsi sebagai selektor
input A pada posisi high atau logika 1, data X pada posisi high atau logika 1 dan
(8) amati kondisi lampu indikator L3, atur SW12 yang berfungsi sebagai
L4, L5, dan L6 pada output rangkaian selektor data Y pada posisi high atau
decoder dan catat hasil pengamatan pada logika 1, (10) amati kondisi lampu
tabel 2. indikator L7 yang berfungsi sebagai
Langkah ketiga, Pengujian output Multiplexer W dan catat hasil
Rangkaian Multiplexer: (1) atur semua pengamatan pada tabel 3, (11) atur saklar
saklar SW10, SW9, SW8, dan SW7 pada SW10, SW9, SW8 pada posisi low atau
posisi low atau logika 0, atur posisi logika 0, SW7 pada posisi high atau
SW11 yang berfungsi sebagai selektor logika 1 dan atur SW11 yang berfungsi
data X pada posisi high atau logika 1 dan sebagai selektor data X pada posisi low
atur SW12 yang berfungsi sebagai atau logika 0 dan atur SW12 yang

445
SEMINAR NASIONAL
FAKULTAS TEKNIK UNIVERSITAS NEGERI MAKASSAR

berfungsi sebagai selektor data Y pada sebagai selektor data X pada posisi low
posisi high atau logika 1, (12) amati atau logika 0 dan atur SW12 yang
kondisi lampu indikator L7 yang berfungsi sebagai selektor data Y pada
berfungsi sebagai output Multiplexer W posisi low atau logika 0, (22) amati
dan catat hasil pengamatan pada tabel 3, kondisi lampu indikator L7 yang
(13) atur saklar SW10, SW9, SW8 pada berfungsi sebagai output Multiplexer W
posisi low atau logika 0, SW7 pada dan catat hasil pengamatan pada tabel 3,
posisi high atau logika 1, dan atur SW11 (23) atur saklar SW10, SW9, SW7 pada
yang berfungsi sebagai selektor data X posisi low atau logika 0, SW8 pada
pada posisi low atau logika 0 dan atur posisi high atau pada posisi 1 dan atur
SW12 yang berfungsi sebagai selektor SW11 yang berfungsi sebagai selektor
data Y pada posisi low atau logika 0, (14) data X pada posisi high atau logika 1 dan
amati kondisi lampu indikator L7 yang atur SW12 yang berfungsi sebagai
berfungsi sebagai output Multiplexer W selektor data Y pada posisi low atau
dan catat hasil pengamatan pada tabel 3, logika 0, (24) amati kondisi lampu
(15) atur saklar SW10, SW9, SW8 pada indikator L7 yang berfungsi sebagai
posisi low atau logika 0, SW7 pada output Multiplexer W dan catat hasil
posisi high atau pada posisi 1 dan atur pengamatan pada tabel 3, (25) atur saklar
SW11 yang berfungsi sebagai selektor SW10, SW8, SW7 pada posisi low atau
data X pada posisi high atau logika 1 dan logika 0, SW9 pada posisi high atau pada
atur SW12 yang berfungsi sebagai posisi 1 dan atur SW11 yang berfungsi
selektor data Y pada posisi low atau sebagai selektor data X pada posisi high
logika 0, (16) amati kondisi lampu atau logika 1 dan atur SW12 yang
indikator L7 yang berfungsi sebagai berfungsi sebagai selektor data Y pada
output Multiplexer W dan catat hasil posisi high atau logika 1, (26) amati
pengamatan pada tabel 3, (17) atur saklar kondisi lampu indikator L7 yang
SW10, SW9, SW7 pada posisi low atau berfungsi sebagai output Multiplexer W
logika 0, SW8 pada posisi high atau pada dan catat hasil pengamatan pada tabel 3,
posisi 1 dan atur SW11 yang berfungsi (27) atur saklar SW10, SW8, SW7 pada
sebagai selektor data X pada posisi high posisi low atau logika 0, SW9 pada
atau logika 1 dan atur SW12 yang posisi high atau pada posisi 1 dan atur
berfungsi sebagai selektor data Y pada SW11 yang berfungsi sebagai selektor
posisi high atau logika 1, (18) amati data X pada posisi low atau logika 0 dan
kondisi lampu indikator L7 yang atur SW12 yang berfungsi sebagai
berfungsi sebagai output Multiplexer W selektor data Y pada posisi high atau
dan catat hasil pengamatan pada tabel 3, logika 1, (28) Amati kondisi lampu
(19) atur saklar SW10, SW9, SW7 pada indikator L7 yang berfungsi sebagai
posisi low atau logika 0, SW8 pada output Multiplexer W dan catat hasil
posisi high atau pada posisi 1 dan atur pengamatan pada tabel 3, (29) atur saklar
SW11 yang berfungsi sebagai selektor SW10, SW8, SW7 pada posisi low atau
data X pada posisi low atau logika 0 dan logika 0, SW9 pada posisi high atau pada
atur SW12 yang berfungsi sebagai posisi 1 dan atur SW11 yang berfungsi
selektor data Y pada posisi high atau sebagai selektor data X pada posisi low
logika 1, (20) amati kondisi lampu atau logika 0 dan atur SW12 yang
indikator L7 yang berfungsi sebagai berfungsi sebagai selektor data Y pada
output Multiplexer W dan catat hasil posisi low atau logika 0, (30) amati
pengamatan pada tabel 3, (21) atur saklar kondisi lampu indikator L7 yang
SW10, SW9, SW7 pada posisi low atau berfungsi sebagai output Multiplexer W
logika 0, SW8 pada posisi high atau pada dan catat hasil pengamatan pada tabel 3,
posisi 1 dan atur SW11 yang berfungsi (31) atur saklar SW10, SW8, SW7 pada

446
SEMINAR NASIONAL
FAKULTAS TEKNIK UNIVERSITAS NEGERI MAKASSAR

posisi low atau logika 0, SW9 pada Multiplexer W dan catat hasil
posisi high atau pada posisi 1 dan atur pengamatan pada tabel 3.
SW11 yang berfungsi sebagai selektor Langkah keempat, Pengujian
data X pada posisi high atau logika 1 dan Rangkaian Demultiplexer: (1) atur saklar
atur SW12 yang berfungsi sebagai SW13 sebagai input demultiplexer W
selektor data Y pada posisi low atau pada posisi low atau logika 0, atur posisi
logika 0, (32) amati kondisi lampu SW5 yang berfungsi sebagai selektor data
indikator L7 yang berfungsi sebagai X pada posisi low atau logika 0, dan atur
output Multiplexer W dan catat hasil SW6 yang berfungsi sebagai selektor data
pengamatan pada tabel 3, (33) atur saklar Y pada posisi low atau logika 0, (2)
SW9, SW8, SW7 pada posisi low atau amati kondisi lampu indikator L8
logika 0, SW10 pada posisi high atau sebagai output A, L9 sebagai output B,
logika 1 dan atur SW11 yang berfungsi L10 sebagai output C dan L11 sebagai
sebagai selektor data X pada posisi high output D dan catat hasil pengamatan
atau logika 1 dan atur SW12 yang pada tabel 4, (3) Atur saklar SW13
berfungsi sebagai selektor data Y pada sebagai input demultiplexer W pada
posisi high atau logika 1, (34) amati posisi low atau logika 0, atur posisi SW5
kondisi lampu indikator L7 yang yang berfungsi sebagai selektor data X
berfungsi sebagai output Multiplexer W pada posisi low atau logika 0, dan atur
dan catat hasil pengamatan pada tabel 3, SW6 yang berfungsi sebagai selektor data
(35) atur saklar SW9, SW8, SW7 pada Y pada posisi high atau logika 1, (4)
posisi low atau logika 0, SW10 pada amati kondisi lampu indikator L8
posisi high atau logika 1 dan atur SW11 sebagai output A, L9 sebagai output B,
yang berfungsi sebagai selektor data X L10 sebagai output C dan L11 sebagai
pada posisi low atau logika 0 dan atur output D dan catat hasil pengamatan
SW12 yang berfungsi sebagai selektor pada tabel 4, (5) atur saklar SW13
data Y pada posisi high atau logika 1, sebagai input demultiplexer W pada
(36) amati kondisi lampu indikator L7 posisi low atau logika 0, atur posisi SW5
yang berfungsi sebagai output yang berfungsi sebagai selektor data X
Multiplexer W dan catat hasil pada posisi high atau logika 1, dan atur
pengamatan pada tabel 3, (37) atur saklar SW6 yang berfungsi sebagai selektor data
SW9, SW8, SW7 pada posisi low atau Y pada posisi low atau logika 0, (6)
logika 0, SW10 pada posisi high atau amati kondisi lampu indikator L8
logika 1 dan atur SW11 yang berfungsi sebagai output A, L9 sebagai output B,
sebagai selektor data X pada posisi low L10 sebagai output C dan L11 sebagai
atau logika 0 dan atur SW12 yang output D dan catat hasil pengamatan
berfungsi sebagai selektor data Y pada pada tabel 4, (7) atur saklar SW13
posisi low atau logika 0, (38) amati sebagai input demultiplexer W pada
kondisi lampu indikator L7 yang posisi low atau logika 0, atur posisi SW5
berfungsi sebagai output Multiplexer W yang berfungsi sebagai selektor data X
dan catat hasil pengamatan pada tabel 3, pada posisi high atau logika 1, dan atur
(39) atur saklar SW9, SW8, SW7 pada SW6 yang berfungsi sebagai selektor data
posisi low atau logika 0, SW10 pada Y pada posisi high atau logika 1, (8)
posisi high atau logika 1 dan atur SW11 amati kondisi lampu indikator L8
yang berfungsi sebagai selektor data X sebagai output A, L9 sebagai output B,
pada posisi high atau logika 1 dan atur L10 sebagai output C dan L11 sebagai
SW12 yang berfungsi sebagai selektor output D dan catat hasil pengamatan
data Y pada posisi low atau logika 0, pada tabel 4, (9) atur saklar SW13
(40) amati kondisi lampu indikator L7 sebagai input demultiplexer W pada
yang berfungsi sebagai output posisi high atau logika 1, atur posisi SW5

447
SEMINAR NASIONAL
FAKULTAS TEKNIK UNIVERSITAS NEGERI MAKASSAR

yang berfungsi sebagai selektor data X mengikuti prosedur pengujian. Data hasil
pada posisi low atau logika 0, dan atur pengamatan dimasukkan pada bagian
SW6 yang berfungsi sebagai selektor data output tabel hasil pengamatan masing-
Y pada posisi low atau logika 0, (10) masing rangkaian.
amati kondisi lampu indikator L8
sebagai output A, L9 sebagai output B, HASIL DAN PEMBAHASAN
L10 sebagai output C dan L11 sebagai
output D dan catat hasil pengamatan Hasil
pada tabel 4, (11) atur saklar SW13 Pada tahap ini akan ditunjukkan
sebagai input demultiplexer W pada hasil desain modul pembelajaran
posisi high atau logika 1, atur posisi SW5 elektronika digital Encoder, Decoder,
yang berfungsi sebagai selektor data X Multiplexer, dan Demultiplexer.
pada posisi low atau logika 0, dan atur Desain hasil rancangan panel depan
SW6 yang berfungsi sebagai selektor data modul pembelajaran elektronika digital
Y pada posisi high atau logika 1, (12) Encoder, Decoder, Multiplexer, dan
amati kondisi lampu indikator L8 Demultiplexer ditunjukkan pada gambar
sebagai output A, L9 sebagai output B, 1 yang terdiri dari saklar ON/OFF yang
L10 sebagai output C dan L11 sebagai berfungsi menyambung dan memutus
output D dan catat hasil pengamatan arus dan tegangan listrik AC dari jala-jala
pada tabel 4, (13) atur saklar SW13 listrik PLN ke modul pembelajaran
sebagai input demultiplexer W pada elektronika digital Encoder, Decoder,
posisi high atau logika 1, atur posisi SW5 Multiplexer, dan Demultiplexer. Saklar
yang berfungsi sebagai selektor data X ON/OFF dalam kondisi ON jika posisi
pada posisi high atau logika 1, dan atur saklar ke atas dan saklar dalam kondisi
SW6 yang berfungsi sebagai selektor data OFF jika posisi saklar ke bawah.
Y pada posisi low atau logika 0, (14)
amati kondisi lampu indikator L8
sebagai output A, L9 sebagai output B,
L10 sebagai output C dan L11 sebagai
output D dan catat hasil pengamatan
pada tabel 4, (15) atur saklar SW13
sebagai input demultiplexer W pada
posisi high atau logika 1, atur posisi SW5 Gambar 1 Panel Depan Modul
yang berfungsi sebagai selektor data X
pada posisi high atau logika 1, dan atur Desain hasil rancangan panel
SW6 yang berfungsi sebagai selektor data belakang modul pembelajaran elektronika
Y pada posisi high atau logika 1, (16) digital Encoder, Decoder, Multiplexer,
amati kondisi lampu indikator L8 dan Demultiplexer ditunjukkan pada
sebagai output A, L9 sebagai output B, gambar 2 yang terdiri dari soket AC cord
L10 sebagai output C dan L11 sebagai yang berfungsi menghubungkan kabel
output D dan catat hasil pengamatan AC cord dengan jala-jala listrik AC dari
pada tabel 4. PLN.
Teknik pengambilan data dilakukan
dengan ujicoba Modul Pembelajaran
Elektronika Digital Encoder, Decoder,
Multiplexer dan Demultiplexer dengan
mengikuti metode dan prosedur
pengujian. Data yang diambil adalah data
output rangkaian Encoder, Decoder, Gambar 2 Panel Belakang Modul
Multiplexer dan Demultiplexer dengan Pembelajaran Elektronika

448
SEMINAR NASIONAL
FAKULTAS TEKNIK UNIVERSITAS NEGERI MAKASSAR

Digital Encoder, Decoder,


Multiplexer,dan Demultiplexer
Tabel 2 Hasil uji coba rangkaian decoder
Desain hasil rancangan panel atas
Tabel kebenaran Rangkaian Decoder
modul pembelajaran elektronika digital Input Output
Encoder, Decoder, Multiplexer, dan SW2=B SW1=A L1=0 L2=1 L3=2 L4=3
Demultiplexer ditunjukkan pada gambar
5.3 yang terdiri dari 15 saklar (SW-1 s.d. 0 0 1 0 0 0
SW-15) yang berfungsi menghubungkan 0 1 0 1 0 0
1 0 0 0 1 0
input rangkaian ke sumber tegangan +5 1 1 0 0 0 1
Volt dengan mengatur posisi saklar pada
posisi ke atas sehingga input rangkaian Tabel 3 Hasil uji coba rangkaian
akan berlogika 1 (satu) atau multiplexer
menguhubungkan input rangkaian ke
ground dengan mengatur saklar pada Tabel kebenaran Rangkaian Multiplexer
Outpu
posisi ke bawah sehingga input rangkaian Input Selektor Data
t
akan berlogika 0 (nol). SW10=D SW9=C SW8=B SW7=A SW11=X SW12=Y L7=W

0 0 0 0 1 1 0
0 0 0 0 0 1 0
0 0 0 0 0 0 0
0 0 0 0 1 0 0
0 0 0 1 1 1 1
0 0 0 1 0 1 0
0 0 0 1 0 0 0
0 0 0 1 1 0 0
0 0 1 0 1 1 0
0 0 1 0 0 1 1
0 0 1 0 0 0 0
0 0 1 0 1 0 0
0 1 0 0 1 1 0
0 1 0 0 0 1 0
0 1 0 0 0 0 1
0 1 0 0 1 0 0
1 0 0 0 1 1 0
1 0 0 0 0 1 0
Gambar 3 Panel Atas Modul 1 0 0 0 0 0 0
Pembelajaran Elektronika 1 0 0 0 1 0 1
Digital Encoder, Decoder, Tabel 4 Tabel pengujian Rangkaian
Multiplexer, dan Demultiplexer
Demultiplexer
Tabel kebenaran Rangkaian Demultiplexer
Tabel 1 Hasil uji coba rangkaian encoder Input Output
Tabel kebenaran Rangkaian Encoder SW13=WSW14=XSW15=YL8=AL9=BL10=CL11=D
Input Output
SW6=3 SW5=2 SW4=1 SW3=0 L2=B L1=A 0 0 0 0 0 0 0
0 0 1 0 0 0 0
0 0 0 1 0 0 0 1 0 0 0 0 0
0 0 1 0 0 1 0 1 1 0 0 0 0
0 1 0 0 1 0 1 0 0 0 0 1 0
1 0 0 0 1 1 1 0 1 0 1 0 0
1 1 0 0 0 0 1
1 1 1 1 0 0 0

449
SEMINAR NASIONAL
FAKULTAS TEKNIK UNIVERSITAS NEGERI MAKASSAR

Hasil uji coba modul modul data output W sama dengan B, jika
pembelajaran elektronika digital encoder, selektor data X dan Y tidak diaktifkan
decoder, multiplexer, dan demultiplexer maka output W sama dengan C dan jika
terdiri dari hasil uji coba rangkaian selektor data X yang diaktifkan, maka
encoder, rangkaian decoder, rangkaian data output W sama dengan D. Hasil ini
multiplexer, dan rangkaian demultiplexer. sesuai dengan prinsip dasar dari
Hasil uji coba rangkain encoder multiplexer.
ditunjukkan pada Tabel 1, hasil uji coba Berdasarkan hasil uji coba modul
rangkaian decoder ditunjukkan pada pembelajaran elektronika digital encoder,
Tabel 2, hasil uji coba rangkaian decoder, multiplexer dan demultiplexer
multiplexer ditunjukkan pada Tabel 3, pada rangkaian demultiplexer seperti
dan hasil uji coba rangkaian pada tabel 5.4 menunjukkan bahwa jika
demultiplexer ditunjukkan pada Tabel 4. input W aktif dan selektor X dan Y aktif,
maka output yang aktif adalah A. Jika
Pembahasan
input W aktif dan selektor data Y yang
Berdasarkan hasil uji coba modul aktif maka output yang aktif adalah B,
pembelajaran elektronika digital encoder, jika input W aktif dan selektor data X dan
decoder, multiplexer dan demultiplexer Y tidak aktif maka output yang aktif
pada rangkaian encoder seperti pada tabel adalah C, dan jika input W aktif dan
5.1 menunjukkan bahwa jika input 0 selektor data X yang aktif maka output
diaktifkan maka output A dan B tidak yang aktif adalah D. Hasil ini sesuai
aktif. Jika input 1 yang diaktifkan maka dengan prinsip dasar dari demultiplexer.
output A yang aktif, jika input 2
diaktifkan maka output B yang aktif dan SIMPULAN
jika input 3 diaktifkan maka output A dan Berdasarkan hasil dan pembahasan,
B yang aktif. Hasil ini sesuai dengan maka dapat ditarik simpulan sebagai
prinsip encoder yang mengubah angka berikut: Modul pembelajaran elektronika
desimal menjadi biner. digital encoder, decoder, multiplexer dan
Berdasarkan hasil uji coba modul demultiplexer dapat dibuat dengan
pembelajaran elektronika digital encoder, menggunakan komponen elektronika
decoder, multiplexer dan demultiplexer digital hex inverters, quad 2-input AND
pada rangkaian decoder seperti pada tabel gate, triple 3-input AND gate, dual 4-
5.2 menunjukkan bahwa jika input A dan input OR gate, quad 2-input OR gate, dan
B tidak aktif maka output yang aktif data output rangkaian encoder
adalah 0. Jika input A aktif maka output setelah dimasukkan ke dalam rangkaian
yang aktif adalah 1, jika input B yang decoder maka outputnya sama dengan
aktif maka output yang aktif adalah 2, input rangkaian encoder. Begitupula data
dan jika input A dan B aktif, maka output output rangkaian multiplexer setelah
yang aktif adalah 3. Hasil ini sesuai dimasukan ke dalam rangkaian
dengan prinsip decoder yang demultiplexer maka outputnya sama
mengembalikkan angka desimal dengan dengan input rangkaian multiplexer.
input angka biner.
Berdasarkan hasil uji coba modul DAFTAR PUSTAKA
pembelajaran elektronika digital encoder,
decoder, multiplexer dan demultiplexer Rhiza S. Sadjad, 2011. Memori,
pada rangkaian multiplexer seperti pada (Online)
tabel 5.3 menunjukkan bahwa jika (http://www.unhas.ac.id/rhiza/arsip/
selektor data X dan Y diaktifkan maka
kuliah/Arsitektur
data output W sama dengan A. Jika
selektor data Y yang diaktifkan maka Komputer/arsitektur%20komputer/

450
SEMINAR NASIONAL
FAKULTAS TEKNIK UNIVERSITAS NEGERI MAKASSAR

modul_11_-_memori.pdf diakses
20 Maret 2012)
Suhaeb, Sutarsi dan Muliadi, 2012.
Pengembangan Modul Praktikum
Elektronika Digital Dengan Topik
Memori RAM pada Mata Kuliah
Praktek Elektronika Digital. PNBP
UNM: Makassar.
Tokheim, Roger L. 1990. Elektronika
Digital. Erlangga: Jakarta.
Willa, Lukas. 2007. Teknik Digital,
Mikroprosessor, dan
Mikrokomputer. Informatika:
Bandung.
------------- Memori Semikonduktor ram,
rom, prom, eprom, eeprom,
eaprom, (Online)
(http://otomasiindustri.webs.com/6
Memori%20%20Semikonduktor%2
0ram,%20rom,%20prom.pdf
diakses 20 Maret 2014)
-------------Gerbang Logika Dasar,
(Online) (http://lecturer.eepis-
its.edu/~prima/elektronika%20digit
al/elektronika_digital1/bahan_ajar/
Bab2_gerbang%20logika%20dasar.
pdf diakses 20 Maret 2014)
------------- 2004. The Experimental Test
ED-1400 Logic Trainer. ED Co.,
Ltd. Korea
-----------..2014.http://images.alfianaceh.
multiply.multiplycontent.com/attac
hment/0/R@6WbgoKCrwAACqNc
X01/Gerbang%20Logika.pdf?nmid
=88644043 diakses 20 Maret 2014

451

Anda mungkin juga menyukai