FIEE
Diseño Digital
“Problemas Propuestos”
Profesor:
- Ing. Alfredo Granados Ly
Alumno:
- Segovia Pujaico Álvaro Saúl 15190039
Horario:
- Sábado 11 a 2 pm
Diagrama de estados
z=0
lm,dec,ln,la,clr,ena
Código VHDL
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity sumas8bits is
end sumas8bits;
signal es,ep:estado;
begin
process(reloj)
begin
if rising_edge(reloj) then
ep<=es;
down<=entrada1;
A <= A + B;
end if;
end if;
end process;
process(ep)
begin
es<=ep;
end if;
es <= s2;
end if;
es <= s3;
end case;
end process;
end behavior;
Simulaciones
Diagrama de estados
Inicio=1 z=1
Inicio=0
z=0
lm,dec,ln,la,clr,ena
Código VHDL
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity sumadespl is
port( inicio,reloj : in std_logic;
entrada1,entrada2 : in std_logic_vector(7 downto 0);
salida : out std_logic_vector(15 downto 0));
end sumadespl;
process(ep)
begin
es<=ep;
case ep is
when s0 => lm<='0'; dd<='0'; ln<='0'; di<='0'; la<='0'; clr<='0';ena<='0';
if inicio='1' then
es<=s1; else es<=s0; end if;
end case;
end process;
end behavior;
Simulaciones