Anda di halaman 1dari 85

ACSP · Analog Circuits And Signal Processing

Hani Saleh
Nourhan Bayasi
Baker Mohammad
Mohammed Ismail

Self-powered SoC
Platform for Analysis
and Prediction of
Cardiac Arrhythmias
Analog Circuits and Signal Processing

Series editors
Mohammed Ismail, Dublin, USA
Mohamad Sawan, Montreal, Canada
The Analog Circuits and Signal Processing book series, formerly known as the
Kluwer International Series in Engineering and Computer Science, is a high level
academic and professional series publishing research on the design and applications
of analog integrated circuits and signal processing circuits and systems. Typically
per year we publish between 5-15 research monographs, professional books,
handbooks, edited volumes and textbooks with worldwide distribution to engineers,
researchers, educators, and libraries.
The book series promotes and expedites the dissemination of new research
results and tutorial views in the analog field. There is an exciting and large volume
of research activity in the field worldwide. Researchers are striving to bridge the gap
between classical analog work and recent advances in very large scale integration
(VLSI) technologies with improved analog capabilities. Analog VLSI has been
recognized as a major technology for future information processing. Analog work is
showing signs of dramatic changes with emphasis on interdisciplinary research
efforts combining device/circuit/technology issues. Consequently, new design
concepts, strategies and design tools are being unveiled.
Topics of interest include:
Analog Interface Circuits and Systems;
Data converters;
Active-RC, switched-capacitor and continuous-time integrated filters;
Mixed analog/digital VLSI;
Simulation and modeling, mixed-mode simulation;
Analog nonlinear and computational circuits and signal processing;
Analog Artificial Neural Networks/Artificial Intelligence;
Current-mode Signal Processing; Computer-Aided Design (CAD) tools;
Analog Design in emerging technologies (Scalable CMOS, BiCMOS, GaAs,
heterojunction and floating gate technologies, etc.);
Analog Design for Test;
Integrated sensors and actuators; Analog Design Automation/Knowledge-based
Systems; Analog VLSI cell libraries; Analog product development;RF Front ends,
Wireless communications and Microwave Circuits;
Analog behavioral modeling, Analog HDL.

More information about this series at http://www.springer.com/series/7381


Hani Saleh • Nourhan Bayasi
Baker Mohammad • Mohammed Ismail

Self-powered SoC Platform


for Analysis and Prediction
of Cardiac Arrhythmias
Hani Saleh Nourhan Bayasi
Department of Electronic Engineering Department of Electrical and Computer
Khalifa University of Science, Technology Engineering
and Research Khalifa University of Science, Technology
Abu Dhabi, United Arab Emirates and Research
Abu Dhabi, United Arab Emirates
Baker Mohammad
Department of Electronic Engineering Mohammed Ismail
Khalifa University of Science, Technology Department of Electrical and Computer
and Research Engineering Department
Abu Dhabi, United Arab Emirates Khalifa University of Science, Technology
and Research
Abu Dhabi, United Arab Emirates

ISSN 1872-082X     ISSN 2197-1854 (electronic)


Analog Circuits and Signal Processing
ISBN 978-3-319-63972-7    ISBN 978-3-319-63973-4 (eBook)
DOI 10.1007/978-3-319-63973-4

Library of Congress Control Number: 2017953939

© Springer International Publishing AG 2018


This work is subject to copyright. All rights are reserved by the Publisher, whether the whole or part of
the material is concerned, specifically the rights of translation, reprinting, reuse of illustrations, recitation,
broadcasting, reproduction on microfilms or in any other physical way, and transmission or information
storage and retrieval, electronic adaptation, computer software, or by similar or dissimilar methodology
now known or hereafter developed.
The use of general descriptive names, registered names, trademarks, service marks, etc. in this publication
does not imply, even in the absence of a specific statement, that such names are exempt from the relevant
protective laws and regulations and therefore free for general use.
The publisher, the authors and the editors are safe to assume that the advice and information in this book
are believed to be true and accurate at the date of publication. Neither the publisher nor the authors or the
editors give a warranty, express or implied, with respect to the material contained herein or for any errors
or omissions that may have been made. The publisher remains neutral with regard to jurisdictional claims
in published maps and institutional affiliations.

Printed on acid-free paper

This Springer imprint is published by Springer Nature


The registered company is Springer International Publishing AG
The registered company address is: Gewerbestrasse 11, 6330 Cham, Switzerland
Preface

During the last decades, medical wearable devices have gain lots of interest due to
their potential influence in providing remote and ambulatory monitoring to support
patients. Many devices have been developed, improved, and implemented for the
long-term and continuous monitoring of the healthcare practices in general and car-
diovascular diseases in particular. Due to its efficiency, simplicity, and noninvasive-
ness, the electrocardiogram (ECG) signal has been widely used for monitoring
cardiac functions despite the development of newer techniques or technologies. The
information contained in the morphological features of the ECG signal has been
broadly employed to build a full classification system capable of distinguishing
between normal and abnormal conditions.
This book presents the first ASIC implementation of an ECG-based signal pro-
cessor (ESP) that is capable of predicting ventricular arrhythmia up to 3 h before
the onset. The ESP is composed of three stages which include ECG signal process-
ing, feature extraction, and classification, and it utilizes adaptive and novel tech-
niques that are highly effective and suitable for real-time implementation. The
extracted ECG features, individually and in combinations, showed good potential
in the prediction of ventricular arrhythmia with significant statistical results, and
the combination of these features has never been used in any previous detection or
prediction system. Two databases of heart signal recordings from MIT PhysioNet
and the American Heart Association (AHA) were used as training, test, and valida-
tion sets to evaluate the performance of the proposed system. Based on MATLAB
testing results, the proposed system achieved a prediction accuracy (ACC) of
99.98% on the out-of-sample validation data by tenfold cross validation with 3-s
window size.
Furthermore, the proposed ESP was developed using Verilog RTL and
implemented using ASIC implementation flow based on 65-nm GlobalFoundries

v
vi Preface

low-power CMOS process. Based on the design constraints, the ESP occupied a
state-of-the-art total cell area of 0.112 mm2 and consumed a total power of 2.78 μW
at an operating frequency of 10 kHz and operating voltage of 1.2 V.

Abu Dhabi, United Arab Emirates Hani Saleh


 Nourhan Bayasi
 Baker Mohammad
 Mohammed Ismail
Acknowledgments

The work in this book has its roots in the MSc thesis of the second author. We would
like to thank and acknowledge all those who assisted us with the different phases of
developing the material that lead to this book. We would like to specifically acknowl-
edge our colleagues at the Khalifa Semiconductor Research Center (KSRC) for
their help, encouragement, and support; our thanks go to Temesghen, Yonatan,
Dima, Maisam, Lama, and Mohammad. Special thanks to Dr. Ahsan Khandoker
from the Biomedical Department at Khalifa University for his insightful input at the
start of this project for tackling the VT/VF problem and for his continued support
for Bayasi during the development of the VT/VF predictor.
We also like to acknowledge the support of Mubadala for the funding and the US
Semiconductor Research Corporation (SRC) for overseeing the projects of the
ACE4S Mubadala-SRC Center of Excellence under which this project was com-
pleted. We must also acknowledge our industrial liaisons for their suggestions and
insights, John Pigott, Mark Schlarmann from NXP, Muhammad Khellah, and Lilly
Huang from Intel.
The work in this book was part of a complete system on chip targeting a platform
for wearable healthcare. We would like to thank our colleagues responsible for other
parts of such a system and acknowledge their unmatched spirit of teamwork. Finally
we would like to acknowledge the help and support of our families and friends and
thank them for their patience and understanding.
This work was supported by the Mubadala-SRC Center of Excellence for Energy-Efficient
Electronic Systems research contract 2013-HJ2440.

vii
Contents

1 Introduction.............................................................................................  1
1.1 Remote Monitoring System (RMS)................................................. 2
1.1.1 Key Enabling Technologies................................................. 3
1.1.2 Economical Impact.............................................................. 4
1.2 Electrocardiographic Signal............................................................. 4
1.3 Cardiac Arrhythmias........................................................................ 6
1.4 The Problem with Existing Cardiac Arrhythmia Automatic
Diagnostic Solutions........................................................................ 7
1.5 Proposed Solutions and Book Contribution..................................... 7
1.6 Goal of the Work.............................................................................. 8
1.7 Book Outline.................................................................................... 9
2 Literature Review................................................................................... 11
2.1 Cardiovascular Diseases.................................................................. 12
2.1.1 Mortality ............................................................................. 12
2.1.2 Prevalence............................................................................ 13
2.2 ECG Filtering: A Review................................................................. 13
2.3 ECG Feature Extraction Techniques: A Review.............................. 15
2.4 ECG Classification Techniques: A Review...................................... 15
2.4.1 Support Vector Machine (SVM).......................................... 16
2.4.2 Artificial Neural Network (ANN)........................................ 17
2.4.3 Hidden Markov Model (HMM)........................................... 18
2.4.4 Linear Discriminant Analysis (LDA)................................... 18
2.4.5 Naive Bayes......................................................................... 19
2.4.6 Hybrid Methods................................................................... 19
2.5 Hardware Implementation of ECG Signal Processing
Systems: A Review.......................................................................... 20
2.5.1 State-of-the-Art.................................................................... 20
3 System Design and Development........................................................... 23
3.1 ECG Databases................................................................................ 26
3.2 Analytical Methods for ECG Preprocessing.................................... 27

ix
x Contents

3.2.1 QRS Complex Detection...................................................... 27


3.2.2 T and P Wave Delineation.................................................... 28
3.3 Feature Extraction............................................................................ 32
3.3.1 Short-Term ECG Features.................................................... 33
3.3.2 Statistical Analysis............................................................... 35
3.3.3 Information Gain Attribute Evaluation................................ 35
3.4 Classification Using Naive Bayes.................................................... 36
3.4.1 Classification Procedure...................................................... 37
4 Hardware Design and Implementation................................................. 39
4.1 System Architecture......................................................................... 39
4.2 Design of the Preprocessing Stage................................................... 39
4.2.1 Realization of QRS Complex Detection.............................. 40
4.2.2 Realization of T and P Wave Delineation............................ 43
4.3 Design of the Classification Stage................................................... 44
4.4 ASIC Implementation...................................................................... 45
4.4.1 Set Specifications and Prepare the Golden Model............... 45
4.4.2 RTL Coding and Testbench................................................. 46
4.4.3 Synthesis ............................................................................. 46
4.4.4 IC Compiler (ICC)............................................................... 47
4.4.5 Chip Finishing...................................................................... 47
5 Performance and Results........................................................................ 51
5.1 Matlab Simulation Results............................................................... 51
5.1.1 Performance of the Preprocessing Stage: Part 1.................. 51
5.1.2 Performance of the Feature Extraction Stage...................... 53
5.1.3 Performance of the Classification Stage: Part 1................... 55
5.1.4 Comparison to Published Work: Part 1................................ 56
5.2 ASIC Implementation Results......................................................... 56
5.2.1 Performance of the Preprocessing Stage: Part 2.................. 58
5.2.2 Performance of the Classification Stage: Part 2................... 58
5.2.3 Comparison to Published Work: Part 2................................ 58
5.3 First Tapeout.................................................................................... 62
5.3.1 Testing and Implementation................................................. 62
6 Conclusions.............................................................................................. 65

Bibliography.................................................................................................... 67

Index................................................................................................................. 73
List of Figures

Fig. 1.1 Illustration of remote health monitoring system (Citation: [1])....... 2


Fig. 1.2 Implantable cardioverter defibrillator.............................................. 3
Fig. 1.3 Myocardial electrical activity [2]..................................................... 5
Fig. 1.4 Time pattern of ECG signals............................................................ 5
Fig. 1.5 Normal ECG waves......................................................................... 5
Fig. 1.6 Analysis of ECG beat prediction vs. detection................................ 8
Fig. 2.1 Number of deaths due to CVDs and other causes
of death on 2010 (Source: [3])......................................................... 13
Fig. 2.2 Prevalence of cardiovascular survey: 2007–2010 (Source: [3])...... 14
Fig. 2.3 Representation of SVM and 2-D hyperplane................................... 16
Fig. 2.4 Representation of two architectures of NN...................................... 17
Fig. 2.5 Block diagram of the proposed low-power wireless biosignal
acquisition and classification system in Ref. [4].............................. 21
Fig. 2.6 Block diagram of the proposed nightly readout
and recharge of the system in reference [5]..................................... 22
Fig. 3.1 Comparison between commonly implemented
systems in (a) and the proposed one in (b)...................................... 24
Fig. 3.2 Block level diagram of the proposed biomedical SoC..................... 24
Fig. 3.3 Schematic representation of the proposed ventricular
arrhythmia prediction system........................................................... 26
Fig. 3.4 A long-duration ECG record obtained from the AHA database
with beat-by-beat annotations. Only the samples before the onset
were analyzed while the others were completely ignored............... 28
Fig. 3.5 QRS complex detection: the flowchart of PAT steps
and the corresponding ECG waveform............................................ 29
Fig. 3.6 Formulation of T and P wave search windows with respect
to the previous calculated RR interval............................................. 30
Fig. 3.7 T and P wave main morphologies.................................................... 30
Fig. 3.8 Computing T and P wave thresholds based on the previous
detected T peak, P peak, and R peak values.................................... 31

xi
xii List of Figures

Fig. 3.9 Peaks detection: comparing the local maximum and minimum
points in each designated wave with the threshold.......................... 31
Fig. 3.10 Receiver operator characteristic (ROC) curve analysis................... 33
Fig. 3.11 The seven morphological features on ECG record.......................... 33
Fig. 3.12 Representative comparison between current detection systems
and the proposed one. (a) Current systems: every processing
window contains a single heartbeat. (b) Proposed system:
every processing window contains two consecutive heartbeats....... 34
Fig. 3.13 Learning flowchart of Naive Bayes classifier.................................. 38
Fig. 3.14 A sequence diagram of training the classifier.................................. 38
Fig. 4.1 (a) The architecture of the proposed ventricular arrhythmia
prediction ESP and (b) main control FSM...................................... 40
Fig. 4.2 Main control FSM............................................................................ 41
Fig. 4.3 Block diagram of preprocessing stage which contains
filtering, QRS detection, and P and T wave delineation.................. 41
Fig. 4.4 Flowchart of PAT peak detection technique..................................... 42
Fig. 4.5 FSM of T and P wave delineation. (a) Peak detection
and (b) onset and offset delineation................................................. 43
Fig. 4.6 Architecture of Naive Bayes classifier............................................. 45
Fig. 4.7 Main steps in ASIC design............................................................... 46
Fig. 4.8 Critical timing path of the design..................................................... 48
Fig. 4.9 ICC result of the proposed ESP....................................................... 48
Fig. 5.1 Matlab results of QRS detection and T and P wave delineation...... 53
Fig. 5.2 ROC curves calculated for ventricular arrhythmia
vs non-ventricular arrhythmia conditions........................................ 54
Fig. 5.3 ModelSim simulation of the system (ECG data belongs
to NSRDB: Record “16265”)........................................................... 59
Fig. 5.4 Verilog results of QRS detection and T and P wave delineation..... 60
Fig. 5.5 Percentage of true positive rate in Group A records........................ 60
Fig. 5.6 Trade-off between the operating frequency
and the consumed power.................................................................. 61
Fig. 5.7 SoC setup environment.................................................................... 62
Fig. 5.8 Die photo of the chip........................................................................ 63
Fig. 5.9 Silicon results of the ECG processor for different records.............. 64
List of Tables

Table 2.1 CVD prevalence estimates for age- and sex-adjusted American
ethnicity in 2012 [3].................................................................... 14
Table 3.1 A summary of the ECG recordings from PhysioNet
and the American Heart Association databases........................... 27
Table 3.2 Statistical analysis of the ECG features...................................... 35
Table 4.1 Design constraints of the proposed design.................................. 47
Table 4.2 ESP implementation data............................................................ 49
Table 5.1 Recall and precision of QRS complex detectors......................... 52
Table 5.2 Performance evaluation of the ECG signal processing
technique: Matlab results............................................................ 52
Table 5.3 Performance of the individual features (window size = 3 s)....... 53
Table 5.4 Ranking analysis of the ECG features using IG–FS................... 54
Table 5.5 Performance of the feature combinations (window size = 3 s)....... 55
Table 5.6 Fivefold cross-validation results of the feature combinations
(window size = 3 s)..................................................................... 55
Table 5.7 Sevenfold cross-validation results of the feature combinations
(window size = 3 s)..................................................................... 56
Table 5.8 Tenfold cross-validation results of the feature combinations
(window size = 3 s)..................................................................... 56
Table 5.9 Comparison between the proposed system and others................ 57
Table 5.10 Performance evaluation of the ECG signal processing
technique: Verilog results............................................................ 58
Table 5.11 Performance evaluation of the ECG signal processing
technique: Verilog results 60
Table 5.12 Comparison between the proposed ESP and other
implemented systems.................................................................. 61
Table 5.13 Performance summary of the chip.............................................. 63
Table 5.14 Performance evaluation of the ECG processor: chip results....... 63

xiii
Abbreviations

AC Alternating Current
ACC Accuracy
ADC Analog-to-Digital Converter
AFE Analog Front End
AHA American Heart Association
AIS Artificial Immune System
ANN Artificial Neural Network
AUC Area Under ROC Curve
CalT Calculation Time
CAN Cardiac Autonomic Neuropathy
CI Confidence Interval
CTS Clock Tree Synthesis
CVD Cardiovascular Disease
DCT Discrete Cosine Transform
DFT Discrete Fourier Transform
DSP Digital Signal Processing
ECG Electrocardiogram
ESP ECG-Based Signal Processor
FF-NN Feedforward Neural Network
FN False Negative
FP False Positive
FS Feature Selection
HMM Hidden Markov Model
HPF High-Pass Filter
HPSDM-BSP High-Pass Sigma Delta Modulator-Based Signal Processor
HT Hilbert Transform
ICC IC Compiler
IG Information Gain
KUSTAR Khalifa University of Science, Technology and Research
LDA Linear Discriminant Analysis
LPF Low-Pass Filter

xv
xvi Abbreviations

LUT Lookup Table


OOK On–Off Keying
P Precision
PAC Premature Atrial Complexes
PAT Pan and Tompkins
PCA Principal Component Analysis
PE Piezoelectric
PNN Probabilistic Neural Network
RMS Remote Monitoring System
RNN Recurrent Neural Network
ROC Receiver Operator Characteristic
RTL Register Transfer Level
SA Sinoatrial
SCD Sudden Cardiac Death
SE Recall
SRC Semiconductor Research Corporation
STD Standard
SVM Support Vector Machine
TEG Thermoelectric Generator
TN True Negative
TP True Positive
UWB Ultra-Wide Band
VF Ventricular Fibrillation
VT Ventricular Tachycardia
WPE Wavelet Packet Energy
Chapter 1
Introduction

Sudden cardiac death (SCD) is a natural death, usually from cardiac causes, her-
alded by abrupt loss of consciousness within 1 h of the onset of acute symptoms and
accounts for approximately 300,000 deaths in the United States per year [3]. In most
cases, it is considered as the final result of ventricular arrhythmia which could
include ventricular tachycardia (VT) or ventricular fibrillation (VF) [6]. Ventricular
arrhythmia is an abnormal rhythm, targets the heart and is responsible for 75–85%
of sudden deaths in persons with heart problems unless treated within seconds [6].
Most ventricular arrhythmias are caused by coronary heart disease, hypertension, or
cardiomyopathy, and if not accurately diagnosed nor treated immediately, death
occurs [7]. Thus, biomedical devices have been introduced to allow a 24-h continu-
ous monitoring of such cases and provide remote monitoring of patient vital signs
of any irregularity.
The regulations of some hospitals state that the patients should be checked or
examined by a physician periodically, but in some hospitals that period could be as
minimum as once every 12 h. However, the health status of some patients might be
severe enough requiring multiple visits per day. Without continuous monitoring,
their health would deteriorate dramatically causing death, like in the case of Victoria
Harrison. Victoria Harrison, a 17-year-old girl, was admitted to the hospital for what
thought would be as easy surgery. Yet, she died in her bed due to internal bleeding
hours before she was found [8]. Her chances of survival would have been much
higher if she was checked at the right time. This opens our eyes to the problem that
many hospitals suffer from which is the lack of continuous monitoring and poor
communication between the patient and the medical staff.
Victoria Harrison represents a single example of thousands of similar stories
where death was caused due to hospital negligence and lack of monitoring. This is
perhaps the main motivation to develop state-of-the-art remote monitoring systems
and biomedical devices with capabilities to continuously monitor blood pressure,

© Springer International Publishing AG 2018 1


H. Saleh et al., Self-powered SoC Platform for Analysis and Prediction
of Cardiac Arrhythmias, Analog Circuits and Signal Processing,
DOI 10.1007/978-3-319-63973-4_1
2 1 Introduction

heart rate, stress factors, and other health issues and provide alerts to caregivers
when abnormal patterns are observed. Surely, saving further loss would be the best
outcome of such healthcare devices.

1.1  Remote Monitoring System (RMS)

Remote monitoring system (RMS) is a new technology that utilizes the advances in
electronics, data algorithms, wireless communication, battery technology, and
materials science to enable a continuous monitoring of patients in any place or envi-
ronment. It allows patients to maintain independence and awareness of their health
status 24 h and prevent any further complications. Moreover, RMS could be incor-
porated in the management and detection of several diseases which could signifi-
cantly improve the quality of life. A conceptual representation of RMS is shown in
Fig. 1.1 [1].
The sensors, which could be invasive or wearable, are used to acquire and collect
physiological data from patients, and they are deployed according to the clinical
application of interest. For example, the heart rate and respiratory sensors are
deployed when monitoring patients with heart failure or ventricular arrhythmia. The
wireless communication is used to transmit the collected or processed data to a
mobile phone or access point and rely it to a remote center such as physicians, care-
givers, or emergency via the Internet. The remote center provides alert message
when immediate assistance to patients is needed.

Fig. 1.1  Illustration of remote health monitoring system (Citation: [1])


1.1  Remote Monitoring System (RMS) 3

1.1.1  Key Enabling Technologies

Continuous monitoring devices were used to be implanted in the body such as the
implantable cardioverter defibrillator (ICD). ICD has been considered as the best
protection against sudden death and demonstrated a lifesaving benefit in patients at
risk for sudden cardiac arrest. ICD is a battery-powered device placed under the
skin and connected to the heart by one or more leads (wires), as shown in Fig. 1.2.
It keeps track of the heartbeats and delivers electric shock to the heart to restore a
normal heart rhythm when abnormal patterns are observed [9]. However, the experi-
ence of shock is always discomforting and can prompt feelings of anxiety, depres-
sion, or fear. Thus, the research has been diverged into wearable systems for
patients’ continuous remote monitoring.
The recent advances in electronics, sensor technology, wireless communica-
tion, materials science, and data processing and treatment have enabled the
development of wearable systems and devices for the continuous health monitor-
ing. The developments in the field of microelectronics allowed the researchers to
develop very scalable, lighter, and low-powered circuits entailing sensing capa-
bilities, front-end amplification, data processing, and wireless transmission
which have made the hardware implementation of compacted wearable devices a
reality.

Fig. 1.2  Implantable cardioverter defibrillator


4 1 Introduction

1.1.2  Economical Impact

The medical technology industry is a great contributor to the worldwide economy


and provides substantial cost savings. For example, clinicians spend 58% less time
per patient who is remotely monitored [10]. It is also shown that the medical tech-
nology has reduced the number of hospital stays of the remotely monitored patients
by 18% with reduced estimated cost of USD 581 (per admission) [10].
Generally speaking, the main economical factors of such industry could be sum-
marized in the following points:
• A dramatic employment expansion is demonstrated by providing large numbers
of high-quality jobs to job seekers from the different disciplines.
• Substantial inward investment is attracted.
• A hub for innovation is created which has a direct impact to the knowledge-­
based economy.

1.2  Electrocardiographic Signal

The electrical activity of the heart is represented by the ECG signal which can be
recorded by multiple electrodes placed on different locations on the surface of
the body. Different activation sites form a wave, which spreads through the ven-
tricular myocardium to the outer wall of the myocardium, so that the activation
is carried out cell by cell [2]. After each ventricular region is depolarized, repo-
larization occurs. Thus, the resulting heartbeat in the ECG is manifested by a
series of waves whose amplitude, morphology, and timing carry information
which is used in diagnosing diseases since the disturbances of the electrical
activity of the heart is reflected in the ECG signal. Figure 1.3 represents the myo-
cardial electrical activity of the heart. Furthermore, the time interval that is asso-
ciated with the occurrence of successive heartbeats is also important to
characterize the functionality of the heart and classify the beats into different
groups, as represented in Fig. 1.4.
A normal ECG signal includes P wave, QRS complex, and T wave as shown in
Fig. 1.5. P wave is generated by the atrial depolarization of the heart and in the
sinoatrial node (SA) normally where it travels from the right atrium to and through
the left atrium. However, if the depolarization is generated elsewhere in the atria,
this results in different P-shaped waves. When the ventricles depolarize, the QRS
complex is generated. The QRS complex, which has the highest signal to noise
ratio compared to all the waves in the ECG signal, consists of three sub-waves: Q,
R, and S. These waves are associated with the ventricular activity of the heart and
generated when the cardiac impulse goes through the ventricles. When the ventri-
cles are recovering from the depolarization state, which is also known as the repo-
larization, T wave is generated. It is slightly asymmetrical and always follows the
QRS complex [2].
1.2  Electrocardiographic Signal 5

Fig. 1.3  Myocardial electrical activity [2]

Fig. 1.4  Time pattern of


ECG signals

Fig. 1.5  Normal ECG


waves
6 1 Introduction

The electrocardiographic (ECG) signal is one of the most common medical tests
for exploring cardiac activity to diagnose several kinds of arrhythmias, conduction
defects, heart attacks, hypertrophies, and other abnormalities. ECG feature extrac-
tion plays a significant role in diagnosing most of the cardiac diseases. Each of the
P-QRS-T waves conveys important information and is considered as a main source
of clinical information. The absence of any of them is considered seriously by phy-
sicians as it may indicates irregularity in the heart functioning. The 12-lead ECGs
are obtained and analyzed to detect infrequent arrhythmias or transient abnormali-
ties in the cardiac system. By extracting information about intervals, amplitudes,
and waveform morphologies of the different P-QRST waves, ventricular arrhythmia
can be detected.

1.3  Cardiac Arrhythmias

Generally speaking, cardiac arrhythmias can be divided into two groups. The first
group includes ventricular fibrillation (VF) and tachycardia (VT) which are life-­
threatening and require immediate therapy with a defibrillator once the onset occurs.
The second group includes arrhythmias that are not immediately life-threatening
but may require therapy to prevent further problems. This work analyzes the first
group because it is more crucial, and according to many statistics, it causes the high-
est percentage of death every year worldwide [3].
Ventricular fibrillation is a deadly heart rhythm where the ventricles lose the
ability to contract and circulate the blood to the rest of the body. In a normal heart,
the electrical conduction starts at the SA node where the electrical signal travels
from the SA node down to another structure called the atrioventricular or AV node.
From the AV node, the signal goes down to the ventricles in which it makes them
contract. When the walls of the ventricles contract, the blood is pushed out to the
rest of the body. When VF occurs, the signal in the ventricles is no longer coordi-
nated, but it becomes rapid, random, and chaotic. This leads the ventricular walls
to spasm which blocks the blood from circulating through the body. If VF is not
reversed immediately through electric shock, a permanent brain damage and death
occur [11].
Ventricular tachycardia is a rapid heartbeat that arises from the cells in the ven-
tricles which are the main pumpers of the blood to the rest of the body. Typically, the
heart beats between 60 and 100 beats per minute. During the time between beats, the
ventricular walls relax. When the heart beats, the ventricular walls contract and push
the blood to the great vessels and out to the body. In VT episode, the heart beats very
fast, sometimes up to 250 beats per minute. In such cases, the heart finds difficulties
in circulating enough blood to the rest of the body [12]. Generally speaking, VT can
be broken down to two types. The first one is the focal VT and the other one is reen-
trant VT. In the focal VT, there are cells in the ventricles that get irritated. These
cells might be irritated because of hormones, such as stress hormones or thyroid
hormones. Low levels of oxygen can make ventricular cells irritated. In reentrant
1.5  Proposed Solutions and Book Contribution 7

VT, you have scar formation. Scar is basically a group of dead cells that have been
replaced by protein, and this group of dead cells disrupts the normal electrical con-
duction. So the heart ends up getting an electrical current that has to go around this
patch of dead cells, and it keeps going around and around. This is a reentrant circuit.
Hence, both irritated cells and scar tissue formation are going to make the ventricles
fire at a rapid rate, causing VT [12].

1.4  T
 he Problem with Existing Cardiac Arrhythmia
Automatic Diagnostic Solutions

Most of the currently reported systems were designed to improve the detection of
ventricular arrhythmia [4, 5, 13]. Of course the detection of such arrhythmia is criti-
cal because the waveform and the frequency distribution of the ECG signal changes
dramatically without following a consistent pattern; however, what really matters is
the earlier prediction of the onset of VT/VF episodes. According to the statistics of
the American Heart Association, once the onset occurs, the patient is left with very
few seconds to be given an electrical shock; otherwise, death is a certainty. However,
early prediction specially few hours before the onset will allow enough time to pro-
vide the patient with the appropriate therapy to avoid death [3].
Nevertheless, the main problem of ECG analysis is the wide morphological
variability of a signal for one physiological state, not only among patients but
also due to patient movements, changes in the electrical conduction, and charac-
teristics of the body, among others [2]. Consequently, it is not possible to make a
training set that takes every case into account. Thus, this kind of analysis requires
special care to choose appropriate techniques for signal preprocessing, since the
quality of the input signal in the classification stage has a direct impact on its
performance.

1.5  Proposed Solutions and Book Contribution

This work aimed at resolving the shortcomings that exist in the current systems
(described above) and fulfill the same diagnostic needs in a simple but more effec-
tive way.
Firstly, previous VT/VF-related research was mainly concerned with the detec-
tion of the VT/VF condition on and after it occurs [4, 5, 13], while our proposed
solution performs both of detection and prediction up to 3 h before the onset of VT/
VF condition. Up to our knowledge, this is the first solution that performs predic-
tion. Figure 1.6 shows the ECG signal segments used by different research methods;
in this work, only the ECG samples that preceded the onset of VT/VF were analyzed
and processed (used to train the classifier), while in another work, they used the
samples that follow the onset.
8 1 Introduction

Fig. 1.6  Analysis of ECG beat prediction vs. detection

Secondly, our proposed system employed novel real-time and adaptive prepro-
cessing techniques that are suitable for different ECG morphologies. The inclusion
of adaptation in our ECG signal preprocessing increased our system robustness in
dealing with ECG signal variations with high sensitivity and precision.
Thirdly, to perform the VT/VF prediction, a novel new set of ECG signal features
was developed that aided our system to achieve an accuracy of 99.2% in the predic-
tion of VT/VF condition. These features were verified using tenfold cross validation
in Weka and Matlab to achieve the best results in VT/VF prediction.
Lastly, our solution was implemented at all stages from the algorithmic to a full
implementation in a standard cell-based ASIC. The developed system was modeled in
Matlab to verify the functionality. Then it was coded in Verilog HDL and verified to
match our Matlab reference model. Finally, it was fully developed into an SOC using
standard cell-based ASIC flow. GlobalFoundries 65-nm low-power process was used.

1.6  Goal of the Work

The main goal of this work is to boost the ability to predict ventricular arrhythmia
and death in people by implementing a wearable integrated biomedical processor
that performs signal processing, feature extraction, and accurate classification of the
ECG signal.
1.7  Book Outline 9

1.7  Book Outline

The remaining part of the book is organized as follows. In Chap. 2, a literature


review is given. The literature review covers recent statistics on cardiovascular dis-
eases, the techniques used in the ECG analysis and classification, and the state-of-­
the-art of sample hardware implemented ESPs. Chapter 3 presents the research
methodology and the system design of the proposed ECG system including all the
techniques used in the preprocessing stage, feature extraction, and classification.
The hardware approach of the proposed ESP along with the ASIC implementation
is highlighted in Chap. 4. Performance evaluation, sample comparisons against
other systems in Matlab and hardware, and the results of a tapeout that includes
some of the circuitry presented herein are all reported in Chap. 5. Finally, Chap. 6
concludes the book.
Chapter 2
Literature Review

The ECG signal has been widely used as a main tool to classify and diagnose car-
diovascular diseases. Many researchers from the past decades up to now have stud-
ied the enhancement of the ECG signal processing. The main goal of most of the
studies was to increase the level of accuracy in the different ECG signal processing
stages which are preprocessing, feature extraction, and classification. A correct
selection of these techniques is mandatory, taking into account the factors that may
affect the ECG signal. In the preprocessing stage, researchers have investigated vari-
ous filtering techniques to clean up the ECG signal from the noise without losing the
internal characteristics of the signal such as morphology, amplitude, and duration.
This is actually a big challenge because the ECG signal is very sensitive and gets
distorted quickly, besides some noises get deeply mixed with the ECG spectrum.
Moreover, the researchers have studied and developed many automated techniques
for the ECG feature extraction stage. Their studies, which were usually carried
through experimental and numerical work, aimed to improve the accuracy of pre-
dicting the targeted disease by extracting suitable set of features that has high poten-
tial in distinguishing between different conditions. Finally, the enhancement of the
ECG classification stage was employed by developing adaptive machine learning
algorithms suitable for real-time application with the maximum possible level of
accuracy and least complexity. On the other hand, other researchers have tried to
improve the performance of the classifier by deriving suitable and valuable input for
it, which is similar to our approach.
Recently, due to the remarkable advancement in technology, the development of
dedicated hardware for accurate ECG analysis and classification in real time has
become possible. Different forms of hardware implementations for such kind of
biomedical signal processing were proposed in the literature such as FPGA and
ASIC. FPGAs, or field programmable gate arrays, have faster time to market and
simpler design cycle because the software handles much of the design stages. In

© Springer International Publishing AG 2018 11


H. Saleh et al., Self-powered SoC Platform for Analysis and Prediction
of Cardiac Arrhythmias, Analog Circuits and Signal Processing,
DOI 10.1007/978-3-319-63973-4_2
12 2  Literature Review

addition, FPGAs have special built-in modules such as RAM, DCM modules, high-­
speed IOs, and others which can be utilized to get better performance. The most
important feature in FPGAs is the reusability where the designer can reuse and
reprogram the FPGA for other functions. On the other hand, ASIC, which stands
for application-specific integrated circuit, cannot be reused or reprogrammed once
it is taped out. Yet, it is widely used nowadays because of the low-power and high-
speed techniques it provides such as power gating, clock gating, pipelining, and
others. In addition, ASIC provides multiple verification stages which are not sup-
ported by FPGA [14].
Although the previous studies and implementations have reported good results
and advantages in the analysis of the ECG signal, yet they have some shortcomings.
Some of them were too difficult to implement or compute which makes them unsuit-
able for hardware implementation, and perhaps this explains the reason behind not
providing the calculation time of their computation process. Furthermore, most of
the systems had low specificity and precision in discriminating between the normal
and abnormal ECG conditions. Finally, all the reported studies maintained very late
detection of the abnormal signs of the heart and did not utilize the biomedical signal
in any kind of prediction analysis.
This chapter begins with reviewing the statistics of the cardiovascular diseases
worldwide (Sect. 2.1), and then it provides multiple comprehensive literature.
Section 2.2 reviews some filtering techniques used for the preprocessing of the
ECG signal. Section 2.3 presents the studies of the ECG feature extraction and the
main techniques used there. Section 2.4 underlined the techniques used in the ECG
classification system including SVM, ANN, HMM, LDA, Naive Bayes, and hybrid
of them. Finally, Section 2.5 highlights the state-of-the-art for some implemented
ambulatory ESPs.

2.1  Cardiovascular Diseases

Cardiovascular diseases (CVDs) were considered as the main cause of death world-
wide, and it achieved the highest mortality rate among other causes of death [3]. An
overview on the mortality and prevalence of cardiovascular diseases is shown below.

2.1.1  Mortality

According to the survey conducted in the United States on 2010, one of every three
deaths is caused by CVDs, which accounts for 31.9% of the total mortality rate. In
fact, the percentage of deaths due to CVDs is greater than the ones accounted by
other major causes of death like cancer, accidents, or respiratory diseases. This is
shown clearly in Fig. 2.1 [3]. On daily analysis, an average of one person every 40 s
dies because of CVDs!
2.2  ECG Filtering: A Review 13

Fig. 2.1  Number of deaths due to CVDs and other causes of death on 2010 (Source: [3])

2.1.2  Prevalence

The prevalence of cardiovascular diseases is the highest in people >80 years of age


with a rate of 83.0% and 87.1% for males and females, respectively. The prevalence
percent of population for people >20 years of age by age and sex is represented in
Fig. 2.2. The survey belongs to 2007–2010 period and includes different CVD con-
ditions such as coronary heart disease, heart failure, stroke, and hypertension [3].
By 2013, it is estimated that more than 40% of the US population is projected to
have CVD.  Further details on the prevalence estimates among various American
ethnicities in 2012 are listed in Table 2.1. It is worth to mention that all the data are
age and sex adjusted belonging to people >18 years of age.

2.2  ECG Filtering: A Review

ECG filtering is used to remove the noise and prepare the ECG signal for further
analysis. The main important point is not to lose the information carried by the
ECG signal after being filtered out. Many types of interference affect the ECG sig-
nal, and depending on this, a proper filter is designed. Baseline wander is the main
source of noise, and it is mainly due to respiration. Different filters were proposed
to eliminate this noise and clean up the ECG signal. In [15], an adaptive Kalman
filter was introduced for the real-time removal of the baseline wandering which
uses polynomial approximation of the signal. Another filtering method was pro-
posed in [16] based on statistical weighting of a moving average filter. The second
14 2  Literature Review

Fig. 2.2  Prevalence of cardiovascular survey: 2007–2010 (Source: [3])

Table 2.1  CVD prevalence estimates for age- and sex-adjusted American ethnicity in 2012 [3]
Ethnicity vs. CVD Heart disease Coronary heart disease
Whites only 10.9% 6.1%
Black or African American 10.8% 6.5%
Latinos 7.8% 5.3%
Asians 6.8% 4.5%
American Indians or Alaska Native 12.5% 8.1%
Native Hawaiians 12.5% 10.3%
Ethnicity vs. CVD Hypertension Stroke
Whites only 22.9% 2.5%
Black or African American 32.9% 3.9%
Latinos 20.9% 2.7%
Asians 21.2% 1.8%
American Indians or Alaska Native 24.8% NA
Native Hawaiians 36.5% NA

source of noise is the power-line interference which is due to improper grounding


of the ECG equipment and interference from nearby equipment. Various adaptive
filtering techniques were suggested to minimize the frequency of the power-line
interference such as the Walsh–Hadamard transform-based filter [17], empirical
mode decomposition-­based filter [18], least mean square-based filter [19], and
notch filter [20]. Another interesting approach for power-line interference cancella-
tion was implemented by Ateo et al. in [21]. The approach was based on artificial
neural network to filter out the interference by training the hidden layers and the
2.4  ECG Classification Techniques: A Review 15

coefficient matrices on the variations of the ECG signal and on the influence of the
noise. That was adapted by means of using an improved approach of the Widrow–
Hoff delta algorithm. The system was able to reduce not only the power-line inter-
ference but other types of noise such as white noise. Finally, for some ECG devices
especially the wearable ones, the motion of the patient is also another source of
noise which is called motion artifact. Such type of noise could be reduced using
adaptive filtering techniques [22] or Kalman filter [23].

2.3  ECG Feature Extraction Techniques: A Review

The main stage in developing any automated ECG classification system is to


extract a good set of input features. The selected quantity and quality of the ECG
features should be relevant and show significant potential in the detection of the
targeted arrhythmia or cardiac disease. Otherwise, the efficiency of the system
would decrease. Several algorithms have been proposed to analyze and classify
ECG arrhythmia based on a number of extracted features from the ECG signal.
Some of them depends on morphological features such as the heart rate intervals
[24], QT interval variability [25], or the variability of the timing delay of the
ECG segments [26]. Other algorithms employ spectral analysis and transforma-
tion of the ECG signal such as the discrete wavelet transform (DWT) [27], Hilbert
transform (HT) [28], discrete Fourier transform (DFT) [29], or discrete cosine
transform (DCT) [30]. Furthermore, mathematical operations including the com-
plexity measure [31], entropy computation [32], or approximate entropy [33]
have also been utilized to extract different ECG features. Other studies have
shown that the combination of the extracted ECG features using different meth-
ods (morphological, spectral, and mathematical) may enhance the performance
of the overall biomedical system but on the cost of increasing the system com-
plexity. Alonso-Atienza et al. in [34] have combined a total of 13 ECG features
which were widely analyzed and were based on temporal, spectral, and complex-
ity measure of the ECG signal. The study showed that the arrhythmia discrimina-
tion capability of the system has increased when combing all the features
compared to the case of considering each set of features alone. A similar conclu-
sion was driven by Jekova in [35].

2.4  ECG Classification Techniques: A Review

In a typical classification system, the extracted features are labeled with a decision
outcome and used to train a machine learner or simply a classifier. Many machine
learning techniques have been applied successfully to ECG databases and were
suggested to be a useful tool to improve the performance of an arrhythmia detection
system.
16 2  Literature Review

2.4.1  Support Vector Machine (SVM)

SVM is a widely used classifier and regression analyzer of data. It is based on the con-
cept of defining decision boundaries among the given dataset [36]. The standard SVM
designs a hyperplane or a set of hyperplanes that classifies all the training features in
the different classes. The best choice of a hyperplane is selected in which it leaves the
maximum margin between all the classes. The margin is the distance between the
hyperplane and the closest elements from this hyperplane, as shown in Fig. 2.3.
Alonso-Atienza et  al. in [34] developed a life-threatening detection system to
identify VT/VF symptoms based on 13 ECG features. Their work utilized a com-
bined SVM with a novel feature selection algorithm to build the full classifier. In
addition, the extracted ECG features showed a significant relevance in the arrhyth-
mia detection which simplified the classification algorithm even more. The total
classification accuracy was 98.4%.
Polat et al. in [37] employed the least squares formulation of the SVM, called
LS-SVM, to classify different arrhythmias from the ECG signal. The main differ-
ence between SVM and LS-SVM is that the latter involves linear operations only
which can be maintained by designing a proper least squares cost function. The
LS-SVM was trained on different symptoms that were obtained from the patients,
and it obtained a 100% accuracy for all the training-to-testing splits.
In [38], Ubeyli evaluated the diagnostic accuracy of SVM by applying it on two
ECG records belonging to normal and partial epilepsy rhythms. The wavelet coef-
ficients were used to extract the ECG features, and the classifier was trained on
them. The classification accuracy was 99.44%.

Fig. 2.3  Representation of SVM and 2-D hyperplane


2.4  ECG Classification Techniques: A Review 17

Asl et al. in [39] presented an effective cardiac arrhythmia classification system


based on the generalized discriminant analysis for feature extraction and SVM. The
system was applied to input HRV signals and used to discriminate six cardiac
arrhythmias. The classification accuracy was greater than 98% for all the different
databases.

2.4.2  Artificial Neural Network (ANN)

ANN is a robust mathematical model used to construct nonlinear classification


boundaries and complex relationships between the features [40]. There are different
architectures for the NN model. A feed-forward NN (FF-NN) is the simplest one in
which the data comes in at the input unit and flows in one direction into hidden lay-
ers till the last layer which produces the output. The layers in between have no con-
nection with the external world and hence are called hidden. A more complex yet
interesting architecture is the recurrent NN (RNN), where the data can flow in cycles
too, as shown in Fig. 2.4. This is similar to a model with memory where the algo-
rithm can sometimes get back to some layers. However, such complicated dynamics
make the NN model very difficult to train and implement in hardware.
Applications of feed-forward ANN in ECG classification can be found in [41]
and [42]. In [41], Jadhav et al. proposed a classification system for general cardiac
arrhythmia using a generalized feed-forward NN classifier. The classifier was
trained using back-propagation algorithm. In the back-propagation algorithm, the
errors are propagated through the network which allows adaption of the hidden
processing elements in the NN classifier. The accuracy of the proposed system was
82.35%. Similarly, Anuradha and Reddy in [42] included back-propagation feed-­
forward NN to their detection and classification system which was based on four
nonlinear features as ECG beat differentiators. An average of 90.56% accuracy was
achieved on the different databases used in their work.

Fig. 2.4  Representation of two architectures of NN


18 2  Literature Review

On the other hand, Ubeyli in [43] performed RNN to classify four types of the
ECG beats including normal beat, congestive heart failure beat, ventricular tachyar-
rhythmia beat, and atrial fibrillation beat. The eigenvector method was used to
extract the input features and was combined with RNN for ECG beat classification.
The combined eigenvector and RNN approach outperformed the work of [41] and
[42] by an accuracy of 98.06%.

2.4.3  Hidden Markov Model (HMM)

HMM is a statistical model where the system is assumed to be a Markov process


with unknown parameters (hidden) and the challenge is to determine these param-
eters for further analysis [44]. HMM is a very common and widely used model
when it comes to the recognition and classification of a time-varying signal such as
the ECG.
Cheng and Chang in [45] employed the method of HMM in ECG arrhythmia
classification. They developed a fast and reliable QRS detector based on a one-pole
filter which is very simple and suitable for hardware implementation. However, the
average classification accuracy for the abnormal beats was low: 65.55% for prema-
ture ventricular contraction beats and 56.38% for fusion of ventricular beats. This is
due to the fact that the authors have applied one model for all the beats, while in fact
most of the beats exhibit large variation in the ECG morphologies which recom-
mends using several HMMs.

2.4.4  Linear Discriminant Analysis (LDA)

The concept behind the LDA is simple yet mathematically robust. It is based on
dimensionality reduction of the feature vectors before performing classification
[46]. The classification algorithm then searches for a linear combination between
the reduced vectors that maximizes the separation between the different classes.
LDA produces models whose accuracy is as good as more complex methods such as
SVM and ANN assuming the input features are strong and separable enough. For
more complex and dependent data, LDA behaves usually worse.
In [47], Wang et al. applied LDA as a feature reduction technique along with prin-
cipal component analysis (PCA) before doing the classification in which they used a
probabilistic neural network (PNN). On the other hand, Elgendi et al. in [48] used LAD
as a classifier. They, [48], proposed a fully integrated algorithm to detect premature
atrial complexes (PAC) using Fisher’s LDA to separate the normal and PAC beats. In
the feature extraction stage, the work detected two morphological ECG features which
were the RR interval and the PT interval. The accuracy of the classifier was 99%.
Likewise, authors in [49] applied LDA method to analyze the ECG records and classify
the different cardiac beats. The accuracy of their method was 92.5% on average.
2.4  ECG Classification Techniques: A Review 19

2.4.5  Naive Bayes

The Naive Bayes classifier is easy to build with no complicated iterative parameter
estimation which makes it particularly useful for hardware implementation. From
the name, the Naive Bayes classifier is a probabilistic model which is based on
Bayes’ theorem to compute the probability of a data belonging to each class given
some observations from the training dataset [50]. The main key here is that Naive
Bayes assumes independent distributions between the feature vectors. Despite this
oversimplified assumption, the Naive Bayes classifier performs really well and out-
performs many other algorithms. Moreover, it is less computationally demanding in
terms of hardware implementation and requires smaller amount of training dataset.
According to Huang, J., in [51], the Naive Bayes classifier performs as accurate and
efficient as more complicated classification algorithms, yet it is much superior in
terms of CPU and memory consumptions.
In [52], Wiggins et  al. introduced a roust ECG classification system based on
Bayesian theorem to classify patients by the age according to statistical features
extracted from the ECG. The classification accuracy was 84.75%. Another Bayesian-­
based system to classify normal and abnormal beats was developed by Alturki et al.
in [53]. The Bayesian classifier was combined with wavelet packet energy (WPE)
and achieved an accuracy of 93.75%.

2.4.6  Hybrid Methods

In this context, hybrid methods combine multiple classification algorithms together


aiming to increase the classification accuracy of the ECG system. For example,
neural network can be combined with other classification algorithms such as fuzzy
logic and case-based reasoning. Fuzzy logic is a form of many-valued logic that
deals with approximate rather than fixed and exact reasoning ranges in degree
between 0 and 1; thus, it takes partially true facts and finds out to what degree they
are true [54]. Alternatively, case-based reasoning (CBR) uses the experience and
results of previously solved cases to classify unsolved problems of a similar nature.
Therefore, instead of spending the time to think and develop a new solution, CBR
algorithm searches for similar cases it previously encountered and tries to match the
solution to that case [55].
Sengur and Turkoglu in [56] investigated the performance of an artificial immune
system (AIS) based on fuzzy neural network algorithm to classify the heart disor-
ders. Wavelet transforms and short-time Fourier transform were used to extract the
features, and then wavelet entropy was applied on them. The results were used to
train the hybrid classifier. The sensitivity and specificity rate of the algorithm were
95.9% and 96%, respectively. Furthermore, Chu et  al. in [57] employed a CBR-­
ANN-­based classification algorithm for a general ECG diagnosis with moving aver-
age and differential equation approaches to extract the appropriate features. The
classification was good enough with high clustering performance.
20 2  Literature Review

2.5  H
 ardware Implementation of ECG Signal Processing
Systems: A Review

Body wearable systems are a widely used solution for the long-term monitoring of
the ECG signal. Many attempts succeeded to implement some of the ECG signal
processing and classification techniques in hardware. Shiu et al. in [58] implemented
an integrated ECG monitoring and classification system for the identification of
heart diseases using 90-nm CMOS technology. The system is composed of an ana-
log front end (preprocessing) to acquire the ECG signal and eliminate different
types of noise and a digital signal processing (DSP) unit to evaluate the slope of the
ST segment which is used to classify and distinguish between the normal and abnor-
mal beats. For the ECG signal filtering, the system employs an instrumentation
amplifier and a low-pass filter to remove the baseline wander and the power-­line
interference. The EMG noise which is generated due to the motion of the person is
eliminated by a fourth-order Butterworth high-pass filter. The system proposed a
time-domain morphological analysis for the feature extraction and classification
based on evaluation of the ST segment. The system was carried out in the FPGA and
consumed a total of 40.3 μW power and achieved an accuracy of 96.6%. The main
disadvantage of the system is that it uses fixed search window with predefined size
to locate S and T fiducial points which is not suitable for real-time scenarios where
the ECG could have different waveform morphologies.
Another ECG signal processor was proposed by Kim et al. in [59]. The system is
fabricated on 0.18-μm CMOS technology and executes different functions for the
three stages of preprocessing, feature extraction, and classification. The foundation
of these stages is based on the quad level vector [60]. Moreover, to increase the
hardware utilization and reduce the power consumption, all the functions are pipe-
lined. Besides, the system employs clock gating techniques to enable and disable
each processing unit individually according to the need, and it applies voltage scal-
ing up to 0.7 V. The ECG processor consumes 6 μW at 1.8 V and 1.26 μW at 0.7 V,
which is much better than the system in [58] due to the low-power techniques it
employs.
Nambakhsh et al. in [13] developed an FPGA-core defibrillator for ECG arrhyth-
mia classification. The system employs DWT to extract the QRS complexes from
the ECG beats, and it uses them to train the classifier which is based on Fuzzy logic
algorithm.

2.5.1  State-of-the-Art

One recent system for ECG classification was presented in [4]. The system is not
integrated in one chip but implemented in three as shown in Fig. 2.5. The first chip
contains the body-end circuits which are a high-pass sigma delta modulator-based
biosignal processor (HPSDM-BSP) and an on-off keying (OOK) transmitter. The
2.5  Hardware Implementation of ECG Signal Processing Systems: A Review 21

Fig. 2.5  Block diagram of the proposed low-power wireless biosignal acquisition and classifica-
tion system in Ref. [4]

second chip, the receiving end, has the receiver and the DSP unit which can be inte-
grated in a computer or smart phones. The last chip is the classifier. The DWT is
adopted by the DSP unit for the ECG feature extraction and classification. The chip
is fabricated on 0.18-μm CMOS technology and consumed a total power of 5.967 μW
at 1.2 V for the DSP unit only. The accuracy of the beat detection and ECG classifi-
cation was 99.44% and 97.25%, respectively.
Implanted systems have been suggested as an alternate solution to the body wear-
able devices and attracted quit a good interest in the field. The main benefit of such
approach is that the impact of a person’s motion and his daily activities is dramati-
cally reduced. Chen et  al. [5] proposed a syringe-implantable ECG system for
arrhythmia classification based on the state-of-the-art 65-nm CMOS process. The
system, shown in Fig. 2.6, acquires the ECG signal, filters it, amplifies it, and digi-
tizes it through the analog front-end (AFE) module for further analysis. The AEF
contains a low-noise instrumentation amplifier, a variable gain amplifier, and a suc-
cessive approximation register analog-to-digital converter (ADC). The arrhythmia
detection is performed using two approaches: one in the time domain and the other
in the frequency domain. The first approach evaluates the variance of the RR interval
22 2  Literature Review

Fig. 2.6  Block diagram of the proposed nightly readout and recharge of the system in reference [5]

and applies a simple threshold technique to distinguish between normal and abnor-
mal intervals. In the second approach, the ECG signal is transformed into the fre-
quency domain, and the variation of the spectrum is analyzed. The design consumes
92 nW at 0.4 V for the DSP unit. The accuracy of the classification was not stated.
Chapter 3
System Design and Development

The proposed system is a life savior for patients who are susceptible to ventricular
arrhythmia by alerting them of immediate attention to their medical condition.
Unlike other systems which only acquire the ECG signal and transmit it for further
analysis, the proposed system aims to design and develop an integrated biomedical
processor that is capable of acquiring the ECG signal from the heart along with
processing and analyzing it on the same chip without any external interaction as
shown in Fig. 3.1. Thus, the patient would have immediate alertness to his situation,
and that is very important especially in critical situations. Furthermore, in case of
any extra checkup, the local processing of the data would reduce the amount of the
data to be transmitted as only the extracted features could be relayed.
The proposed system is part of a big project funded by Khalifa University of
Science, Technology and Research (KUSTAR) and ATIC-SRC aiming at designing,
developing, and implementing a fully integrated watch-based biomedical SoC using
65-nm GlobalFoundries fabrication facilities. Generally speaking, the proposed
SoC which is shown in Fig. 3.2 is composed of the following modules.
Biomedical Sensors
An all-in-one sensor platform for continuous health monitoring is deployed in
this unit. The ideal sensor platform achieves noninvasive monitoring of the ECG
signal and blood glucose levels in a parallel fashion, on the same unit, and from
the same physical location in the body. The sensor platform is wearable, less
intrusive, and provides patients with constant feedback on their critical health
parameters.
• Energy-Harvesting Unit
The energy-harvesting block is responsible for collecting, converting, and
storing the energy generated from two harvesting sources, namely, vibration and
thermal. The harvested energy is then utilized in powering up different blocks in
the proposed SoC system. The thermal energy is harvested through a thermoelec-
tric generator (TEG) harvester which generates very low voltage in human-based

© Springer International Publishing AG 2018 23


H. Saleh et al., Self-powered SoC Platform for Analysis and Prediction
of Cardiac Arrhythmias, Analog Circuits and Signal Processing,
DOI 10.1007/978-3-319-63973-4_3
24 3  System Design and Development

Fig. 3.1  Comparison between commonly implemented systems in (a) and the proposed
one in (b)

Fig. 3.2  Block level diagram of the proposed biomedical SoC

energy harvesting (∼50  mV). As such, a special interface circuit is needed to


boost the low voltage to a higher one so that it is used by the SoC. In our imple-
mentation, an inductor-based boost converter is utilized since higher conversion
ratio can be achieved along with high efficiency. In addition, different control
circuits are designed to control the boost converter to achieve high efficiency
such as maximum power point tracking circuit, zero-current switching circuit.
However, the detailed implementation of the aforementioned circuits is out of the
scope of this book.
One the other hand, the ultimate goal of the piezoelectric (PE) energy har-
vester is to harvest vibration energy from human body movement using the PE
3  System Design and Development 25

harvester. The PE harvester is based on the PE effect where mechanical strain is


converted into electrical energy through collection of charges across the PE har-
vester tips. The PE harvester interface circuit is mainly a AC–DC converter. This
interface circuit converts the alternating current (AC) extracted by the PE har-
vester to direct current form. The harvested signal is an AC signal because the PE
beam deflects in two perpendicular directions. Moreover, the interface circuit is
CMOS-based power conversion and conditioning circuit suitable for start-up and
continuous operation of the biomedical system.
• Power Management Unit
Power management unit (PMU) proposes a “long-sleep” model that reduces
the effective quiescent current, i.e., power consumption of the DC–DC converter,
by at least an order of magnitude. The DC–DC converter, which powers various
loads in the SoC, can improve its light-load efficiency by reducing the switching
frequency (“burst mode”), mode hopping, MOSFET segmentation, gate drive
scaling, etc.
• Special Purpose Biomedical Processor Unit
The goal of this unit is to create an integrated biomedical processor chip that
is capable of performing signal acquisition and processing the collected data
from the sensors targeting extremely low-power and adaptive techniques. The
unit includes two main techniques. The first one (proposed in this book) is used
to predict the occurrence of ventricular arrhythmia, while the second technique
is employed to predict the occurrence of cardiac autonomic neuropathy (CAN)
for diabetes. CAN in diabetes is called the silent killer, because most of the
patients who suffer from it do not realize it.
• Wireless Communication Unit
The goal of this unit is to transmit the processed data to an access point using
the ultra-wideband (UWB) standard. The UWB is a recently developed IEEE
802.15.4a standard based on the impulse radio targeting low-power, low-cost,
and high data rate sensor network applications with the possibility of highly
accurate location estimation [61].

The ESP proposed in this work is part of the special purpose biomedical unit. It
consists of three main stages as shown in Fig.  3.3. The digitized ECG signal is
applied at the input to the preprocessing stage which is responsible for removing the
noise coupled with the ECG signal and preparing it for further analysis. It also
includes the detection and delineation of the P-QRS-T waves which is done using
new techniques. These techniques are robust to various variations in the ECG wave-
form morphologies by utilizing adaptive search windows and thresholds to accu-
rately detect the fiducial points in each heartbeat. In the second stage, seven features
are extracted which represent different intervals of the ECG signal. Six unique com-
binations of these features are constructed and used as input for the final stage. The
combination of these features has never been used in any published detection or
prediction method, yet was proved to be the most significant combination for the
prediction of VT/VF episodes. In the final stage, Naive Bayes is used to identify the
signals that are susceptible to ventricular arrhythmia. There are many reasons for
26 3  System Design and Development

Fig. 3.3  Schematic representation of the proposed ventricular arrhythmia prediction


system

choosing the Naive Bayes. First, the ECG features have shown strong potential in
the prediction of ventricular arrhythmia with a p-value  <  0.001. Second, it was
intended to investigate the performance of the system without introducing the strong
biasing effect of a classifier. Lastly, Naive Bayes is the simplest classification
method that can be easily implemented in the hardware.

3.1  ECG Databases

ECG recordings from PhysioNet and the American Heart Association databases
were used to construct the study datasets of this work. The study included two
groups: Group A included a set of five single-lead normal ECG records obtained
from the NSRDB [62] and sampled at 250 Hz. These records have no significant
arrhythmias. Group B involved a total of six single-lead beat-by-beat annotated
abnormal ECG records with significant ventricular arrhythmias. These records have
shown serious abnormality and were obtained from two sources including the
American Heart Association (AHA) [63] [records sampled at 250 Hz] and the MIT-­
BIH [62] [records sampled at 360 Hz]. More details on each record are given in
Table 3.1. Note that all the ECG records were resampled to a rate of 200 samples per
second.
All the selected ECG records were annotated with “N” (normal heartbeat), “[,”
and “]” (start/end of ventricular fibrillation, tachycardia, or flutter). Furthermore,
our analysis used a window of 3 s on the time before the onset of ventricular arrhyth-
mia. The analysis was performed by moving the window from few seconds before
the onset, where the signal behaves quite properly, up to the associated length of
each record. More details on the length of each record are given in Table 3.1. With
such analysis, it was possible to predict the VT/VF onset even before 3 h approxi-
3.2  Analytical Methods for ECG… 27

Table 3.1  A summary of the ECG recordings from PhysioNet and the American Heart Association
databases
Database Record name Length before the onset Arrhythmia categories
NSRDB 16272 24 h NA
16483 24 h
16539 24 h
16773 24 h
16786 24 h
MIT-BIH 100 25 min Ventricular tachycardia
111 8:35 min Ventricular flutter
121 17 min Others
AHA 8001 2:55 h Ventricular rhythms
8002 2:57 h Ventricular fibrillation
8003 2:40 h Ventricular flutter beats

mately (based on the length of the processed ECG records), which is the earliest
prediction duration reported so far. Figure 3.4 shows a raw annotated ECG record
obtained from the AHA database (record # 8002); only the samples before the onset
were analyzed which were annotated with “N.”

3.2  Analytical Methods for ECG Preprocessing

3.2.1  QRS Complex Detection

To detect the QRS complex, Pan and Tompkins (PAT) method is used [64]. PAT is a
widely used method which is based on amplitude threshold technique exploiting the
fact that R peaks have higher amplitudes compared to other ECG wave peaks. With
proper filtering of the signal, the method is highly capable of detecting the R peaks
in every heartbeat.
The method is decomposed into five steps. Band-pass filtering of the raw ECG
signal is the first step. The filter, which cascades recursive low-pass and high-pass
filters, isolates the predominant QRS energy centered at 10 Hz and attenuates the
low-frequency characteristic of P and T waves, baseline drift, and higher frequen-
cies associated with electromyographic noise and power line interference. The next
step is differentiation, which is used to distinguish the QRS complex from other
ECG waves by finding the high slopes. After that, a nonlinear transformation is
performed through point to point squaring of the filtered ECG signal. This stage is
important to emphasize the higher frequencies in the signal obtained from the previ-
ous step which are normally characteristic of QRS complex. The last step before
peak detection is carried out by a moving time integral. The size of this window is
selected carefully to fit the width of the QRS complex [64]. The flowchart of PAT
alongside the corresponding resultant ECG waveform is shown in Fig. 3.5.
28 3  System Design and Development

Fig. 3.4  A long-duration ECG record obtained from the AHA database with beat-by-beat annota-
tions. Only the samples before the onset were analyzed while the others were completely ignored

3.2.2  T and P Wave Delineation

The delineation of T and P waves is based on a novel method proposed in this work
[65]. The method is based on adaptive search windows along with adaptive thresholds
to accurately distinguish T and P peaks from noise peak. In each heartbeat, the QRS
complex is used as a reference for the detection of T and P waves in which two regions
are demarcated with respect to the R peaks. These regions are then used to form the
forward and backward search windows of the T and P waves, respectively, as shown in
Fig. 3.6. A forward search window is assumed to contain the T wave, and the boundar-
ies are extended from the QRS offset to two-third of the previously detected RR inter-
val. Similarly, a backward search window for the P wave is identified and extended
from the QRS onset backwardly to one-third of the previous RR interval. Each time a
new RR interval is detected, the search windows are automatically updated.
T and P waves could appear in different shapes depending on the state of the
heart. The main shapes are shown in Fig. 3.7. The proposed method identifies all the
shapes and determines the corresponding waveform and thus the peak position
based on analytical analysis of adaptive thresholds.
The position of T and P peaks is demarcated in their respective search windows
by finding the local maximum and local minimum that are above an associated
threshold. The thresholds, given in Eqs. (3.1) and (3.2), are modified in each heart-
beat based on the most recent detected values.
3.2  Analytical Methods for ECG…

Fig. 3.5  QRS complex detection: the flowchart of PAT steps and the corresponding ECG waveform
29
30 3  System Design and Development

Fig. 3.6  Formulation of T and P wave search windows with respect to the previous calculated RR
interval

Fig. 3.7  T and P wave main morphologies

Tpeak
Twaveth = tthreshin (3.1)
R peak

Ppeak
Pwaveth = pthreshin (3.2)
R peak

From Eqs. (3.1) and (3.2), tthreshin and pthreshin are set between 0.1 and 0.2 based
on the most recent detected values in the last processing window. The technique of
computing the thresholds is represented in Fig. 3.8 and illustrated in Fig. 3.9.
By comparing the local maximum or/and the local minimum points with the thresh-
olds, the waveform morphology of each wave is identified (positive monophasic,
inverted, or biphasic (+,−)/(−,+)). If the value of T or P peak is greater than the associ-
ated threshold, then the T or P wave has a positive monophasic wave form, and the local
maximum is stored to give a probable position of the peak. Otherwise, the waveform is
identified as inverted, and the local minimum of the ECG signal within the same win-
dow is the correct peak. In case of biphasic wave, both the local maximum and the
absolute value of the local minimum should be greater than the threshold.
Furthermore, the method traces the onset and offset values of the P-QRS-T waves
by finding the sample corresponding to the zero slope of the entitled ECG signal. The
sample point which has a zero slope and former to the peak is identified as the onset
3.2  Analytical Methods for ECG… 31

Fig. 3.8  Computing T and P wave thresholds based on the previous detected T peak, P peak, and
R peak values

Fig. 3.9  Peaks detection: comparing the local maximum and minimum points in each designated
wave with the threshold

point. Similarly, the offset point is determined at the other side of the peak. Sometimes,
however, a derivative sign change occurs which reflects a false indicator. To solve
this, the method adds another criterion for a correct delineation of the wave boundar-
ies based on the fact that the fiducial points tend to merge smoothly with the isoelec-
32 3  System Design and Development

tric line. The isoelectric line is approximated as the average value of the beat signal
after removing the QRS complex. This idea is utilized and combined with the zero
slope for an accurate and reliable delineation of the fiducial points.

3.3  Feature Extraction

The selection of the features is very crucial because it determines the cost and run-
ning time of the system and determines the system overall performance. This work
investigated unique combinations of ECG features to build the input space data of
the machine learner from the ECG raw data signals.
After the signal filtering and detection of the P-QRS-T waves, the ECG signal
was ready for more advanced parameter extraction. The ECG data has been ana-
lyzed and processed in a time window of 3 s, and a set of seven features representing
intervals from two consecutive cardiac cycles are extracted. Moreover, to enable a
fair comparison regardless of the sex or the age, all the extracted features have been
normalized to the average maximal QRS deviation over the entire recording.
In the course of the development of our ECG features, more than 50 features that
are a mix between previously proposed and newly features that are based on mor-
phological, spectral, and mathematical analysis of the ECG signal are examined and
analyzed independently, assuming no relationships between any of them. To
choose the best discriminative ECG features, the major statistical analysis in deci-
sion making in a biomedical research has been performed. These statistics assist the
researchers to conclude about the significance of a conducted research, and it
includes the mean error and standard deviation, the two-sided unpaired t-test, and
the area under the receiver operator characteristic curve (ROC).
In the two-sided unpaired t-test, a p-value less than 0.001  in 95% confidence
interval (CI) has been considered as statistically significant. The t-test is an inferen-
tial statistics that checks if the means of the two groups are reliably different from
each other [66]. In general, looking at the means may show a difference, but we
cannot be sure if that difference is reliable enough. So the t-test is used to allow us
to make inferences about the population beyond the available data. To know if the
t-value is reliable enough to show a difference between the two groups, the corre-
sponding p-value is used. The p-value tells us the probability or the likelihood that
there is a real difference. A p-value of 0.001 tells us that there is only 0.1% chance
of having a fake difference yet a 99.9% chance of having a real one. Thus, the
smaller the p-value, the most significant the difference is [67].
The ROC curve is a graphical display of the sensitivity (TPR) on the y-axis and
(1 – specificity) (FPR) on the x-axis for varying classification thresholds [68]. The
area under the curve (AUC) is an effective and combined measure of the sensitivity
and the specificity for assessing inherent validity of a diagnostic test. Maximum
AUC is 1, and it means that the diagnostic test is perfect in differentiating normal
with abnormal subjects. This implies both the sensitivity and the specificity are 1,
and both errors including false positive and false negative are zero. This can happen
3.3  Feature Extraction 33

when the distribution of the two groups does not overlap, as shown in Fig. 3.10. The
AUC closer to 1 indicates a better performance of the system in differentiating and
classifying groups. In this work, only the combination of ECG features with AUC
greater than 90% was selected and the rest was ignored.

3.3.1  Short-Term ECG Features

After investigation, a unique set of seven morphological features were found to


be the most indicative characteristics of ventricular arrhythmia and include RR,
PQ, QP, RT, TR, PS, and SP intervals. Figure 3.11 shows these intervals on an
ECG record. RR interval is the most widely studied interval which assesses the
ventricular rate. PQ interval represents the interval from the atrial depolariza-
tion to the ventricular depolarization and is measured from the beginning of the
P wave to the onset of the QRS complex, while QP interval is measured from the
onset of the QRS complex to the beginning of the P wave of the next cardiac

Fig. 3.10  Receiver operator characteristic (ROC) curve analysis

Fig. 3.11  The seven morphological features on ECG record


34 3  System Design and Development

cycle. RT interval is the duration of ventricular systole in which the ventricles


remain in a depolarized state [69]. It is measured from the R peak of the QRS
complex to the start of the T wave. In contrast, TR interval defines the ventricu-
lar diastole interval and thus how long the ventricles are refilled with blood fol-
lowing contraction [69]. It is measured from the start of the T wave of one
cardiac cycle to the R peak of the next cycle. The time interval between the
beginning of the P wave and the offset of the QRS complex and between the
offset of the QRS complex of 1 cycle and the beginning of the P wave of the next
cycle define PS interval and SP interval, respectively. Unlike other methods
which depend on common ECG features extracted from a single cardiac cycle
[24, 34, 70], this work processes every two consecutive cycles together and
relates the pattern changes in the extracted features to ventricular arrhythmia, as
shown in Fig. 3.12.
The number of the extracted features was selected based on the statistical analy-
sis described above (mean error and standard deviation, two-sided unpaired t-test,
area under ROC). Even though one feature (RR interval) showed a 100% accuracy
(refer to Sect. 5.1.2), multiple features were necessary for robustness. In addition,
we concluded that the chosen seven features yielded the best and optimum results in
terms of accuracy and novelty as they have not been used before.

Fig. 3.12  Representative comparison between current detection systems and the proposed one. (a)
Current systems: every processing window contains a single heartbeat. (b) Proposed system: every
processing window contains two consecutive heartbeats
3.3  Feature Extraction 35

Table 3.2  Statistical analysis of the ECG features


Feature μ ± σ (s) Group A μ ± σ (s) Group B p-value
RR Interval 0.9389 ± 0.1161 1.775 ± 0.0897 <0.001
PQ Interval 0.0690 ± 0.0456 0.044 ± 0.0586 <0.001
QP Interval 0.8691 ± 0.1396 0.9625 ± 0.1684 <0.001
RT Interval 0.4356 ± 0.0352 0.615 ± 0.3695 <0.001
TR Interval 0.5026 ± 0.1039 0.3923 ± 0.4001 <0.001
PS Interval 0.1695 ± 0.0435 0.1215 ± 0.0885 <0.001
SP Interval 0.7686 ± 0.1373 0.885 ± 0.1553 <0.001

3.3.2  Statistical Analysis

A summary of statistical data on the ECG features comparing Group A to Group B


is shown in Table  3.2. The features demonstrated a good potential in the rate of
change where the mean value differs significantly between the two groups for
p < 0.001. The mean value of the PQ interval is slightly greater among Group A
than Group B, and similar observation is for the TR and PS intervals. On the other
hand, the mean value of the heart rate variability (RR interval) is doubled in Group
B compared to Group A, which is expected. The rest of the features including QP,
RT, and SP intervals have significantly higher value of the mean in Group B com-
pared to Group A.

3.3.3  Information Gain Attribute Evaluation

Feature selection (FS) by filtering has been used to rank the importance of the seven
selected features (will be used in Chap. 5). The best feature in the feature selection
analysis has been selected in accordance with the highest accuracy. This feature has
been combined with other individual features of high relevance, and thus the best
combinations have been produced.
FS by filter is independent of the machine learner and uses an attribute evaluator
and a ranker to rank all the features in the original dataset [71]. In this work, the
information gain (IG) attribute evaluator was applied.
The foundation of the IG attribute evaluator is the entropy which measures a
system unpredictability [72]. The entropy of Y, H(Y), is given in Eq. (3.3) [71].

H (Y ) = −∑ p ( y ) log 2 ( p ( y ) ) (3.3)
y∈Y

where p(y) is the marginal probability density function for the random variable Y.
Sometimes, the observed values of Y in the training dataset are partitioned accord-
ing to the values of a second feature X. In this case, the entropy of Y after observing
X is given in Eq. (3.4) [71].
36 3  System Design and Development

H (Y |X ) = − ∑ p ( x ) ∑ p ( y|x ) log 2 ( p ( y \ x ) ) (3.4)


x∈X y∈Y

where p(y|x) is the conditional probability of y given x (more details on these prob-
abilities are given in Sect. 3.4). The IG measure reflects information about Y pro-
vided by X and is given by Eq. (3.5). In this study, Y is the class (Group A and Group
B), and X is the vector containing the seven ECG features.

IG = H (Y ) − H (Y |X ) (3.5)

3.4  Classification Using Naive Bayes

The Naive Bayes classifier is easy to build with no complicated iterative parameter
estimation which makes it particularly useful for hardware implementation. Regardless
of the correlation between the proposed features (all are extracted from the same
waveform so some correlation exists), we are assuming that our selected features are
independent for the task of VT/VF prediction. To establish that, Weka/Matlab was
used to rank the developed features, and then VT/VF prediction accuracy was com-
puted for various combinations of the developed features. The proposed combination
achieved the highest accuracy of 99.25% (more details are given in Sect. 5.1.2).
From the name, the Naive Bayes classifier is a probabilistic model which is based
on Bayes’ theorem to compute the probability of a data belonging to each class
given some observations from the training dataset [73]. For a set of feature vectors
d and class ci, the Bayes theorem is given in Eq. (3.6).

P ( d |ci ) P ( ci )
P ( ci |d ) = (3.6)
P (d )

The best class to assign a data to is the one that maximizes this conditional prob-
ability out of all the classes. This can be represented by the following Eqs. (3.7),
(3.8), and (3.9).

c = argmax P ( ci |d ) (3.7)

P ( d |ci ) P ( ci )
= argmax (3.8)
P (d )

= argmax P ( d |ci ) P ( ci ) (3.9)

3.3  Feature Extraction 37

For multiple independent feature vectors, the likelihood joint probability can be
calculated as the product of the individual conditional probabilities, as given in Eq.
(3.10).

c = argmax P ( ci ) Π P ( x|ci ) (3.10)


x
The values of each feature vector associated with each class are distributed
according to Gaussian. Hence, the likelihood conditional probability of a given
value v from a feature vector x given a class ci, P(v | ci), is evaluated using Eq. (3.11),
where μ and σ2 are the mean and the variance of the values of x associated with class
ci and are given in Eqs. (3.12) and (3.13), respectively.

1
P ( x = v|ci ) =
− ( v − µ ) / 2σ 2
2

e (3.11)
σ 2π
N
µ = ∑ ( xi ) (3.12)
i =1
1 N
∑ ( xi − µ )
2
σ2 = (3.13)
N i =1
For any unclassified new value, we built the Gaussian model by computing the
above equations from the training dataset for each class. In our case, we have two
classes only, Group A and Group B, which simplify the process even more.

3.4.1  Classification Procedure

The classification procedure is shown in Fig. 3.13. The signals from Group A and
Group B were divided randomly into different training, testing, and validation sets
to verify the performance; 64% of the data was used for training and the remaining
36% was split equally into testing and validation sets. The training and testing pro-
cedure was repeated more than once to make sure that the results were independent
of the randomization process.
Different combinations of the selected training features were fed into the classi-
fication model as input, and then the models were evaluated on the corresponding
combination test data as shown in Fig. 3.14. In addition, each combination was vali-
dated using multiple k-fold cross validations on the study dataset. The k-fold cross-­
validation procedure was repeated more than one time, and the average of the
performance was used for evaluation.
38 3  System Design and Development

Fig. 3.13  Learning flowchart of Naive Bayes classifier

Fig. 3.14  A sequence diagram of training the classifier


Chapter 4
Hardware Design and Implementation

4.1  System Architecture

The architecture of the proposed ESP is shown in Fig. 4.1. The architecture includes
the modules of the three stages along with a main FSM that controls the flow of the
data between the different stages as shown in Fig. 4.2. The processing of the data is
done using fixed point representation. The digitized ECG data is applied in series
(from testbench) at the input to the preprocessing stage with a resolution of 8 bits,
while the intermediate samples are assigned with a resolution of 16 bits (best
precision).

4.2  Design of the Preprocessing Stage

The block diagram of the preprocessing stage is shown in Fig. 4.3. The filtering is
done through a band-pass filter which is realized using flip-flops. The difference
equations of the cascaded low-pass (LPF) and high-pass (HPF) filters are given in
Eqs. (4.1) and (4.2), respectively [64]. The cutoff frequency of the LPF filter is
11 Hz, and it introduces a delay of six samples, whereas the HPF has a cutoff fre-
quency and delay of 5 Hz and 16 samples, respectively. Therefore, we cascaded the
low-pass and high-pass filters described below to achieve a 3-dB passband from
about 5–12 Hz, reasonably close to the design goal that maximizes the QRS energy
[74]. Note that these filters were designed for a sampling rate of 200 samples per
seconds.
The coefficients of the filters are all integers and to the power of two which make
them suitable for real-time implementation.

© Springer International Publishing AG 2018 39


H. Saleh et al., Self-powered SoC Platform for Analysis and Prediction
of Cardiac Arrhythmias, Analog Circuits and Signal Processing,
DOI 10.1007/978-3-319-63973-4_4
40 4  Hardware Design and Implementation

Fig. 4.1 (a) The architecture of the proposed ventricular arrhythmia prediction ESP and (b) main
control FSM

y ( nT ) = 2 y ( nT − T ) − y ( nT − 2T ) + x ( nT ) − 2 x ( nT − 6T ) + x ( nT − 12T )

(4.1)

1
y [ nT ] = x ( nT − 16T ) −  y ( nT − T ) + x ( nT ) − x ( nT − 32T )  (4.2)
32 

4.2.1  Realization of QRS Complex Detection

The different steps of PAT method are realized using flip-flopped-based implemen-
tation as given in Eqs. (4.3), (4.4), and (4.5). Both the band-pass filtered signal and
the averaged signal are stored in separate 4-k SRAM.

1
y [ nT ] = 2 x ( nT ) + x ( nT − T ) − x ( nT − 3T ) − 2 x ( nT − 4T )  (4.3)
8

y [ nT ] =  x ( nT ) 
2
(4.4)

1
y [ nT ] =  x ( nT − ( N − 1) T ) + x ( nT − ( N − 2 ) T ) +…+ x ( nT )  (4.5)
N 

4.2  Design of the Preprocessing Stage 41

Fig. 4.2  Main control FSM

Fig. 4.3  Block diagram of preprocessing stage which contains filtering, QRS detection, and P and
T wave delineation
42 4  Hardware Design and Implementation

where N is selected to be 32 (normal QRS complex duration). The R peak detection


technique has been modified to suit the hardware implementation. The flowchart of
the modified technique is shown in Fig. 4.4. Initially, the design reads the first 200
ECG samples from the SRAM which stores the samples of the averaged ECG sam-
ples. The maximum value among these samples is used to compute the initial
threshold, T h0, which is set to 50% of the maximum value among these samples.
Then, the value of every incoming sample is compared with T h0, and only the
sample value which is greater than the threshold is used in the next step. If none of
the samples have a higher value than T h0, the technique redefines the value of the
threshold and sets it to 30% of the maximum value (T hsb). As soon as the demarca-
tion of the samples which exist in the QRS complex region is done, the maximum
value among them is set as the R peak. Once R peak is detected, another threshold
is updated accordingly, T h, and is defined as 50% of that detected R peak. Every
time a new sample comes, its value is compared with T h. The process repeats itself,
and the threshold, T h, is adjusted according to the last detected R peak. Finally, the
actual R peaks are found in the filtered signal by subtracting the delay encountered
due to the filters.

Fig. 4.4  Flowchart of PAT


peak detection technique
4.2  Design of the Preprocessing Stage 43

4.2.2  Realization of T and P Wave Delineation

The general FSM which illustrates the delineation process of T and P waves is
shown in Fig. 4.5. The RR demarcation is realized by subtracting each constitutive
R peaks from each other and that what defines the RR interval. Once this is done, a
control signal called RR DONE is activated. This signal initializes the next step
which is calculating the forward and backward search windows of T and P wave,
respectively. After that, the identification of the waveform morphology of each
wave is done by calculating the thresholds given in Eqs. (3.1) and (3.2) and compar-
ing them to the local maximum and minimum samples in each window. Based on
the process illustrated in Sect. 3.2.2, T and P peaks and the onset and offset points
are located.

Fig. 4.5  FSM of T and P wave delineation. (a) Peak detection and (b) onset and offset
delineation
44 4  Hardware Design and Implementation

4.3  Design of the Classification Stage

The architecture of Naive Bayes classifier is implemented as shown in Fig. 4.6. The


training data is stored in an off-chip memory because of its size. Recall in Sect. 3.4,
the best class to assign a data to can be calculated as the product of the individual
conditional probabilities as given in Eq. (4.6).

c = argmax P ( ci )Π P ( x|ci ) (4.6)


x
Due to the fact that calculating the product of the above probabilities will lead to
float point underflow, the product operation is converted to summation by using the
log. Thus, instead of choosing the class with the highest probability, we choose the
one with the highest log score. Given that the logarithm function is monotonic, the
decision remains the same. Therefore, Eq. (4.6) can be reevaluated as given in Eq.
(4.7). The log of the probabilities is calculated using a Look-Up Table (LUT) whose
entries are w-bit wide and 2w-bit deep (w = 8). The entries in the LUT are repre-
sented in two’s complement format (integer width = 8 bits, fraction width = 8 bits).

 
c = argmax  log ( P ( ci ) ) + ∑ log( P( x|ci ))  (4.7)
 x 

The pseudocode of both the training and testing algorithms is presented in


Algorithms (1) and (2), respectively.
Algorithm 1  Training Gaussian Naive Bayes Classifier

Algorithm 1 Training Gaussian Naive Bayes Classifier


1: procedure TrainNaiveBayes
2: X ¬ Extract Feature
3: N ¬ Count Values
4: for each c Î Class
5: do Nc ¬ Count Values in c
Nc
6: prior [c] ¬
Nc N
>,
7: do µ ¬ (Xi)
i=1 N
c
>, 2
8: 2
do σ ¬ 1
Nc (Xi − µ)
i=1
9: for each V Î X
10: do condprob [v][c] ¬ LUT
11: return prior,condprob
4.4  ASIC Implementation 45

Fig. 4.6  Architecture of Naive Bayes classifier

Algorithm 2  Testing Gaussian Naive Bayes Classifier

Algorithm 2 Testing Gaussian Naive Bayes Classifier


1: procedure TestNaiveBayes (prior, condprob)
2: Z ¬ Extract Feature
3: for each c Î Class
4: do c = condprob [c][Z] ¬ LUT
5: return argmax c

4.4  ASIC Implementation

Standard cell-based ASIC design flow requires several steps that are illustrated
using the process flowchart shown in Fig. 4.7.

4.4.1  Set Specifications and Prepare the Golden Model

Any design should start with proper identification of the general purpose intended
from that design along with specifying all the inputs, outputs, and the relationship
between them. Once this is done, a golden model is generated. Golden model is a
high-level modeling of an intended design where all the specifications which are set
and determined at earlier stage are accurately modeled and thoroughly evaluated
before proceeding. Different modeling software could be used at this stage, and the
Matlab was the one employed in this work.
46 4  Hardware Design and Implementation

Fig. 4.7  Main steps in


ASIC design

4.4.2  RTL Coding and Testbench

RTL, or register transfer level, is a behavioral description of the design using a


hardware description language: Verilog in our case. It gives a high-level represen-
tation of the design and describes the flow of the signals between registers by using
assign and always@ commands. All the stages and the techniques described in
Chap. 3 were coded in ModelSim tool and verified by a testbench. A testbench is a
code written to verify and evaluate the functionality of the RTL code and thus the
design by specifying different cases and real-time scenarios and observing the
output.

4.4.3  Synthesis

The RTL code is then transformed into gate-level netlist using the synthesis tool
from Synopsys. The tool reads the RTL codes along with the library components
(both combination and sequential 65-nm cells) to generate the circuit implementa-
tion of the design. Another input is the design constraints which were set as given in
Table 4.1.
4.4  ASIC Implementation 47

Table 4.1  Design constraints Clock period 1e−4 s


of the proposed design
Input delay 0.15 of clock period
Output delay 0.15 of clock period
Clock 1.8 ns
uncertainty
Clock latency 1 ns

4.4.4  IC Compiler (ICC)

ICC is used to generate the physical design and the layout which is done by per-
forming the following steps:
• Initialize Floor Plan:
Floor plan includes information on the core area, top-level ports, and place-
ment cites. It can be controlled by various parameters such as the aspect ratio, the
core utilization, or the boundary (fixed size). In this work, the ESP processor was
realized in a die with dimensions of 500 μm by 300 μm (chip size).
• Create Rectangular Rings:
The power and ground rings were added to the design on metal layer 4 and
metal layer 5.
• Create Power Straps:
The power straps were connected to the rectangular power and ground rings
on metal layer 5.
• Core Placement:
The standard cells (STD cells) were placed, and the IO locations were adjusted
based on the STD-cell placement.
• Core Clock Tree Synthesis (CTS) and Optimization:
The clock tree synthesis, routing of the clock nets, extraction, optimization,
and hold-time violation fixing on the current design were performed at this stage.
The ECG processor met the timing for setup and hold at the targeted clock fre-
quency of 10  kHz. Figure  4.8 shows the critical timing path of the ESP
processor.
• Pre-route Standard Cells:
The power and ground pins of the standard cells were connected to the power
rings and straps at this step.
• Core Routing and Optimization:
Simultaneous routing and post-route optimization on the current design were
then performed at this stage, and the routing was limited to metal layer 5.

4.4.5  Chip Finishing

Finally, decoupling cap cells were used to fill the empty spaces in between the STD
cells. Figure 4.9 shows the final implemented design with a key to the major build-
ing blocks location. The ESP was realized in 500 μm by 300 μm die, with a total
48 4  Hardware Design and Implementation

Fig. 4.8  Critical timing path of the design

Fig. 4.9  ICC result of the proposed ESP


4.4  ASIC Implementation 49

Table 4.2 ESP Parameter Figure


implementation data
Combinational area 0.018 mm2
Total cell area 0.112 mm2
Internal power 3e−4 mW
Switching power 1.22e−5 mW
Leakage power 2.46 μW
Total power 2.78 μW
Utilization 85%

utilization of 85%. Table 4.2 presents the implementation parameters of the design.


The design occupied a total core area of 0.112  mm2 and a total statistical power
consumption of 2.78 μW at 10 kHz frequency.
Chapter 5
Performance and Results

5.1  Matlab Simulation Results

An end-to-end simulation was done on Matlab to evaluate the overall performance


of the proposed system and analyze the results before the actual hardware imple-
mentation. The following subsections present the performance of each stage.

5.1.1  Performance of the Preprocessing Stage: Part 1

The performance evaluation of the implemented QRS detector was calculated on


the PhysioNet QTDB [62] between the annotated and automated results. It was
assessed by evaluating the recall (SE) and precision (P) as shown in Eqs. (5.1) and
(5.2).

TP
SE = (5.1)
TP + FN

TP
P= (5.2)
TP + FP

where TP (true positive) stands for the number of true events, FN (false negative)
denotes the number of false-negative events, and FP (false positive) refers to the
number of false-positive events. The QRS complex detector achieved a recall of
SE = 99.83% and a precision of P = 98.65%. The performance of the proposed QRS
detector is compared against other sophisticated detectors based on decision rules,
Discrete Wavelet Transform, and Hidden Markov Model as shown in Table  5.1.
Since the database used in each work is different from the other, the comparison is

© Springer International Publishing AG 2018 51


H. Saleh et al., Self-powered SoC Platform for Analysis and Prediction
of Cardiac Arrhythmias, Analog Circuits and Signal Processing,
DOI 10.1007/978-3-319-63973-4_5
52 5  Performance and Results

Table 5.1  Recall and precision of QRS complex detectors


Reference Technique SE P
[75] Decision rules on QRS complex 99.69% 99.77%
[76] Wavelet transform 99.92% 99.88%
[77] Hidden Markov model 99.24% 99.88%
This work Improved PAT 99.83% 98.65%

Table 5.2  Performance evaluation of the ECG signal processing technique: Matlab results
Refer­ Param­
ence eter Ppeak Poff Qon Rpeak Soff Tpeak Toff
[76] μ (ms) 3.6 1.9 4.6 NA 0.8 0.2 −1.6
// o (ms) 13.2 12.8 7.7 NA 8.7 13.9 18.1
[78] μ (ms) −15.3 −16.6 3.7 3.8 12.1 5 3.1
// o (ms) 29.3 20.8 7.8 9.8 16.6 9.5 16
[79] μ (ms) 2.8 5.6 4.1 −5.2 5.1 7.6 11.2
// o (ms) 25.3 28.6 8.7 15.6 12.4 15 20.8
[80] μ (ms) NA −6.1 9.1 NA 2.6 NA 12.1
// o (ms) NA 11.7 7.6 NA 10.2 NA 21.5
[81] μ (ms) NA 12.8 3.5 NA 2.4 NA 8.3
// o (ms) NA 13.2 6.1 NA 10.3 NA 12.4
[82] μ (ms) 4.8 −0.1 NA NA NA −7.2 13.5
// o (ms) 10.6 12.3 NA NA NA 14.3 2.7
This μ (ms) 5.5050 −2.5962 −4.9719 −1.1025 −4.9719 −1.3671 6.3682
work
// o (ms) 8.6467 7.9140 6.7037 4.5076 6.7037 12.0788 14.6465

not fair enough. But generally speaking, the sensitivity of the proposed QRS detec-
tor is better compared to all reported detectors.
Moreover, the mean error (μ) and the standard deviation (σ) of the fiducial points
including P peak, P offset, Q onset, R peak, S offset, T peak and T off-set were cal-
culated between the automated and annotated results on the same database. The
delineation performance of the P-QRS-T waves is shown in Table 5.2 along with a
comparison to other delineation techniques proposed in the literature. The proposed
adaptive technique delineates Ppeak, Poff, Qon, Rpeak, Soff, Tpeak, and Toff with mean error
of 5.5050 ms, −2.5962 ms, −4.9719 ms, −1.1025 ms, −4.9719 ms, −1.3671 ms,
and 6.3682  ms, respectively, which is better than most of the delineated results
reported in Table 5.2. The performance of the technique is highly accurate and sat-
isfactory for advanced ECG feature extraction.
Figure 5.1 displays graphical representation of the results including the QRS
complex detection along with T and P wave delineation. It is clear that all the fidu-
cial points within the designated search windows were successfully identified.
5.1  Matlab Simulation Results 53

Fig. 5.1  Matlab results of QRS detection and T and P wave delineation

Table 5.3  Performance of the individual features (window size = 3 s)


Training set (%) Testing set (%)
Feature ACC SE P ACC SE P
RR Interval 100 100 100 100 100 100
PQ Interval 94.157 94.2 88.7 94.15 94.2 88.7
PS Interval 92.23 92.2 90.9 98.89 98.9 99.1
RT Interval 94.32 94.3 93.4 99.44 99.4 99.2
QP Interval 94.15 94.2 88.7 99.5 99.5 99
SP Interval 94.1 94 89 99.5 99.45 99
TR Interval 94.3 94.3 92.5 98.99 99 99.3

5.1.2  Performance of the Feature Extraction Stage

5.1.2.1  Performance of Individual Features

The performance of the individual features based on the Naive Bayes classifier and
using training and test dataset with 3-s window length is presented in Table  5.3.
Note that ACC stands for the accuracy and it is calculated as given in Eq. (5.3).
From Eq. (5.3), TN (true negative) refers to the number of true negative events.
TP + TN
ACC = (5.3)
TP + TN + FP + FN

Furthermore, the individual discrimination ability of each ECG feature was stud-
ied by analyzing the receiver operating characteristic (ROC) curves at different
54 5  Performance and Results

thresholds based on the predictive probability. The performance of the features was
assessed by the area under the ROC curves (AUC) as shown in Fig. 5.2.

5.1.2.2  Performance of Feature Combinations

Table 5.4 shows the rank of the seven ECG features sorted by the IG feature selec-
tion. The ranking was used to form the different combinations of the ECG features.
These unique combinations of the ECG features were tested to find out the set with
the best performance. The first combination contained the least two ranked features

Fig. 5.2  ROC curves calculated for ventricular arrhythmia vs non-ventricular arrhythmia conditions

Table 5.4  Ranking analysis Rank Feature


of the ECG features using
1 RR interval
IG–FS
2 PQ interval
3 PS interval
4 RT interval
5 QP interval
6 SP interval
7 TR interval
5.1  Matlab Simulation Results 55

Table 5.5  Performance of the feature combinations (window size = 3 s)


Combination Combination Training set (%) Testing set (%)
number intervals ACC SE P AUC ACC SE P AUC
#1 TR, SP 93.85 93.9 91.9 75 99.39 99.4 99 65
#2 TR, SP, QP 93.37 93.4 91.6 74.7 99.4 99.4 99 51.4
#3 TR, SP, QP, 95.9 96 95.5 85.5 99.29 99.3 99 42.7
RT
#4 TR, SP, QP, 94.96 95 94.2 93.4 98.95 98.9 99 84
RT, PS
#5 TR, SP, QP, 95 95 94.4 93.5 98.849 98.8 98.9 83.5
RT, PS, PQ
#6 TR, SP, QP, 99.2 99.9 99.9 99.6 99.9 99.9 99.9 99.9
RT, PS, PQ,
RR

Table 5.6  Fivefold cross-validation results of the feature combinations (window size = 3 s)
Validation set (%)
Combination number Combination intervals ACC SE P AUC
#1 TR, SP 95.6 96 94.4 79.8
#2 TR, SP, QP 94.73 94.7 94.5 77.8
#3 TR, SP, QP, RT 96.65 96.7 96.2 82.9
#4 TR, SP, QP, RT, PS 95.48 95.5 95.7 92.2
#5 TR, SP, QP, RT, PS, PQ 95.76 95.8 95.9 92.4
#6 TR, SP, QP, RT, PS, PQ, RR 99.98 99.9 100 99

and included the TR and SP intervals. Then, for each new combination, we cumula-
tively added one feature till we get the last combination which included all the seven
features. Table 5.5 shows the performance of the feature combinations with the 3-s
window. Notice that the best performance of the training and testing sets was for the
last combination where the seven features were grouped all together.

5.1.3  Performance of the Classification Stage: Part 1

The performance of the classifier was analyzed using each combination indepen-
dently. Different k-fold cross validations were investigated on the study dataset and
repeated more than one time for each procedure. The out-of-sample average perfor-
mances of the fivefold, sevenfold, and tenfold cross validations are shown in Tables
5.6, 5.7, and 5.8, respectively. The best out-of-sample performance was for the last
combination by any k-fold value. An ACC of 99.98%, SE of 98.9%, and P of 99%
were obtained by the tenfold cross validation. In addition, the AUC values for most
of the combinations by any k-fold cross validations were significant too.
56 5  Performance and Results

Table 5.7  Sevenfold cross-validation results of the feature combinations (window size = 3 s)
Validation set (%)
Combination number Combination intervals ACC SE P AUC
#1 TR, SP 95.54 96 94 79.7
#2 TR, SP, QP 94.8 94.8 94.5 77.6
#3 TR, SP, QP, RT 96.6 96.7 96.3 82.5
#4 TR, SP, QP, RT, PS 95.36 95.4 95.6 92.1
#5 TR, SP, QP, RT, PS, PQ 95.3 95.4 95.7 92.7
#6 TR, SP, QP, RT, PS, PQ, RR 99.98 99.9 100 99

Table 5.8  Tenfold cross-validation results of the feature combinations (window size = 3 s)
Validation set (%)
Combination number Combination intervals ACC SE P AUC
#1 TR, SP 95.52 96 94.4 79.9
#2 TR, SP, QP 94.7 94.7 94 77.8
#3 TR, SP, QP, RT 96.7 96.7 96.2 83
#4 TR, SP, QP, RT, PS 95.44 95.4 95.7 92.2
#5 TR, SP, QP, RT, PS, PQ 95.42 95.4 96 93
#6 TR, SP, QP, RT, PS, PQ, RR 99.98 98.9 99 99.97

5.1.4  Comparison to Published Work: Part 1

We compared the proposed system against other systems reported in [24, 26, 34, 70,
83] in terms of ACC, SE, P, AUC, and CalT (calculation time), length of processing
window in seconds, and the database used. The results of this comparison are shown
in Table 5.9. The tenfold cross validation yielded the best values for the ACC, SE,
P, and AUC as compared to others. In addition, most of the reported systems fall in
the category of detection of the ventricular arrhythmia, while the proposed system
is used for prediction as the processing of the signal was done only before the onset.
Furthermore, our system has the fastest performance (CalT = 1 s) with the earliest
prediction interval (up to 3 h). Note that the comparison was made on the 6th
combination.

5.2  ASIC Implementation Results

The whole system was coded in RTL Verilog and was extensively simulated to ver-
ify the functionality. A testbench was developed to thoroughly cover the corner
cases of processing the ECG signal. The input was digitized and came at a sampling
rate of few hertz. Thus, the sampling data was different from the processed one.
Table 5.9  Comparison between the proposed system and others
Window size
Reference Method ACC SE P AUC CalT (s) (s) Database
5.2  ASIC Implementation Results

[24], Chazal et al. ECG morphology, 97.4% 77.7% 81.9% NA NA NA MIT-BIH


heartbeat interval, RR
interval
[26], Amann et al. Time delay 96.2% 79.0% 97.8% 94.0% 1.7 8 MIT-BIH, AHA,
CUDB
[34], Alonso et al. FS and SVM 96.8% 97.1% 61.6% 98.7% NA 8 MIT-BIH,
CUDB, VFDB
[70], Q. Li et al. SVM 98.1% 98.4% 98% NA NA 5 AHA, CUDB,
VFDB
[83], Sayadi et al. Bayesian framework 99.1% 98.77% 97.47% NA NA NA MIT-BIH
This work Unique set of ECG 99.98% 98.9% 99% 99.97% 1 3 NSRDB, AHA,
(tenfold cross morphologies and MIT-BIH
validation) Naive Bayes
57
58 5  Performance and Results

ModelSim was used for the RTL simulation which was incorporated into Matlab to
verify the correctness of the RTL-generated results. Figure  5.3 shows a sample
waveform of the top-level simulation in ModelSim. Note that the analysis of the
following sections is similar to the ones explained in Sect. 5.1.

5.2.1  Performance of the Preprocessing Stage: Part 2

The mean error (μ) and the standard deviation (σ) of the extracted fiducial points
including Ppeak, Poffset, Qonset, Rpeak, Soffset, Tpeak, and Toffset were calculated with a refer-
ence to the annotations. Numerical and graphical representation of the Verilog
results are shown in Table 5.10 and Fig. 5.4, respectively.

5.2.2  Performance of the Classification Stage: Part 2

The classification results which were obtained after simulating the ECG data in
ModelSim are shown in Table 5.11. These results are based on the classifier output
on 3-s processing window. Moreover, the true positive rate (TPR) of Group A
records is shown in Fig.  5.5 with TPR of 87% and 82.1% as the best and worst
cases, respectively.

5.2.3  Comparison to Published Work: Part 2

The performance of the proposed ESP was compared against four other published
designs from the literature, and the comparison details are shown in Table 5.12. This
work used a bulk CMOS process of 65-nm feature size. The ESP resulted in the
smallest possible area among the others: 0.112 mm2 with an operating frequency of
10 kHz and a voltage supply of 1.2 V. Furthermore, the proposed ESP is the only
processor that is used for the prediction of ventricular arrhythmia, while all the rest
do detection of it.
It is worthy knowing that the reported power in Table 5.12 varies greatly among
the other presented work due to the fact that different frequencies and voltage sup-
plies along with different technologies were used. To show the sensitivity of our
system power consumption to the targeted frequency, we run the design at different

Table 5.10  Performance evaluation of the ECG signal processing technique: Verilog results
Parameter Ppeak Poff Qon Rpeak Soff Tpeak Toff
μ (ms) 10.3 9.89 8.1 6.5 1.1 3.6 −9.6
σ (ms) 15.3 14.2 11.2 8.41 9.41 12.1 20.1
5.2  ASIC Implementation Results 59

Fig. 5.3  ModelSim simulation of the system (ECG data belongs to NSRDB: Record
“16265”)
60 5  Performance and Results

Fig. 5.4  Verilog results of QRS detection and T and P wave delineation

Table 5.11 Performance Overall result Percentage (%)


evaluation of the ECG signal
True positive (TP) 84.26
processing technique: Verilog
results True negative (TN) 87
False negative 15.74
(FN)
False positive (FP) 13

Fig. 5.5  Percentage of true positive rate in Group A records


5.2  ASIC Implementation Results 61

Table 5.12  Comparison between the proposed ESP and other implemented systems
Reference Technology (μm) Area (mm2) Power (μW) Detection accuracy
(%)
[4] 0.18 2.4649 5.967 97.25
[5] 0.065 3.3205 0.092 NA
[59] 0.18 2.25 1.26 NA
This work 0.065 0.112 2.78 NA
Reference Prediction accuracy Supply Frequency Type
(%) voltage (V) (KHz)
[4] NA 1.2 0.12 SoC
[5] NA 0.4 10 SoC
[59] NA 0.7 1 SoC
This work 99.98 1.2 10 ASIC

Fig. 5.6  Trade-off between the operating frequency and the consumed power

clock frequencies ranging from 10 kHz to 4 MHz at 1.2 V, and Fig. 5.6 shows the
estimated power consumed at those frequencies. Since we are processing ECG
­signal, a frequency of 10 kHz is more than suitable for this task, and our system at
this frequency consumed a power of 2.78 μW that deems it very suitable for wear-
able devices.
62 5  Performance and Results

5.3  First Tapeout

An initial tapeout of the proposed ESP was done on August 2014 and included the
first two stages: preprocessing and feature extraction. However, the search windows
and thresholds of the T and P waves were assigned to fixed values (no adaptation).
As for the classifier, it was not finalized at that time of period so we excluded it. This
tapeout was intended for testing of the ECG feature extractor engine. The whole
ESP including the adaptation mechanism of the proposed T and P wave delineation
methods and the classifier will be taped out in May 2015 (in progress). In this sec-
tion, a summary of the first chip results is presented.

5.3.1  Testing and Implementation

The ECG processor was fabricated in a standard 65-nm CMOS process. The setup
environment of the SoC is shown in Fig. 5.7. The input ECG samples were provided
by Matlab through connecting it with the NI ELVIS II board. The extracted ECG
features were analyzed on the Agilent waveform analyzer and stored in a USB for
further analysis in Matlab.
At an operating voltage of 1.2 V, it consumed 11 mW with a clock frequency of
2.5 kHz. The engine occupied a total area of 1 mm2. Worthy of mentioning is that the
7-kbyte memory needed for this block was realized using flop-based memory (proof
of concept) which had of course a negative impact on the overall area and power
consumption. Figure 5.8 and Table 5.13 show the die photograph alongside the per-
formance summary, respectively.

Fig. 5.7  SoC setup environment


5.3  First Tapeout 63

The performance of the proposed algorithm was evaluated on the PhysioNet QT


database [62] using 20 single-lead ECG records sampled at 250 Hz. The mean error
(μ) and the standard deviation (σ) of the fiducial points including Q onset, R peak, S
offset, T peak, and T offset were calculated between the annotated and automated
results, and the results are displayed in Table 5.14. The performance of the proposed
algorithm was significantly improved after adding the adaptation techniques which
were discussed in Sect. 3.2.2 (also refer to Table 5.2). Figure 5.9 shows representa-
tive hardware results on the QRS complex detection along with T wave delineation.

Fig. 5.8  Die photo of the


chip

Table 5.13 Performance Parameter Figure


summary of the chip
Technology 65 nm
Voltage supply 1.2 V
Area 1.1 mm2
Operating frequency 2.5 kHz
Power consumption 11 mW
Input data bit width 8 bits
Intermediate data bit width 16 bits

Table 5.14  Performance evaluation of the ECG processor: chip results


Parameter Qon Rpeak Soff Tpeak Toff
μ (ms) 38.17 6.5 1.1 22.6 −19.6
σ (ms) 37.3 36.47 49.6 15.8 20.9
64 5  Performance and Results

Fig. 5.9  Silicon results of the ECG processor for different records
Chapter 6
Conclusions

In this work, a fully integrated digital ECG signal processor (ESP) for the prediction
of ventricular arrhythmia that combines a unique set of ECG features with Naive
Bayes was presented. Real-time and adaptive techniques for the detection and delin-
eation of the P-QRS-T waves were utilized to extract the fiducial points. These
adaptive techniques gave the system robustness in dealing with ECG signal varia-
tions with high sensitivity and precision. They employed adaptive search windows
and thresholds based on previously detected values to identify each wave with its
morphology (monophasic, inverted, biphasic). Furthermore, seven features which
represent different intervals of the ECG signal were extracted and used as input to
the Naive Bayes to classify each heartbeat as normal or abnormal. The combination
of these features has never been used in any previous detection or prediction system
yet proved to be highly efficient in predicting VT/VF.  The proposed system was
tested using two databases of heart recordings from the MIT PhysioNet and the
American Heart Association (AHA) and verified under different scenarios. The sys-
tem achieved an outstanding capability of predicting the arrhythmia up to 3 h before
the onset. Based on Matlab testing results, an accuracy (ACC) of 99.98%, recall
(SE) of 98.9%, and precision (P) of 99% were obtained on the out-of-sample valida-
tion data by tenfold cross validation with 3 s window size.
The ESP processor was implemented using the GlobalFoundries 65  nm low-­
power CMOS process. Standard cell-based ASIC design flow was used and included:
Verilog RTL coding, verification, synthesis, floor planning, placement, routing, and
chip finishing. The ESP occupied 0.112 mm2 area and consumed 2.78 μW power at
an operating frequency of 10 kHz at 1.2 V. The small area, low power, and high
performance of the proposed ESP make it suitable for inclusion in system on chips
(SoCs) targeting wearable mobile medical devices.

© Springer International Publishing AG 2018 65


H. Saleh et al., Self-powered SoC Platform for Analysis and Prediction
of Cardiac Arrhythmias, Analog Circuits and Signal Processing,
DOI 10.1007/978-3-319-63973-4_6
Bibliography

1. S. Patel, H. Park, P. Bonato, L. Chan, M. Rodgers, A review of wearable sensors and systems
with application in rehabilitation. J. Neuroeng. Rehabil. 9(1), 21 (2012)
2. G.C. Domınguez, J.L.R. Sotelo, E.D. Trejos, Long-Term Biosignal Processing for Cardiac
Arrhythmia Detection: Unsupervised Approaches. Universidad Nacional de Colombia
Faculty of Engineering and Architecture Department of Electrical, Electronics and Computer
Engineering Manizales 2010, Colombia: ISBN: 978-958-761-219-6, (2012). http://www.
bdigital.unal.edu.co/2312/1/joseluisrodriguezsotelo.2010_Parte1.pdf
3. A.S. Go, D. Mozaffarian, V.L. Roger, E.J. Benjamin, J.D. Berry, M.J. Blaha, S. Dai, E.S. Ford,
C.S. Fox, S. Franco, et al., Heart disease and stroke statistics–2014 update: a report from the
American Heart Association. Circulation 129(3), e28 (2014)
4. S. Lee, J. Hong, K. Lin, C. Hsieh, M. Liang, S. Chien, Low-power wireless ECG acquisition
and classification system for body sensor networks. IEEE J. Biomed. Health Inform. 19(1),
236–246 (2015)
5. Y.-P.  Chen, D.  Jeon, Y.  Lee, Y.  Kim, Z.  Foo, I.  Lee, N.B.  Langhals, G.  Kruger, H.  Oral,
O. Berenfeld, et al., An injectable 64 nW ECG mixed-signal SoC in 65 nm for arrhythmia
monitoring. IEEE J. Solid State Circuits 50(1), 375–390 (2015)
6. J.W. Schleifer, K. Srivathsan, Ventricular arrhythmias: state of the art. Cardiol. Clin. 31(4),
595–605 (2013)
7. D.P. Zipes, H.J. Wellens, Sudden cardiac death. Circulation 98(21), 2334–2351 (1998)
8. L. Devlin, Kettering hospital reveals victoria harrison death errors. (Online). Available: http://
www.bbc.com/news/uk-england-northamptonshire-26681550
9. G.H.  Bardy, K.L.  Lee, D.B.  Mark, J.E.  Poole, D.L.  Packer, R.  Boineau, M.  Domanski,
C. Troutman, J. Anderson, G. Johnson, et al., Amiodarone or an implantable cardioverter–
defibrillator for congestive heart failure. N. Engl. J. Med. 352(3), 225–237 (2005)
10. M.C. Medtronic, The standard of care for cardiac device patients. (Online). Available: http://
www.medtronicheart.com/clinicians-administrators/
11. American Heart Assosiations, Ventricular fibrillation. (2015). (Online; reviewed
23-October-2014)
12. American Heart Assosiation, Tachycardia fast heart rate. (2015). (Online). Available: http://
www.heart.org/HEARTORG/Conditions/Arrhythmia/AboutArrhythmia/Tachycardia-Fast-
Heart-RateUCM302018Article.jsp
13. M. Nambakhsh, V. Tavakoli, N. Sahba et al., FPGA-core defibrillator using wavelet-fuzzy
ECG arrhythmia classification, in Engineering in Medicine and Biology Society, 2008. EMBS
2008. 30th Annual International Conference of the IEEE (IEEE, 2008), p. 2673–2676
14. I.  Kuon, J.  Rose, Measuring the gap between FPGAS and ASICS.  IEEE Trans. Comput.
Aided Des. Integr. Circuits Syst. 26(2), 203–215 (2007)
© Springer International Publishing AG 2018 67
H. Saleh et al., Self-powered SoC Platform for Analysis and Prediction
of Cardiac Arrhythmias, Analog Circuits and Signal Processing,
DOI 10.1007/978-3-319-63973-4
68 Bibliography

15. M. Mneimneh, E. Yaz, M. Johnson, R. Povinelli, An adaptive Kalman filter for remov-
ing baseline wandering in ECG signals, in Computers in Cardiology, 2006 (IEEE, 2006),
p. 253–256. http://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=4511836&isnum
ber=4511770
16. X. Hu, Z. Xiao, N. Zhang, Removal of baseline wander from ECG signal based on a statisti-
cal weighted moving average filter. J. Zhejiang Univ. Sci. C 12(5), 397–403 (2011)
17. B.-S. Lin, B.-S. Lin, W.-C. Lee, F.-C. Chong, Y.-D. Lin, Removing residual power-line inter-
ference using WHT adaptive filter, in Engineering in Medicine and Biology, 2002. 24th Annual
Conference and the Annual Fall Meeting of the Biomedical Engineering Society EMBS/BMES
Conference, 2002. Proceedings of the Second Joint, vol. 1 (IEEE, 2002), p. 155–156
18. Z. Zhidong, M. Chan, A novel cancellation method of powerline interference in ECG sig-
nal based on EMD and adaptive filter, in 2008 11th IEEE International Conference on
Communication Technology (2008), p. 517–520
19. H. Bharath, K. Prabhu, A new LMS based adaptive interference canceller for ECG power
line removal, in Biomedical Engineering (ICoBE), 2012 International Conference on (IEEE,
2012), p. 68–73
20. Z.-D.  Zhao, Y.-Q.  Chen, A new method for removal of baseline wander and power line
interference in ECG signals, in Machine Learning and Cybernetics, 2006 International
Conference on (IEEE, 2006), p. 4342–4347
21. J. Mateo, C. Sánchez, A. Tortes, R. Cervigon, J. Rieta, Neural network based canceller for
powerline interference in ECG signals, in Computers in Cardiology, 2008, (IEEE, 2008),
pp. 1073–1076
22. K.  Chan, Y.  Zhang, Adaptive reduction of motion artifact from photo-plethysmographic
recordings using a variable step-size LMS filter, in Sensors, 2002. Proceedings of IEEE, vol.
2 (IEEE, 2002), p. 1343–1346
23. S. Seyedtabaii, L. Seyedtabaii, Kalman filter based adaptive reduction of motion artifact from
photoplethysmographic signal, in Proceedings of World Academy of Science, Engineering
and Technology, vol. 27 (Citeseer, 2008)
24. P. De Chazal, M. O’Dwyer, R.B. Reilly, Automatic classification of heartbeats using ECG
morphology and heartbeat interval features. IEEE Trans. Biomed. Eng. 51(7), 1196–1206
(2004)
25. A.H.  Khandoker, M.H.  Imam, J.P.  Couderc, M.  Palaniswami, J.F.  Jelinek, QT variabil-
ity index changes with severity of cardiovascular autonomic neuropathy. IEEE Trans. Inf.
Technol. Biomed. 16(5), 900–906 (2012)
26. A. Amann, R. Tratnig, K. Unterkofler, Detecting ventricular fibrillation by time-delay meth-
ods. IEEE Trans. Biomed. Eng. 54(1), 174–177 (2007)
27. S. Barro, R. Ruiz, D. Cabello, J. Mira, Algorithmic sequential decision-making in the fre-
quency domain for life threatening ventricular arrhythmias and imitative artefacts: a diagnos-
tic system. J. Biomed. Eng. 11(4), 320–328 (1989)
28. R.J.  Oweis, E.W.  Abdulhay, Seizure classification in EEG signals utilizing Hilbert-Huang
transform. Biomed. Eng. Online 10(1), 38 (2011)
29. I. Murthy, G. Prasad, Analysis of ECG from pole-zero models. IEEE Trans. Biomed. Eng.
39(7), 741–751 (1992)
30. S.S. Mehta, N.S. Lingayat, Detection of P and T-waves in electrocardiogram, in Proceedings
of the World Congress on Engineering and Computer Science, San Francisco, 22–24 October
2008, pp. 22–24
31. X.-S.  Zhang, Y.-S.  Zhu, N.V.  Thakor, Z.-Z.  Wang, Detecting ventricular tachycardia and
fibrillation by complexity measure. IEEE Trans. Biomed. Eng. 46(5), 548–555 (1999)
32. H. Li, W. Han, C. Hu, M.-H. Meng, Detecting ventricular fibrillation by fast algorithm of
dynamic sample entropy, in Robotics and Biomimetics (ROBIO), 2009 IEEE International
Conference on (IEEE, 2009), p. 1105–1110
33. S. Caswell Schuckers, Approximate entropy as a measure of morphologic variability for ven-
tricular tachycardia and fibrillation, in Computers in Cardiology 1998 (IEEE, Long Beach,
1998), p. 265–268
Bibliography 69

34. F.  Alonso-Atienza, E.  Morgado, L.  Fernandez-Martinez, A.  García-Alberola, J.  Rojo-
Alvarez, Detection of life-threatening arrhythmias using feature selection and support vector
machines. I.E.E.E. Trans. Biomed. Eng. 61(3), 832–840 (2014)
35. I. Jekova, Shock advisory tool: detection of life-threatening cardiac arrhythmias and shock
success prediction by means of a common parameter set. Biomed. Signal Process. Control
2(1), 25–33 (2007). http://www.springer.com/gp/book/9783319022994
36. Y. Ma, G. Guo, Support Vector Machines Applications (Springer, 2014). http://www.springer.
com/gp/book/9783319022994
37. K. Polat, B. Akdemir, S. Güneş, Computer aided diagnosis of ECG data on the least square
support vector machine. Digital Signal Process. 18(1), 25–32 (2008)
38. E.D. Übeyli, Support vector machines for detection of electrocardiographic changes in partial
epileptic patients. Eng. Appl. Artif. Intell. 21(8), 1196–1203 (2008)
39. B.M. Asl, S.K. Setarehdan, M. Mohebbi, Support vector machine-based arrhythmia classifica-
tion using reduced features of heart rate variability signal. Artif. Intell. Med. 44(1), 51–64 (2008)
40. J.S. Yadav, M. Yadav, A. Jain, Artificial neural network. Int. J. Sci. Res. Educ 1(06), 108–117
(2014)
41. S.M. Jadhav, S.L. Nalbalwar, A.A. Ghatol, Generalized feedforward neural network based car-
diac arrhythmia classification from ECG signal data, in Advanced Information Management
and Service (IMS), 2010 6th International Conference on (IEEE, 2010), p. 351–356
42. B. Anuradha, V.V. Reddy, ANN for classification of cardiac arrhythmias. ARPN J. Eng. Appl.
Sci. 3(3), 1–6 (2008)
43. E.D. Übeyli, Combining recurrent neural networks with eigenvector methods for classifica-
tion of ECG beats. Digital Signal Process. 19(2), 320–329 (2009)
44. S.R. Eddy, What is a hidden Markov model? Nat. Biotechnol. 22(10), 1315–1316 (2004)
45. W.  Cheng, K.  Chan, Classification of electrocardiogram using hidden markov models,
in Engineering in Medicine and Biology Society, 1998. Proceedings of the 20th Annual
International Conference of the IEEE (IEEE, 1998), p. 143–146
46. D.M. Witten, R. Tibshirani, Penalized classification using fisher’s linear discriminant. J. R.
Stat. Soc. Ser. B Stat. Methodol. 73(5), 753–772 (2011)
47. J.-S. Wang, W.-C. Chiang, Y.-T. Yang, Y.-L. Hsu, An effective ECG arrhythmia classification
algorithm, in Bio-Inspired Computing and Applications (Springer, 2012), p. 545–550. https://
link.springer.com/chapter/10.1007/978-3-642-24553-4_72
48. M. Elgendi, M. Jonkman, F. De Boer, Premature atrial complexes detection using the fisher
linear discriminant, in Cognitive Informatics, 2008. ICCI 2008. 7th IEEE International
Conference on (IEEE, 2008), p. 83–88
49. Y.-C. Yeh, W.-J. Wang, C.W. Chiou, Cardiac arrhythmia diagnosis method using linear dis-
criminant analysis on ECG signals. Measurement 42(5), 778–789 (2009)
50. S. Kharya, S. Agrawal, S. Soni, Naive Bayes classifiers: a probabilistic detection model for
breast cancer. Int. J. Comput. Appl. 92(10), 26–31 (2014)
51. J. Huang, J. Lu, C.X. Ling, Comparing naive bayes, decision trees, and svm with auc and
accuracy, in Data Mining, 2003. ICDM 2003. Third IEEE International Conference on
(IEEE, 2003), p. 553–556
52. M. Wiggins, A. Saad, B. Litt, G. Vachtsevanos, Evolving a Bayesian classifier for ECG-based
age classification in medical applications. Appl. Soft Comput. 8(1), 599–608 (2008)
53. A.M. Alturki, A.M. Al-Ghamdi, K. Daqrouq, R. Al-Hmouz, Application of ECG arrhythmia
classification by means of Bayesian theorem. J. Appl. Sci. 14(2), 165–170 (2014)
54. L.A. Zadeh, Fuzzy sets. Inf. Control. 8(3), 338–353 (1965)
55. M.G. Voskoglou, Case-based reasoning: a recent theory for problem-solving and learning in
computers and people, in The Open Knowledge Society. A Computer Science and Information
Systems Manifesto (Springer, Berlin, Heidelberg, 2008), p. 314–319
56. A. Sengur, I. Turkoglu, A hybrid method based on artificial immune system and fuzzy k-NN
algorithm for diagnosis of heart valve diseases. Expert Syst. Appl. 35(3), 1011–1020 (2008)
57. T.-F.  Chiu. C-W.  Chu, J.-L.  Wu, A hybrid case-based reasoning approach for the electro-
cardiogram diagnosis, in Proceeding of the 7th World Multiconference on Systemics (IEEE,
2003), p. 93–98
70 Bibliography

58. B.-Y. Shiu, S.-W. Wang, Y.-S. Chu, T.-H. Tsai, Low-power low-noise ECG acquisition sys-
tem with dsp for heart disease identification, in Biomedical Circuits and Systems Conference
(BioCAS), 2013 IEEE (IEEE, 2013), p. 21–24
59. H. Kim, R.F. Yazicioglu, T. Torfs, P. Merken, H.-J. Yoo, C. Van Hoof, A low power ECG
signal processor for ambulatory arrhythmia monitoring system, in VLSI Circuits (VLSIC),
2010 IEEE Symposium on (IEEE, 2010), p. 19–20
60. H. Kim, R.F. Yazicioglu, P. Merken, C. Van Hoof, H.-J. Yoo, ECG signal compression and
classification algorithm with quad level vector for ECG holter system. IEEE Trans. Inf.
Technol. Biomed. 14(1), 93–100 (2010)
61. J. Zhang, P.V. Orlik, Z. Sahinoglu, A.F. Molisch, P. Kinney, UWB systems for wireless sensor
networks. Proc. IEEE 97(2), 313–331 (2009)
62. A.L. Goldberger, L.A. Amaral, L. Glass, J.M. Hausdorff, P.C. Ivanov, R.G. Mark, J.E. Mietus,
G.B.  Moody, C.-K.  Peng, H.E.  Stanley, Physiobank, physiotoolkit, and physionet compo-
nents of a new research resource for complex physiologic signals. Circulation 101(23), e215–
e220 (2000)
63. J. J. Nobel. (Online). Available: https://www.ecri.org/Products/Pages/AHAECGDVD.aspx
64. J.  Pan, W.J.  Tompkins, A real-time QRS detection algorithm. IEEE Trans. Biomed. Eng.
32(3), 230–236 (1985)
65. N. Bayasi, T. Tekeste, H. Saleh, A. Khandoker, B. Mohammad, M. Ismail, Adaptive tech-
nique for P and T wave delineation in electrocardiogram signals, in Engineering in Medicine
and Biology Society, 2014. International Conference of the IEEE (2014)
66. T. Heeren, R. D’Agostino, Robustness of the two independent samples t-test when applied to
ordinal scaled data. Stat. Med. 6(1), 79–90 (1987)
67. D.B. Panagiotakos, The value of p-value in biomedical research. Open Cardiovasc. Med. J. 2,
97 (2008)
68. R. Kumar, A. Indrayan, Receiver operating characteristic (ROC) curve for medical research-
ers. Indian Pediatr. 48(4), 277–287 (2011)
69. M.S. Finkler, Lab 10: Cardiovascular Physiology (Indian University), pp. 1–5
70. Q.  Li, C.  Rajagopalan, G.  Clifford, Ventricular fibrillation and tachycardia classification
using machine learning method. I.E.E.E. Trans. Biomed. Eng. 61(6), 1607–1613 (2013)
71. H. Wang, T.M. Khoshgoftaar, K. Gao, A comparative study of filter-based feature ranking
techniques, in Information Reuse and Integration (IRI), 2010 IEEE International Conference
on (IEEE, 2010), p. 43–48
72. G. Forman, An extensive empirical study of feature selection metrics for text classification.
J. Mach. Learn. Res. 3, 1289–1305 (2003)
73. C. Pillers Dobler, Mathematical statistics: basic ideas and selected topics. Am. Stat. 56(4),
332–332 (2002)
74. N. Thakor, J. Webster, W. Tompkins, Optimal qrs detector. Med. Biol. Eng. Comput. 21(3),
343–350 (1983)
75. P.S. Hamilton, W.J. Tompkins, Quantitative investigation of QRS detection rules using the
MIT/BIH arrhythmia database. IEEE Trans. Biomed. Eng. 33(12), 1157–1165 (1986)
76. J.P. Martínez, R. Almeida, S. Olmos, A.P. Rocha, P. Laguna, A wavelet-based ECG delinea-
tor: evaluation on standard databases. IEEE Trans. Biomed. Eng. 51(4), 570–581 (2004)
77. A. Cost, G.G. Cano, QRS detection based on hidden markov modeling, in Engineering in
Medicine and Biology Society, 1989. Images of the Twenty-First Century., Proceedings of the
Annual International Conference of the IEEE Engineering in (IEEE, 1989), p. 34–35
78. E.B. Mazomenos, D. Biswas, A. Acharyya, T. Chen, K. Maharatna, J. Rosengarten, J. Morgan,
N. Curzen, A low-complexity ECG feature extraction algorithm for mobile healthcare appli-
cations. IEEE J. Biomed. Health Inform. 17(2), 459–469 (2013)
79. E.  Mazomenos, T.  Chen, A.  Acharyya, A.  Bhattacharya, J.  Rosengarten, K.  Maharatna,
A time-­domain morphology and gradient based algorithm for ECG feature extraction, in
Industrial Technology (ICIT), 2012 IEEE International Conference on (IEEE, 2012),
p. 117–122
Bibliography 71

80. R.V. Andreão, B. Dorizzi, J. Boudy, ECG signal analysis through hidden Markov models.
IEEE Trans. Biomed. Eng. 53(8), 1541–1549 (2006)
81. Y. Sun, K.L. Chan, S.M. Krishnan, Characteristic wave detection in ECG signal using mor-
phological transform. BMC Cardiovasc. Disord. 5(1), 28 (2005)
82. P. Laguna, R.G. Mark, A. Goldberg, G.B. Moody, A database for evaluation of algorithms for
measurement of QT and other waveform intervals in the ECG, in Computers in Cardiology
1997 (IEEE, Piscataway, 1997), p. 673–676
83. O. Sayadi, M.B. Shamsollahi, G.D. Clifford, Robust detection of premature ventricular con-
tractions using a wave-based Bayesian framework. IEEE Trans. Biomed. Eng. 57(2), 353–
362 (2010)
Index

A H
Analytical methods, 27–32 Hardware design, 39–49
Artificial Neural Network (ANN), 17–18 Hardware implementation, 20–22
ASIC, 8, 9, 11, 45–49, 56–62, 65 Hidden Markov Model (HMM), 18
Hybrid methods, 19

B
Biomedical sensors, 23 I
IC compiler (ICC), 47
Implantable, 3
C
Cardiac arrhythmias, 7
L
Chip finishing, 47–49
Linear discriminant analysis (LDA), 18
Classification, 15–19, 36, 37, 44, 45,
55, 56, 58
N
Naive Bayes, 12, 19, 25, 26, 36–38, 44, 45, 53,
D 57, 65
Databases, 26–27

P
E Preprocessing, 27–32, 39–44, 51–53
ECG signal pro- cessing systems, 20–22 Published Work, 56, 58–62
Energy harvesting, 23
Q
QRS complex detection, 27–28
F
False negative (FN), 60
False positive (FP), 51 R
Feature extraction, 15, 32–36, 53–55 Realization, 40–44
Filtering, 13–15 RTL coding, 46

© Springer International Publishing AG 2018 73


H. Saleh et al., Self-powered SoC Platform for Analysis and Prediction
of Cardiac Arrhythmias, Analog Circuits and Signal Processing,
DOI 10.1007/978-3-319-63973-4
74 Index

S T
Short-term ECG features, 33–34 T and P wave delineation, 28–32
Statistical analysis, 35 T and P waves, 28, 43, 62
Support vector machine (SVM), 16–17 Testbench, 46
Synthesis, 46–47 True negative (TN), 53
System architecture, 39 True positive (TP), 51

Anda mungkin juga menyukai