Anda di halaman 1dari 11

PASO 3:

Diseñar e implementar en VHDL circuitos combinacionales.

Grupo: 243004_18

Dania Carolina Gonzalez

Código: 1075313018

PRESENTADO A:

SANDRA MILENA GARCIA

UNIVERSIDAD NACIONAL ABIERTA Y A DISTANCIA – UNAD

ESCUELA DE CIENCIAS BASICAS TECNOLOGIA E INGENIERIA – ECBTI

ELECTRONICA DIGITAL

Neiva, marzo de 2018


2. Describa en VDHL un decodificador de 3 entradas utilizando la sentencia with-select.
El diseño debe contener:
a. Un pantallazo de la descripción en VHDL.
b. Un pantallazo de la simulación, en el cual se debe evidenciar el correcto
funcionamiento del diseño.

3. Describa en VDHL un codificador de 4 entradas, sin prioridad, utilizando la sentencia


with-select.

El diseño debe contener:


c. Un pantallazo de la descripción en VHDL.
d. Un pantallazo de la simulación, en el cual se debe evidenciar el correcto
funcionamiento del diseño.
Codificador

4. Describa en VDHL el circuito que se muestra en la siguiente figura:

Figura 1
El diseño debe contener:
a. Un pantallazo de la descripción en VHDL.
b. Un pantallazo de la simulación, en el cual se debe evidenciar el correcto
funcionamiento del diseño.
Sumador
Restador

Multiplexor
Alto nivel
Esquemático
Simulación

6. Describa en VDHL el circuito que se muestra en la siguiente figura. El diseño debe


contener tres módulos diferentes (tres COMPONENTs) y un archivo de alto nivel, tal como
se muestra en la siguiente figura.

Figura 3
El diseño debe contener:
e. Un pantallazo de la descripción en VHDL.
f. Un pantallazo con el RTL del alto nivel.

g. Un pantallazo de la simulación, en el cual se debe evidenciar el correcto


funcionamiento del diseño.
Sumador

Restador
Multiplexor

Alto nivel
Esquemático

Anda mungkin juga menyukai