Anda di halaman 1dari 20

See discussions, stats, and author profiles for this publication at: https://www.researchgate.

net/publication/283644741

Progress and Challenges in VLSI Placement Research

Article  in  Proceedings of the IEEE · November 2015

CITATIONS READS

20 880

3 authors, including:

Igor L. Markov Myung-Chul Kim


University of Michigan IBM
379 PUBLICATIONS   10,578 CITATIONS    27 PUBLICATIONS   533 CITATIONS   

SEE PROFILE SEE PROFILE

Some of the authors of this publication are also working on these related projects:

Probabilistic behavior in logic View project

VLSI Placement View project

All content following this page was uploaded by Igor L. Markov on 13 November 2015.

The user has requested enhancement of the downloaded file.


INVITED
PAPER

Progress and Challenges in


VLSI Placement Research
Extensive research studies performed over the last 50 years addressed numerous
aspects of global and detailed placement, a fundamental step in the physical design of
integrated circuits. This paper surveys the history of placement research, the progress
leading up to the state of the art, and outstanding challenges.
By Igor L. Markov, Fellow IEEE , Jin Hu, and Myung-Chul Kim

ABSTRACT | Given the significance of placement in integrated putational complexity, multiobjective optimization, and
circuit (IC) physical design, extensive research studies per- sensitivity to advances in semiconductor manufacturing.
formed over the last 50 years addressed numerous aspects of Here we focus on circuit placementVthe challenge of
global and detailed placement. The objectives and the con- finding good locations for individual circuit components.
straints dominant in placement have been revised many times The importance of the topic can be seen from previous
over, and continue to evolve. Additionally, the increasing scale surveys [30], book chapters [10], [114], [206], and entire
of placement instances affects the algorithms of choice for books on circuit placement [141], [173]. This survey covers
high-performance tools. We survey the history of placement developments in the field up to 2015 and discusses the
research, the progress leading up to the state of the art, and necessary interactions with other aspects of modern
outstanding challenges. physical design.
Research on very large scale integration (VLSI) place-
KEYWORDS | Circuit placement; large-scale optimization; ment can be traced back to the 1960s, when the first netlist
layout partitioning methods were developed in the industry, and
subsequently motivated improvements in graph partition-
ing heuristics. Analytical placers1 started appearing in the
I. INTRODUCTION early 1980s, but were eclipsed by combinatorial techniques
Integrated circuits (ICs), whose invention by Jack Kilby and when simulated annealing was invented. Annealing-based
Robert Noyce was honored by the 2000 Nobel prize in placers [183] dominated industry use and academic results
Physics, have captured the minds of scientists, engineers, for a decade, but by the mid-1990s, annealing was no longer
students, entrepreneurs, as well as users of industrial and scalable for newer and larger designs. Despite the steady
consumer electronics. Buoyed by high demand, ICs grew in improvement of analytical placement, partitioning-based
size over the last 50 years from several hundred compo- methods improved enough to provide leading-edge perfor-
nents to over a billion components on a single semiconduc- mance: 1) (multilevel) Fiduccia–Mattheyses (FM) [63]
tor chip. Their increasing sophistication helped automate heuristics produced much better results much faster than
the design process [114], [206] and necessary bookkeeping, previous methods; 2) the use of end-case techniques (op-
as well as perform efficient global optimization. Physical timal partitioning and end-case placement) during top–
design is particularly involved [10], [95], due to its com- down layout optimization provided high-quality detailed
placement [18]; and 3) the use of flat and multilevel FM
heuristics was carefully optimized, including cutline selec-
Manuscript received September 11, 2014; revised July 5, 2015 and August 25, 2015;
accepted September 2, 2015. Date of publication October 9, 2015; date of current
tion and hierarchical whitespace allocation [24].
version October 26, 2015. This work was supported in part by the U.S. National Science By 2005, several analytical techniques have matured to
Foundation under Award 1162087; by the Semiconductor Research Corporation under
Project 2264; and by the Mentor Graphics Corporation.
the point where they reliably outperformed min-cut place-
I. L. Markov is with the Department of Electrical Engineering and Computer Science, ment on contemporary large global placement instances. In
University of Michigan, Ann Arbor, MI 48109 USA (e-mail: imarkov@eecs.umich.edu).
J. Hu is with IBM Corporation, Hopewell Junction, NY 12533 USA.
addition to the innovations in algorithms, this was due to
M.-C. Kim is with IBM Corporation, Austin, TX 78758 USA.
1
Analytical placers model interconnect length by differentiable
Digital Object Identifier: 10.1109/JPROC.2015.2478963 functions and use smooth optimization techniques.
0018-9219  2015 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission.
See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
Vol. 103, No. 11, November 2015 | Proceedings of the IEEE 1985
Markov et al.: Progress and Challenges in VLSI Placement Research

the change in the nature of placement instances. In parti- placers and their correspondence to commercial placers.
cular, having between 100 000 and 10 million movable Experimental results suggest that academic placers are
objects during global placement provided a better justifi- competitive to industry placers on very specific objectives,
cation to modeling each object as a dimensionless dot. but less effective on multiobjective optimizations prevalent
Industry methodologies provided global placement with a in commercial designs [94].
large number of fixed objects [input/ouput (I/O) pads, fixed Recent advances in placement algorithms include:
pins, macro blocks, etc.]. Due to concerns of routability, 1) high-performance wirelength-driven placement; 2)
physical synthesis, power density, large size of I/O pads, mixed-sized placement (i.e., simultaneous placement of
large IP blocks, etc., core placement area now often in- both cells and macros); 3) routability-driven placement;
cludes a large amount of unused space [141] which provides 4) timing- and power-driven placement; and 5) the
analytical algorithms with useful freedom. In terms of integration of global placement into physical synthesis.
algorithms, high-quality detailed placement was developed,
resolving a long-standing handicap in analytical engines.
These improvements fueled algorithmic developments I I. WIRELENGTH-DRI VEN PLACE MENT
based on multivariate calculus, numerical analysis, and Modern placement is an optimization problem with many
combinatorial optimization [141]. Resulting reductions in objectives and constraints. However, the most common ap-
interconnect length enhanced many types of semiconduc- proach is to first develop a wirelength-driven global place-
tor designsVfrom field-programmable gate arrays (FPGAs) ment engine that solves a straightforward mathematical
and application-specific integrated circuits (ASICs) to cen- formulation and is competitive on common benchmarks.
tral processing units (CPUs) and mixed-signal systems on a This is a prerequisite for strong performance in multiob-
chip (SoCs). They have surpassed the length reduction ty- jective optimization, and the handling of additional objec-
pical for a new technology node. Despite such major prog- tives and constraints can be implemented as the next step.
ress, there is currently no agreement on which algorithms We cast global placement [95] as constrained optimi-
are considered best. Comparisons remain largely empirical zation and explain how the objective is approximated by
[141] and are often affected by the quality and maturity of smooth differentiable functions to facilitate fast numerical
software implementations, use of parallel processing and methods. Common types of global placement algorithms
high-performance libraries, as well as reporting methodol- are reviewed next, followed by legalization and detailed
ogies. Fig. 1 depicts the evolution of academic global placement.

Fig. 1. Historical development of global placement algorithms and implementations in academia. Asterisks indicate placers that were developed
or implemented in the industry (often by recent students)Vat IBM, Synopsys, and Mentor Graphics. Daggers indicate adoption of academic work
and commercialization: TimberWolf was used by most EDA flows in the late 1980s, algorithms in PROUD were adapted in Cadence QPlace
throughout the 1990s, KraftWerk algorithms were used by Intel and Magma, the Capo codebase was commercialized by Synplicity and Achronix,
NTUPlace was commercialized by MediaTec, and mPL was commercialized for FPGA layout. Most academic placers presaged respective
industry placers, and are described in greater detail in the literature. Part of APlace’s contribution was deconstructing and describing
Naylor’s original patent [142].

1986 Proceedings of the IEEE | Vol. 103, No. 11, November 2015
Markov et al.: Progress and Challenges in VLSI Placement Research

A. The Objectives and the Constraints position constraints play the important role of spreading
The intuition for placement objectives is based on movable nodes between fixed positions as a side effect of
viewing a circuit as a graph where vertices model gates. interconnect optimization before density constraints are
The shorter the wires connecting the gates, the faster is the seriously considered. A second use, now commonly seen in
circuit. Therefore, we add up the lengths of edges and quadratic placers, is to temporarily extend the netlist with
minimize the sum. Given that wire routes consist of only fake nets (pseudonets) and fake cells (anchors) fixed at
horizontal and vertical segments, lengths must be mea- carefully chosen locations. Again, the purpose is to decrease
sured in the Manhattan planeVas the sum of x-span and peak density in the course of interconnect optimization.
y-span. When a single logic gate drives multiple logic gates,
we generalize edges to hyperedges by replacing two-
B. Smooth Approximations of HPWL
element vertex sets with larger sets of vertices. The length
Modern placement algorithms (Section II-C) rely on
contribution of a hyperedge is also calculated as the sum of
approximations of the HPWL function, briefly surveyed
its x-span and y-span. We now formalize this intuition.
below.
Global placement [95, Ch. 4] of a netlist N ¼ ðE; VÞ
Quadratic approximations used in many placers are
with nets E and n nodes (cells) V seeks a set of planar node
obtained by pricing every edge by its squared length, with a
locations ð~x;~yÞ 2 ½xmin ; xmax n  ½ymin ; ymax n that mini-
certain weight. Their general form is
mize the weighted Half-Perimeter WireLength (wHPWL).
Locations of individual circuit elements ðxi ; yi Þ are com-
bined in two vectors ~x ¼ ðx1 ; . . . ; xn Þ and ~
y ¼ ðy1 ; . . . ; yn Þ.
Q ð~ yÞ ¼ ~
x;~ x=2 þ ~
xT Qx~ x þ~
fx~ y=2 þ ~
yT Qy~ fy~
y (2)
While less intuitive, this notation helps dealing with the x
and y components separately. Net weights are captured as
vector ~w ¼ ðwÞi , then wHPWLN ð~ x;~yÞ ¼ wHPWLN ð~ xÞþ with matrices Qx ; Qy derived from the netlist and vectors
wHPWLN ð~ yÞ, where ~
fx ; ~
fy that reflect connections to fixed objects. When
sufficiently many nodes in a connected netlist are fixed,
Q is strictly convex. The x and y components can be
wHPWLN ð~
xÞ ¼ S e2E we ½max xi  min xi : (1)
i2e i2e optimized separately and quickly by solving sparse systems
of linear equations Qx~ x ¼ ~ fx and Qy~ y ¼ ~fy .
To make such quadratic functions more appropriate for
The HPWL function is continuous and convex, but HPWL modeling, they are linearized [178] by adjusting the
not everywhere differentiable. It is amenable to combi- approximations at every global placement iteration. In
natorial optimization, especially linear programming and particular, single-edge terms of the form wij ðxi  xj Þ2 are
network flows. However, these techniques do not changed to ðwij ðxi  xj Þ2 Þ=ðjx0i  x0j j þ "Þ where the primed
combine well with other co-objectives, and are less scala- values are constants based on the result of the last itera-
ble than smooth optimization applied to approximations of tion. These adjustments modify nonzero elements of Qx
HPWL. Moreau’s theorem from convex analysis [177, and Qy , but not the sparsity pattern.
Prop. IV.1.8] implies that the gradient of such a convex To approximate HPWL by a quadratic objective, the
function is defined almost everywhere and can be ap- netlist N is first transformed into graphs Gx and Gy that
proximated by the Yosida approximation of the function preserve the node set V and represent each two-pin net by a
whose accuracy is controlled by the positive parameter single edge with weight 1=length. Larger nets are decom-
 ! 0. While this specific approximation has not been used posed depending on the relative placement of vertices using
in placement applications, a number of specialized ap- the Bound2Bound (B2B) model [62], [181]Vfor each p-pin
proximations of HPWL have been proposed (Section II-B). net, the extreme nodes (min and max) are connected to
Minimizing a convex objective is not difficult in itself. each other and to each internal node by edges, with the
The main source of complexity in global placement is the following weight:
nonconvex constraints. Despite the variety of constraints
imposed in practice, two types are considered first. The
fixed-position constraints enforce given locations of certain 1
wB2B
x;ij ¼
 : (3)
cells or macros. They are convex, can be substituted di- ðp  1Þ jxi  xj j þ "
rectly into the objective function, and do not require dedi-
cated computations. The density constraints 1) ensure
porosity, which is critical for routability and timing- The B2B model is inherently local as it is instantiated
optimization transformations; and 2) prevent movable for a particular set of x and y values and accurately repre-
objects from concentrating in small regions to ensure that sents HPWL only near those values. As x and y locations
legalization can find nonoverlapping positions for all objects change, the decomposition is recalculated, and the sparsity
with minimum disturbance from a global placement. When patterns of matrices Qx and Qy change, while preserving
analytical techniques for global placement are used, fixed- the numbers of nonzeros.

Vol. 103, No. 11, November 2015 | Proceedings of the IEEE 1987
Markov et al.: Progress and Challenges in VLSI Placement Research

Nonquadratic approximations of HPWL, such as the rium states correspond to spread out placements.
log–sum–exp technique [170], approximates the bracketed Acknowledging that the density function ðx; yÞ may not
term in (1) for  ! 0 by the convex function be smooth, Kraftwerk [62], [181] looks for a twice-
differentiable potential function uðx; yÞ whose gradient
! ~
F ¼ ru represents a conservative force pointing away
X xk X from dense regions.2 The latter condition is formalized in
x =
 log e  þ log e k
! ½max xi  min xi : (4)
k2e k2e
i2e i2e terms of flux overH an arbitraryR closed
R contour C that
bounds region R: C ð~F  nC Þd~
c¼ R  dx dy. Then, using
the divergence theorem and ~ F ¼ ru, we have
Other such techniques are surveyed and compared in
[26], [77], and [117]. As with quadratic approximations, I ZZ ZZ
gradients are computed in closed form, but their numerical ð~
F  nC Þd~
c¼ Du dx dy ¼  dx dy: (5)
values must be updated as the placement changes. Nume-
C R R
rical minimization requires more than solving two sparse
linear systems.
This condition is satisfied by solutions of Poisson’s
C. Modern Global Placement Algorithms equation Du ¼ , which can be interpreted as finding the
We now review main types of modern placement algo- electrostatic potential u for the curl-free field ru generated
rithms and refer to specific publications for additional details. by a spatial charge distribution  (positive charges repre-
The common classification that we follow is not disjoint, sent cells and negative charges represent unused cell cites)
and some placement software combines multiple ideas. A word of caution: Poisson’s equation only gives a way to
Quadratic placement is the foundation of BonnPlace satisfy properties postulated for ~F. The developers of mPL6
[17], DPlace2.0 [131], mFAR [80], Kraftwerk [181], [27] noted that Poisson’s equation can be ill-defined and
FastPlace [195], and RQL [195], as well as SimPL [103], added a new term, producing the nonhomogenous
[105], MAPLE [107], and ComPLx [106]. The netlist is Helmholtz (screened Poisson’s) equation Du  "u ¼ ,
modeled by a graph, for which the quadratic objective is both of which are linear second-order elliptic partial differ-
formulated (Section II-B). The placer alternates between ential equations (PDEs) [144, Figs. 2 and 3]. This smoothing
quadratic optimization and steps that involve density esti- effect is formalized in [53] for both Poisson and Helmholtz
mation (including fixed obstacles), in some cases along PDEs by representing solutions as convolutions (over the
with dedicated spreading of movable objects. To decrease entire placement region R) of  with certain Green’s func-
peak density, placers employ a variety of combinatorial and tions Gðx; sÞ dependent on the boundary conditions
numerical techniques based on 1) network flows (Bonn-
Place); 2) self-contained estimation of density gradients Z
(FastPlace and RQL); and 3) ‘‘full spreading’’ (SimPL) and uðÞ ¼ Gð; ÞðÞd (6)
derived algorithms. In all cases, the objective in a pre- R
viously solved quadratic program is modified, and the
program is resolved.
Force-directed placement models interconnects by coil where  and  represent ðx; yÞ pairs in R. This observation
springs as described by Hooke’s law, and formulates equa- leads to a particularly efficient computation of ru. For
tions for force equilibrium, and solves these equations example, for Poisson’s equation 1) without boundary con-
using quadratic programming. We illustrate force-directed ditions, and 2) with zero gradients at infinity
placement Kraftwerk [181], where three forces are involved
in force-equilibrium equationVthe spring force, the hold
1
force, and the density-based (spreading) force. The spring 1) Gð; Þ ¼
k  k
force corresponds to a quadratic approximation of the
HPWL objective (Section II-B), the hold force is its ln k  k
2) Gð; Þ ¼ : (7)
‘‘opposite action’’ in the spirit of Newton’s Third Law of 2
motion, and the density force seeks to even out cell density.
Let ðx; yÞ denote the cell density at ðx; yÞ. The density In a recent development, ePlace [125] presents a dif-
force is then, loosely speaking, weight-averaged r [see ferent way to cast density leveling as an electrostatic
(6)]. The hold and density forces acting on a cell are equilibrium problem. This approach yields closed-form
cumulatively represented by a pseudonet connected to a gradients for global density reduction and theoretically
carefully placed fake fixed cell (anchor).
Density-based force computations were pioneered in 2
A conservative force ~
F satisfies the following equivalent conditions:
H
the context of force-directed placement [62], [181] as a F ¼ ru (a potential function exists); 2) 8 closed contour C: C ~
1) 9u : ~ F
specific choice for the additional forces such that equilib- c ¼ 0 (path independence); and 3) r  ~
d~ F ¼ 0 (curl-free force).

1988 Proceedings of the IEEE | Vol. 103, No. 11, November 2015
Markov et al.: Progress and Challenges in VLSI Placement Research

guarantees progress toward configurations with lower po-


tential energy.
Alternatively, density leveling can be modeled by the
discrete transportation problem and solved by reduction to
network flows [16]. Approaches in this category approximate
the nonconvex global-placement problem by a sequence of
convex quadratic problems, often using additional forces
(or gradients) to construct intermediate problems.
Nonconvex optimization placers such as APlace [99],
mPL6 [27], NTUPlace3 [39], NTUPlace4 [78], and ePlace
[125] typically approximate HPWL by nonquadratic objec-
tive functions for which gradients (and Hessians) can be
computed analytically (Section II-B). The contribution of
fixed obstacles (e.g., macros) is modeled by 2-D step func-
tions, and then approximated by bell-shaped [39], [99]
functions, but often postprocessed by Gaussian smoothing Fig. 2. High-level flow of SimPL [103]. After initial wirelength-driven
and leveling [39]. The combined optimization function is placement, SimPL performs rounds of upper and lower bound global
nonconvex, in stark contrast to quadratic and force- placement iterations. The bottom graph depicts the progression
directed placement. Additional details can be found in of the placement solution with respect to wirelength.
[30] and the survey in [114]. Nonlinear optimization em-
ployed by these algorithms is time consuming. It is often
accelerated using combinatorial netlist clustering. Place [39] are based on local information and, e.g., do not
Using netlist clustering in placement typically improves account for possible paths around fixed obstacles. This may
the runtime of global optimization at the cost of a small loss require numerous global placement iterations. Kraftwerk
in solution quality. However, in some cases, it also im- and mPL6 find density gradients by solving linear elliptic
proves quality of result [107], [215]. Global placement uses PDEs as explained above, incorporating more global per-
clustering in a similar fashion to multilevel partitio- spective into their density gradients. However, it remains
ningVthe netlist is first coarsened by clustering its unclear how well this accounts for possible paths around
components. After optimization is performed quickly on fixed obstacles. Whether gradients are calculated based on
the coarse netlist, the netlist is refined by dissolving some local information or identify the globally best direction, the
clusters into smaller clusters. Then, optimization is applied scaling of density gradients for proper balance with
incrementally, so as to refine the locations of smaller clus- interconnect-based forces often remains unclear. To this
ters (which are initially given the location of the containing end, the work in [195] distinguishes the tasks of force
cluster). Such refinement iterations can be repeated, al- orientation and force modulation. It demonstrates that
though some placers only perform it once. An algebraic force modulation in FastPlace can be improved by reducing
scheme for refinement is described in [34]. Commonly the magnitude of 10% strongest forces, as implemented in
used clustering algorithms include First-Choice [100] RQL. These challenges are addressed in a more systematic
(Capo, NTUplace) and Best-Choice [6] (APlace, mPL6, way in SimPL [103], [105] by lookahead legalization (LAL),
FastPlace3, RQL, MAPLE). These algorithms proceed which globally identifies target locations for all cells so that
bottom–up and sequentially select small groups (or just most overlaps are removed (Figs. 2 and 3).
pairs) of nodes/clusters to merge. The Best-Choice algo-
rithm sets clustering scores between pair of objects using a D. Legalization and Detailed Placement
function that decreases with their areas, and stores them in The cell locations from global placement may overlap,
a lazily updated priority queue. The SafeChoice algorithm and typically do not align with power rails. The global
[215] is more conservative and time consumingVit seeks placement must then be legalized, where all cell overlap is
groups of objects which can be merged without worsening removed without undermining design objectives. Legaliza-
placement quality. When used with several different global tion removes all cell overlap while minimizing total cell
placement algorithms, SafeChoice improved results by displacement, and is necessary not only after global place-
explicitly considering current cell locations and wirelength ment, but also after incremental changes, e.g., physical
gradients during clustering. MAPLE [107] pays particular synthesis optimizations [7]. Unlike cell spreading during
attention to incremental placement optimization after global placement, legalization is typically performed when
cluster refinement, which helps recover solution quality cells are both 1) well distributed over the entire region; and
and even improve it. Such optimizations blur the bound- 2) have relatively small overlap. A legalized placement can
aries between global and detailed placement. be improved with respect to a given objective by detailed
Next, we discuss locality, force orientation, and force placement, e.g., swapping neighboring cells to reduce total
modulation. Density gradients in APlace [99] and NTU- wirelength, or sliding cells to one side of the row when

Vol. 103, No. 11, November 2015 | Proceedings of the IEEE 1989
Markov et al.: Progress and Challenges in VLSI Placement Research

Detailed placers preserve legality and often other de-


sign constraints, such as routing congestion or placement
density, while improving solutions by relocating movable
cells [108]. Branch-and-bound placers [24] reorder groups
of neighboring cells in a row by a sliding-window tech-
nique, where cells are reordered optimally inside each
window. However, this approach can handle typically up to
eight cells at a time. A more scalable optimization, handling
up to 20 cells at a time, splits the cells in a given window
into left and right halves, and optimally interleaves the two
groups while preserving the relative order of cells from
each group [85]. FastPlace-DP [149] improves wirelength
by swapping pairs of nonadjacent cells, and by cycling
triplets. When unused space is available between cells in a
row, these cells can be shifted to either side or to inter-
mediate locations. Wirelength-optimal locations in a given
row can be found by a polynomial-time algorithm [98],
which is practical in many applications. ECO-System [166],
integrated in Capo [24], [168], identifies areas where cells
need to be replaced, then applies Capo to perform both
legalization and detailed placement, simultaneously and
consistently in all such regions.
Modern circuit layout is experiencing an increasing
number of design constraints and objectives, as well as
design rules. Therefore, wirelength-optimized global place-
ment solutions must undergo numerous local transforma-
tions to generate layouts that optimize timing, routability,
and manufacturing yield (Sections VI and VIII). Such
transformations may adversely affect wirelength and
routing demand, which is why fast and effective wirelength
recovery is invoked periodically in practical physical-design
Fig. 3. Illustration of SimPL [103] during global placement. The flows. While different from the traditional detailed place-
left-hand column depicts the lower bound placements attained at
ment setting, this context leverages algorithmic techniques
individual iterations, while the right-hand column depicts the upper
bound placements. Each upper bound iterate is derived from the lower developed for detailed placement. This was illustrated in
bound iterate through lookahead legalization and is used to define the 2013 International Conference on Computer-Aided
forces that enhance the legality of lower bound iterates with Design (ICCAD2013) detailed-placement contest [108]
minimal increase in wirelength.

TABLE 1 Legalization and Detailed Placement

unused space is available. Table 1 summarizes methods for


legalization and detailed placement.
Legalization algorithms can be classified as 1) local
approaches, where cells are moved one at a time or in small
groups; and 2) global strategies that relocate large groups of
cells. Some local legalizers, such as Tetris [73], greedily
assign each cell to its nearest legal location while respecting
row capacity. Abacus [180] also finds the best row the cell
belongs to, but uses dynamic programming to replace the
already placed cells such that the total displacement is
minimized. Ho and Liu [74] and Lee et al. [116] also ex-
plicitly minimize global wirelength during legalization.
Global strategies can be illustrated by the use of network
flows to find optimal solutions under mild assumptions.
Extensions include incorporating history [44] and modify-
ing path augmentation algorithms [12]. Additional techni-
ques are shown in Table 1.

1990 Proceedings of the IEEE | Vol. 103, No. 11, November 2015
Markov et al.: Progress and Challenges in VLSI Placement Research

TABLE 2 Mixed-Size Placement Techniques

that focused on fast wirelength recovery subject to cell- combines floorplanning techniques, to pack (the relatively
density constraints and maximum displacement limits. The few) large blocks, and placement techniques, to handle the
top three teams achieved very similar results, despite using millions of small standard cells. Several available approaches
different algorithms. This may indicate that known are summarized in Table 2 and illustrated in Fig. 4.
algorithms exhaust wirelength-driven detailed placement
and the extended formulation above. Two algorithms used A. Simultaneous Flows
by top contestants, BraveDP and RippleDP, are described in Simultaneous flows do not separate the placement of
[47] and [156], respectively. BraveDP performs cell swap- standard cells and macros into separate stages. One method
ping with lazy profit updates to reduce HPWL and density to handle macros is to divide them into ‘‘shreds’’ comparable
peaks without violating displacement limits. RippleDP first in size to standard cells [2]. These shreds can be connected
moves cells to their optimal HPWL regions subject to by fake nets during wirelength optimization so as to keep
displacement limits, and then locally improved locations by them close together [2], [17], e.g., when shaping soft blocks
inter-row moves, cell reordering, and compaction. [164]. In contrast, Kim and Markov [106] only shred macros
Challenges for legalization and detailed placement in- during geometric spreading. Other placement-based ap-
clude incorporating additional geometric and performance proaches explicitly shift macros or cells during placement
constraints, as well as various design objectives. For exam- [39], [107], [197], or relegalize after every placement itera-
ple, legalization can simultaneously minimize wirelength tion [27], [56]. Techniques that simultaneously move macros
[74], [116] and improve routability [78]. Practical detailed and standard cells can be classified into force directed [62],
placers must incorporate timing optimization [196], de- [197], nonconvex [27], [39], [76], min-cut [164], and flow
tailed routability [101], [199], as well as manufacturability based [45]. However, many ideas are applicable in different
and yield optimization [112], [220]. Power minimization, contexts. One strategy is to legalize and fix macros that are
temperature gradient constraints, and new design rules for comparable in size to the magnitude of cell displacements at
sub-16-nm technology nodes pose additional challenges for the current iteration [27], [167].
ASIC detailed placement.
In contrast, state-of-the-art FPGAs emphasize com-
B. Sequential Flows
pliance with highly structured programmable fabrics,
Sequential flows separate macro and standard-cell
including carry chains, embedded arithmetic blocks, and
placement. Some flows place all macros at once after tent-
memories. Circuit timing for FPGAs is modeled nonconvex
atively placing the full netlist, and before standard-cell
discrete functions that reflect hierarchical ‘‘express wires’’
placement, such as packing macros at the chip periphery of
available at fixed locations throughout the chip.
[38]. Other approaches [2], [216] 1) cluster standard cells
into soft blocks; 2) use a floorplanner on the original
II I. MIXED-SIZE PLACEMENT macros and new soft blocks; 3) dissolve soft blocks; and
The number of macros included in modern ICs is growing 4) place standard cells using established methods. Several
[207] in response to technology and business trends. Find- techniques [35], [38], [216] account for macro flipping
ing locations of larger circuit modules and placing standard and rotation.
cells are essentially the same from an optimization view-
point, distinguished only by 1) the scale relative to the size C. Postprocessing Placement Methods
of layout regions; and 2) the shaping and rotations of Postprocessing placement methods remove overlap
macros in floorplanning. Mixed-sized placement carefully between macros and standard cells by floorplan repair

Vol. 103, No. 11, November 2015 | Proceedings of the IEEE 1991
Markov et al.: Progress and Challenges in VLSI Placement Research

[139], detailed placement [17], [55], [181], and force-


directed techniques [62], [164].

I V. ROUTABILITY-DRIVEN PLACEMENT
With increasing design complexity, optimizing traditional
placement metrics is insufficient for successful routing
[8], [165]. To mitigate routing failures, routability-driven
placers incorporate route estimation as part of their flow.

A. Congestion Maps
Congestion maps indicate regions where routing will be
difficult, and are used to guide optimization during place-
ment. They are generated using: 1) static approaches,
where the congestion map is fixed for a placement instance;
2) probabilistic approaches, where net topologies are not
fixed, and probabilistically determined; and 3) constructive
approaches, where a simplified global router generates
approximate net routes (Fig. 5). Traditionally, the first two
options have been the most popular, but the last option has
been gaining traction due to advancements in global
routers, where they are designed to handle greater layout
complexity. Table 3 summarizes these approaches.

B. Placement Optimizations
Placement optimizations are applied throughout the en-
tire placement flow: 1) during global placement; 2) modi-
fying intermediate solutions; 3) during legalization and
Fig. 4. Illustration of different techniques for simultaneously placing
large macro blocks (red outlines) and standard cells (blue). The top
detailed placement; and 4) as a postplacement processing
image illustrates multilevel placement to spread standard cells step (Table 4). In global placers, the most popular techniques
around macro blocks. The bottom image demonstrates the placement are cell bloating and whitespace injection. Depending on the
of large macro blocks by representing each one as many smaller placer type, e.g., quadratic and min-cut, the implementation
standard-cell-sized blocks and maintaining tight bonds between the of these techniques will require placer modification,
smaller blocks.
including changing the optimization function. In detailed

Fig. 5. Illustration of congestion alleviation during global placement using SimPLR [104]. In the left panel, the cells (depicted in blue) are
tightly packed (right) with high areas of congestion shown in red and purple (left). In the middle panel, packing peanuts (right, depicted in red)
encourage cells to spread, thereby decreasing local cell density and reduce congestion (left). In the right panel, packing peanuts have
increased in size (left), and congestion has been substantially reduced (left).

1992 Proceedings of the IEEE | Vol. 103, No. 11, November 2015
Markov et al.: Progress and Challenges in VLSI Placement Research

TABLE 3 Congestion Estimation for Placement

placers, the most popular techniques are cell swapping and anchor positions during quadratic placement. NTUPlace4
cell shifting. Additional optimizations can be applied to [78] uses smoothened congestion maps when modeling pin
intermediate (or near-final) placement solutions, and then density. To estimate congestion, SimPLR integrated a glo-
passed on to the next step of the design flow. bal router [83], whereas Ripple and NTUPlace4 adopted
probabilistic congestion estimation [179]. The 2012 Design
C. Contests Automation Conference (DAC 2012) [193] and ICCAD
Researchers from IBM organized the 2011 International 2012 Contest Benchmarks [194] were easier to route and
Symposium on Physical Design (ISPD 2011) Routability- emphasized the reduction of peak congestion. The evalua-
driven Contest [192]. The benchmarks included between tion metric combined runtime and scaled HPWL. Since
483 000 and 1.29 million movable cells and a set of routing then, further improvements [72], [84], [127] have followed.
constraints (e.g., blockages), such that many of them were
intentionally difficult to route. Placement solutions were
evaluated by running a global router and counting viola- V. TIMING- AND POWER-DRIVEN
tions. The results indicate that routability can be improved PL ACEMENT
by increasing cell porosity. SimPLR [104] and Ripple [71]
Timing-driven placement (TDP) seeks to optimize circuit
used congestion maps to temporarily bloat cells, modulate
delay. TDP identifies critical nets using static timing
target aspect ratio of cell placement areas, and modify the
analysis (STA) [95, Sec. 8.2], and typically minimizes total
negative slack (TNS), worst negative slack (WNS), or both.
TABLE 4 Routability-Driven Placement
Table 5 outlines TDP; Fig. 6 illustrates the impact of net
weights on selected critical nets.

A. Net-Based Approaches
Net-based approaches optimize circuit delay by trans-
lating STA results and timing constraints into net weights

TABLE 5 Timing-Driven Placement Approaches

Vol. 103, No. 11, November 2015 | Proceedings of the IEEE 1993
Markov et al.: Progress and Challenges in VLSI Placement Research

Fig. 6. Illustration of modifying net weights to manipulate timing and wirelength. In the left panel, the highlighted net has no weighting,
and is given a high degree of freedom. As a higher net weight is applied to this net, the placer will restrict the net’s movement and reduce its
wirelength, as shown in subsequent panels. The higher the net weight, the more priority this net is given to reduce wirelength. However,
other (less critical) nets’ wirelength will be implicitly increased, as they may be moved to cater toward more critical nets.

and net constraints, respectively. A higher net weight en- mathematical program that maintains intermediate timing
courages interconnect optimization to preferentially short- variables. Auxiliary techniques, e.g., partitioning [89] and
en the net, whereas a net constraint limits net delay. Static Lagrangian relaxation [69], [182], can solve the program and
net weights remain constant during placement, and are improve quality. Other approaches solve linear programs in
typically based on negative slack [20], [28], [61], [111] or local regions [48] and use simulated annealing [183].
sensitivity [66], [163], [213], where placers attempt to pre-
dict the impact each net has on timing. A net weight that is C. Compound Approaches
too high may shorten a net at the expense of upstream or Compound approaches seek to combine the scalability
downstream nets, increasing circuit delay. To avoid this, of net-based approaches and accuracy of path-based ap-
dynamic net weights are gradually updated based on slack proaches. They can be illustrated by [130], which uses a
change [20], [160] or net criticality [62], [160]. While more hybrid path-based delay sensitivity function for net weights
flexible, dynamic net weights may cause nets to oscillate and minimizes critical nets’ wirelength.
between critical and noncritical [54]. To dampen oscilla-
tions, net weights are accumulated based on histories, and D. IC Power Optimization
increased monotonically. IC power optimization distinguishes dynamic power
Net constraints limit net length, which linearly corre- from static power, which does not directly depend on cell
lates with the delays of buffered long wires [145], and do locations. With multiple voltages, static power can be re-
not require as accurate timing predictions as net weights. duced by changing voltage-island assignments. In contrast,
Common methods to generate delay budgets include the dynamic power depends on interconnect lengths, which
zero-slack algorithm (ZSA) [95, Sec. 8.2.2], [126], [140] are determined by placement. To support higher clock
and the iterative-minmax-PERT algorithm [219]. The usage frequencies, modern designs are heavily pipelined, and re-
of net constraints is placer dependent. Min-cut placers [64] quire more capacitive clock networks, which can contrib-
modify cut costs, and analytic placers modify forces [159] or ute 30% of total IC power [134]. To support design scaling
Lagrange multipliers [188]. In detailed placement, net [88], placers must co-optimize 1) the hundreds of millions
constraints have also been integrated in cell movement of signal nets, each consuming a small amount of power;
[86], primary objective functions [68], and as a separate and 2) clock networks with significant power consumption,
local-move step [45]. Net constraints are supported by dif- as illustrated in [42] and [115]. Table 6 outlines power-
ferential timing analysis [162], which generalizes incre- driven placement; Fig. 7 illustrates placement optimization
mental STA [151]. within regions.

B. Path-Based Approaches E. Static-Power Reduction


Path-based approaches directly model timing on indi- Static-power reduction techniques trade positive timing
vidual critical paths, and explicitly ensure that each consid- slack for power. When multiple supply voltages are present,
ered path meets timing constraints. These approaches cells can be moved closer to voltage sources in rows [218],
typically achieve better solutions than net-based ap- where cells are in interleaving (half) rows of high and low
proaches because of their global scope, but remain practical VDD rows, and in regions [82], [113], [157], where cells are
for only a limited number of paths. To deal with numerous powered by the closest voltage island. Other approaches
critical paths in large designs, path-based approaches leverage cell hierarchy using clustering [124], and exploit
1) embed a graph-based timing model; and 2) formulate a the locality of connections [158].

1994 Proceedings of the IEEE | Vol. 103, No. 11, November 2015
Markov et al.: Progress and Challenges in VLSI Placement Research

TABLE 6 Power-Driven Placement Techniques

F. Dynamic-Power Reduction
Dynamic-power reduction can be accomplished by
1) reducing net activity; and 2) optimizing register loca-
tions and optimizing the clock tree. These classes are not
mutually exclusive. Clustering registers at the clock-tree
leaves facilitates inverter sharing [32], [92] and reduces
clock-tree capacitance [42], [115]. Such optimizations can
be performed using net weights based on activity factors
[42], [143], [171], [190]. Register placement is described
in [43], [115], [133], and [153], whereas clock-tree
synthesis is incorporated into global placement in [50],
[115], [153], [176], and [204]. In [115], this integration
reduces clock trees by 30% and total dynamic power of the
netlist and clock tree by 7%. Shen et al. [176] add clock-
gating logic, and further refine the tree with incremental
placement techniques. An IBM physical-synthesis flow that
accounts for gated clocks in high-performance ICs is
described in [153].

VI . PLACEMENT IN PHYSICAL
SYNTHES IS
Physical synthesis [7] modifies the netlist based on place-
ment information so as to 1) fix timing violations; and
2) optimize performance metrics. After a round of opti-
mizations, the design can be replaced to facilitate further
optimizations. Hence, the interaction between placement
algorithms and physical synthesis is crucial for timing
closure. Table 7 lists physical synthesis techniques that
heavily interact with placement.

A. Logic Transformations
Logic transformations [95, Sec. 8.5.3] such as cloning,
gate decomposition, and combinational restructuring
manipulate area-power-timing tradeoffs in combinational
circuits. Newly inserted gates must be given valid loca-
tions, which can make or break a given transformation
[60], as illustrated by 1) restructuring fanin trees [212] and
cones [208]; and 2) simulation-driven restructuring that
uses controllability and observability don’t-cares [155].
Fig. 7. Region-based optimization during placement. At the top,
marked cells are not constrained. At the bottom, the cells’ locations
B. Interconnect Buffering
are constrained to a small rectangle to shorten particular nets and Interconnect buffering [121], [191] improves circuit
reduce delay and dynamic power. timing by speeding up signal transitions in long nets.

Vol. 103, No. 11, November 2015 | Proceedings of the IEEE 1995
Markov et al.: Progress and Challenges in VLSI Placement Research

TABLE 7 Placement-Aware Physical Synthesis VII. BENCHMARKING


INFRASTRUCTURE AND COM PARISONS
OF PLACEMENT ALGORITHMS
Benchmarking activities for research on placement algo-
rithms have a long tradition [1]. Key elements of bench-
marking infrastructure have been developed by the
placement research community over the last ten years:
• rigorous geometry and netlist descriptions;
• closed-form objective functions;
• community-shared file formats, based on the Book-
shelf format originally designed for the Capo
placer [23];
• a variety of circuit designs contributed by industry
(IBM Research and Mentor Graphics) for regular
Approximately equal-spaced buffers break down timing- research contests held at ISPD and ICCAD, avail-
critical nets into shorter segments. Due to technology scal- able on respective conference websites.
ing, buffers comprise 10%–44% of standard-cell instances This infrastructure made possible to measure and report
in large designs [152]. As the final buffer requirement is even fairly small improvements to placement algorithms,
unknown in advance, placers reserve unused space (white- which appear consistently over multiple benchmarks.
space) throughout the layout [3]. Virtual buffering [152], Moreover, such improvements typically survive in the in-
[174] assigns buffers to long interconnects without chang- dustry environment and gradually add up. In our experience,
ing the netlist, but accounts for their impact on area and some algorithmic changes exhibited greater improvements
timing. Porosity-aware buffer planning integrated in an on proprietary designs than on public benchmarks.
analytical-placement framework adds buffer density to the
objective function [36]. Porosity-aware Steiner trees place A. Research Contests
buffers in available sites [5]. The availability of a common data formats and industry
benchmarks enabled research contests, which typically give
C. Gate Sizing graduate students several months to adapt their algorithms
Gate sizing [81], [147] does not change connectivity but to a new set of industry netlists, constraints, and objectives,
impacts timing-power tradeoffs, facilitating other optimiza- and develop high-performance optimizers. Such contests
tions. Papa et al. [151] develop placement-aware branch-and- were held regularly in the placement community since
bound search using a discrete cell library. Alternatively, gate ISPD 2005, and dozens groups built up extensive software
sizes can be extrapolated using continuous delay models libraries. In the last ten years, these contests have spear-
within performance-driven physical design [184], [198]. headed and validated significant advances in placement
research. Starting from the pure-wirelength-driven for-
mulations in 2005, the contests broadened their interests
D. Physical Retiming
toward cell density [141], global routability [192]–[194],
Physical retiming moves registers through combina-
detailed routability [21], [221], and timing [109]. The ISPD
tional logic in the netlist in order to ease timing constraints.
2005 and 2006 contests on newly released large netlists
Despite numerous publications on retiming in the logic
have confirmed that analytic global placers have matured to
domain, practical implementations must account for inter-
the point where previously leading min-cut placers became
connect delay, and therefore gate locations and buffering.
uncompetitive [141]. Subsequent contests were often domi-
A retiming-based physical-transformation system [150]
nated by quadratic placers, which were faster than general
uses virtual buffering and exploits the interaction between
nonlinear optimization placers that produced better solu-
retiming, placement, and cloning in a unified mixed
tions. Incorporating routability and runtime into the objec-
integer-linear program.
tives identified algorithmic frameworks that could be best
adapted to practical design flows. Contest organizers were
E. Compound Optimizations EDA professionals involved in placement R&D in the
Compound optimizations perform sophisticated area- industry, and this ensured prompt recognition of contest
timing-power tradeoffs along multiple design dimensions results, employment opportunities for best performing
while limiting design iterations and turnaround time. Logic graduate students, and even commercialization of best ideas.
transformations, buffer insertions, and gate sizing may
require legalization and detailed placement. Additionally, B. Comparisons Between Academic and Industry
Li et al. [118] adjust floorplans to accommodate area Placement Tools
changes. Industrial physical-synthesis flows are reported in Historically, academic placement tools have been
[151], [153], [186], and [198]. closely related to their industrial counterparts. Many

1996 Proceedings of the IEEE | Vol. 103, No. 11, November 2015
Markov et al.: Progress and Challenges in VLSI Placement Research

academic placers presaged industry placers, whereas out that conventional optimization objectives optimized by
Nalyor’s patent [142] spearheaded nonquadratic academic conventional placers have serious pitfalls in the case of
placer development (Fig. 1). Even so, direct comparisons datapaths (Fig. 8). In particular, datapaths require more
between academic and industry placers have been restrict- accurate modeling of multipin nets and their routing. To
ed by terms of specific license agreements, as well as facilitate specialized datapath placement techniques, it is
mismatches in data models and details of the larger chip important to identify datapath subcircuits and, after
context. Recent research in [94] created a framework and placement is performed, integrate the results into a larger
specific benchmarks that enable comprehensive ‘‘apples-to- layout. Specialized placement can also be viewed in terms
apples’’ assessments across commercial and academic tools of componentsValignment of bit slices, careful spacing of
including placers, routers, and gate sizers. The results aligned groups, as well as structure-aware legalization
suggest that state-of-the-art academic tools can outperform algorithms [46], [205] (Fig. 8). The least studied aspect of
industry tools on individual well-studied objectives, such as such a design flow is the integration into a larger layout.
wirelength (measured after placement) and overflow
(measured after routing), but are more likely to underper- B. Layout-Friendly High-Level Synthesis
form in highly constrained multiobjective optimization. Layout-friendly high-level synthesis [52] promises
This is to be expected, since comprehensive, customer- improvement in IC power and performance by invoking
focused software development is a lengthy and capital- physical optimization much earlier in the design flow. Such
intensive process that does not reflect the goals of academic operations require reasonably accurate modeling of key
research. Instead, academic tools focus on some aspects of design parameters, fast layout estimation, and powerful
the placement process and often pursue the objectives high-level design transformations that would generate mul-
proposed in academic contests. tiple configurations to choose from. Large datapaths offer
significant freedom for such transformations and algebraic
structure that facilitates high-level transformations. More-
VIII . OPEN CHALLENGES over, datapaths arise as bottlenecks for power and perfor-
As modern ICs continue to grow [88], flat placement will mance in mass-market IC designs and science-support
require new algorithms and data structures to support projects. Another key domain for layout-friendly high-level
physical design and physical synthesis with numerous synthesis is in tradeoffs between computation and commu-
macros and multiple clock domains [9]. Most gate-level nication. To this end, layout information is necessary to
techniques for 3-D placement remain impractical due to determine 1) spatial resources available for compute mod-
high TSV costs [102]. ules; and 2) the cost of communication. Specialized bus
routing is necessary to determine appropriate serialization/
A. Automatic Generation of Datapath Layout deserialization tradeoffs.
Automatic generation of datapath layout currently
remains inferior to manual placement, but significant C. Integrated Timing and Power Optimizations
improvements have been made in the last few years [46], As timing-critical nets are typically identified by sign-
[205]. A key issue is whether general-purpose placement off quality timing engines after placement, significant
approaches can be extended to handle datapath layouts as placement modification may be required in the presence of
successfully as unstructured layouts, or specialization is a large number of near-timing critical nets. Removing all
required. Recent studies [205] suggest the latter, pointing slack violations on these critical nets during placement,

Fig. 8. Illustration of datapath optimization during global placement. The red or light lines (black or dark lines) show horizontal (vertical)
alignments. Techniques such as weighting (center) and fixed-point alignment (right) significantly improve datapath alignment.

Vol. 103, No. 11, November 2015 | Proceedings of the IEEE 1997
Markov et al.: Progress and Challenges in VLSI Placement Research

however, can undermine placement quality, generate new E. Quantifying the Impact
critical nets, and hamper timing closure. Moreover, as the Given the significant investment in placement algo-
distance between metal layers (and neighboring nets) is rithms and tools, as well as the tangible progress achieved,
reduced, coupling delay further complicates timing analy- it is important to quantify their impact on the cost and
sis, as it can now be induced by nets above and below in quality of ICs and semiconductor products. Experiments in
addition to the parallel wires on the same layer. Further [169] show that more effective congestion-driven place-
challenges arise when integrating placement with clock- ment facilitates die-size reductions, which translate into
network synthesis to address process variation, useful lower manufacturing costs and higher profits. A variety of
skew, hold constraints, clock gating, and other low-power cost functions and large-scale design-optimization effects
optimizations. In particular, short-path (hold) constraints must be evaluated conclusively. Due to the significant
pose surprisingly difficult challenges in commercial IC effort involved and the need for careful analysis of results,
designs, and inserting buffers to mitigate these problems EDA tool vendors prepare circuit designs that demonstrate
results in an expensive overhead for power and area. Clock key layout issues, and then release these benchmarks to
gating optimizations can yield major savings in power, but universities as part of student contests. Top performers
also increase and complicate the connectivity of the then describe their techniques in scholarly publications.
combined netlist containing signal and clock nets. A typical This open-research environment has been instrumental in
netlist transformation in this context moves the gaters advancing the state of the art in physical layout, with many
further away from the clock source and clones them to contests targeting global placement.
handle tree fanout.

D. Lithography-Aware Physical Synthesis I X. CONCLUSION


Lithography-aware physical synthesis [146], [211] ena- Research in VLSI physical design advanced quickly in the last
bles early manufacturability optimization by, e.g., con- 30 years. The first ten years (and prior research) identified
trolling wire density to enhance chemical–mechanical well-defined optimization stages such as placement, routing,
polishing (CMP) [37] and improve timing yield [97]. Such and clock-tree synthesis, with established algorithms and
optimizations are numerous, and their significance de- intuitions. The next ten years of research discovered algo-
pends on the technology node. In particular, starting with rithms with increasing sophistication, better scalability, and
90–45-nm nodes global routers have to prevent excessive competitive quality of results. The last ten years saw an ex-
wire density to minimize crosstalk and avoid pitfalls in plosion of benchmarking activities and software-based con-
CMP. Since global placement holds significant influence tests, which revealed significant room for improvement over
over global routing (and the two have been integrated), prior art. Additionally, isolated physical-design steps were
wire density must be considered in global placement for extended to multiobjective optimizations and coordinated
large ICs. Starting at 45 nm, forbidden pitches and other with other aspects of IC design, to serve the needs of design
lithography constraints required additional support. flows focused on timing closure and manufacturing con-
Manufacturing yield optimization created a new situation cerns. Research on placement has been at the forefront of
where many single violations of soft rules can be tolerated, these developments. It contributed profoundly to the intel-
but their numbers must be kept low. More recently, lectual underpinnings of physical design and its performance
double- and triple-patterning required even more involved improvements in practice. Current commercial software
support during place-and-route and standard-cell tools for IC design draw heavily upon academic research, and
synthesis. further improvements are likely. h

REFERENCES Steiner tree construction,’’ in Proc. Int. Symp. [11] V. Betz and J. Rose, ‘‘VPR: A new packing,
Phys. Design, 2003, pp. 158–165. placement and routing tool for FPGA
[1] S. N. Adya et al., ‘‘Benchmarking for research,’’ in Field-Programmable Logic and
large-scale placement and beyond,’’ [6] C. J. Alpert, A. Kahng, G.-J. Nam, S. Reda,
and P. Villarrubia, ‘‘A semi-persistent Applications, ser. Lecture Notes in Computer
IEEE Trans. Comput.-Aided Design Integr. Science, vol. 1304. Berlin, Germany:
Circuits Syst., vol. 23, no. 4, pp. 472–487, clustering technique for VLSI circuit
placement,’’ in Proc. Int. Symp. Phys. Design, Springer-Verlag, 1997, pp. 213–222.
Apr. 2004.
2005, pp. 200–207. [12] U. Brenner, ‘‘VLSI legalization with
[2] S. N. Adya and I. L. Markov, ‘‘Combinatorial minimum perturbation by iterative
techniques for mixed-size placement,’’ ACM [7] C. J. Alpert et al., ‘‘Techniques for fast
physical synthesis,’’ in Proc. IEEE, vol. 95, augmentation,’’ in Proc. Design
Trans. Design Autom. Electron. Syst., vol. 10, Autom. Test Eur. Conf. Exhibit., 2012,
no. 1, pp. 58–90, 2005. no. 3, pp. 573–599, Mar. 2007.
pp. 1385–1390.
[3] S. N. Adya, I. L. Markov, and [8] C. J. Alpert et al., ‘‘What makes a design
difficult to route,’’ in Proc. Int. Symp. Phys. [13] U. Brenner and A. Rohe, ‘‘An effective
P. G. Villarrubia, ‘‘On whitespace and congestion driven placement framework,’’ in
stability in physical synthesis,’’ Integration, Design, 2010, pp. 7–12.
Proc. Int. Symp. Phys. Design, 2002,
vol. 39, no. 4, pp. 340–362, 2006. [9] C. J. Alpert et al., ‘‘Placement: Hot or not?’’
pp. 6–11.
[4] A. Agnihorti et al., ‘‘Fractional cut: Improved in Proc. Int. Conf. Comput.-Aided Design, 2012,
pp. 283–290. [14] U. Brenner and J. Vygen, ‘‘Faster optimal
recursive bisection placement,’’ in Proc. single-row placement with fixed ordering,’’
Int. Conf. Comput.-Aided Design, 2003, [10] C. J. Alpert, D. P. Mehta, and
in Proc. Design Autom. Test Eur. Conf. Exhibit.,
pp. 307–310. S. S. Sapatnekar, Eds., Handbook of
2000, pp. 117–121.
[5] C. J. Alpert, G. Gandham, M. Hrkic, J. Hu, Algorithms for VLSI Physical Design
Automation. Boca Raton, FL, USA: [15] U. Brenner and J. Vygen, ‘‘Legalizing a
and S. T. Quay, ‘‘Porosity aware buffered placement with minimum total movement,’’
CRC Press, 2008.

1998 Proceedings of the IEEE | Vol. 103, No. 11, November 2015
Markov et al.: Progress and Challenges in VLSI Placement Research

IEEE Trans. Comput.-Aided Design, vol. 23, [33] S. Chatterjee and R. K. Brayton, ‘‘A new [50] Y.-L. Chuang, H.-T. Lin, T.-Y. Ho,
no. 12, pp. 1597–1613, Dec. 2004. incremental placement algorithm and its Y.-W. Chang, and D. Marculescu, ‘‘PRICE:
[16] U. Brenner and M. Struzyna, ‘‘Faster application to congestion-aware divisor Power reduction by placement and
and better global placement by a new extraction,’’ in Proc. Int. Conf. Comput.-Aided clock-network co-synthesis for pulsed-latch
transportation algorithm,’’ in Proc. Design Design, 2004, pp. 541–548. designs,’’ in Proc. Int. Conf. Comput.-Aided
Autom. Conf., 2005, pp. 591–596. [34] H. Chen et al., ‘‘An algebraic multigrid solver Design, 2011, pp. 85–90.
[17] U. Brenner, M. Struzyna, and J. Vygen, for analytical placement with layout based [51] Y.-L. Chuang et al., ‘‘Design-hierarchy
‘‘BonnPlace: Placement of leading-edge clustering,’’ in Proc. Design Autom. Conf., aware mixed-size placement for
chips by advanced combinatorial 2003, pp. 794–799. routability optimization,’’ in Proc. Int. Conf.
algorithms,’’ IEEE Trans. Comput.-Aided [35] H.-C. Chen, Y.-L. Chuang, Y.-W. Chang, and Comput.-Aided Design, 2010, pp. 663–668.
Design, vol. 27, no. 9, pp. 1607–1620, Y.-C. Chang, ‘‘Constraint graph-based macro [52] J. Cong, B. Liu, G. Luo, and R. Prabhakar,
Sep. 2008. placement for modern mixed-size circuit ‘‘Towards layout-friendly high-level
[18] M. Breuer, ‘‘Min-cut placement,’’ J. Design designs,’’ in Proc. Int. Conf. Comput.-Aided synthesis,’’ in Proc. Int. Symp. Phys. Design,
Autom. Fault-Tolerant Comput., vol. 10, Design, 2008, pp. 218–223. 2012, pp. 165–172.
pp. 343–382, 1977. [36] T.-C. Chen, A. Chakraborty, and D. Z. Pan, [53] J. Cong, G. Luo, and E. Radke, ‘‘Highly
[19] R. E. Burkard, S. E. Karisch, and F. Rendl, ‘‘An integrated nonlinear placement efficient gradient computation for
‘‘QAPLIBVA quadratic assignment framework with congestion and porosity density-constrained analytical placement,’’
problem library,’’ Global Optim., no. 10, aware buffer planning,’’ in Proc. Design IEEE Trans. Comput.-Aided Design, vol. 27,
pp. 391–403, 1997. Autom. Conf., 2008, pp. 702–707. no. 12, pp. 2133–2144, Dec. 2008.
[20] M. Burstein and M. N. Youssef, ‘‘Timing [37] T.-C. Chen, M. Cho, D. Z. Pan, and [54] J. Cong, J. R. Shinnerl, M. Xie, T. Kong, and
influenced layout design,’’ in Proc. Design Y.-W. Chang, ‘‘Metal-density-driven X. Yuan, ‘‘Large-scale circuit placement,’’
Autom. Conf., 1985, pp. 124–130. Placement for CMP variation and routability,’’ ACM Trans. Design Autom. Electron. Syst.,
IEEE Trans. Comput.-Aided Design, vol. 27, vol. 10, no. 2, pp. 389–430, 2005.
[21] I. S. Bustany, D. G. Chinnery, J. R. Shinnerl,
no. 12, pp. 2145–2155, Dec. 2008. [55] J. Cong and M. Xie, ‘‘A robust detailed
and V. Yutsis, ‘‘ISPD 2015 benchmarks with
fence regions and routing blockages for [38] T.-C. Chen, P.-H. Yuh, Y.-W. Chang, placement for mixed-size IC designs,’’ in
detailed-routing-driven placement,’’ in Proc. F.-J. Huang, and T.-Y. Liu, ‘‘MP-trees: A Proc. Asia South Pacific Design Autom. Conf.,
Int. Symp. Phys. Design, 2015, pp. 157–164. packing-based macro placement algorithm 2006, pp. 188–194.
for modern mixed-size designs,’’ IEEE Trans. [56] J. Cong, M. Romesis, and J. R. Shinnerl,
[22] A. E. Caldwell, A. B. Kahng, S. Mantik,
Comput.-Aided Design, vol. 27, no. 9, ‘‘Robust mixed-size placement under tight
I. L. Markov, and A. Zelikovsky, ‘‘On
pp. 1621–1634, Sep. 2008. white-space constraints,’’ in Proc. Int. Conf.
wirelength estimations for row-based
placement,’’ IEEE Trans. Comput.-Aided [39] T.-C. Chen, Z.-W. Jiang, T.-C. Hsu, Comput.-Aided Design, 2005, pp. 165–172.
Design, vol. 18, no. 9, pp. 1265–1278, H.-C. Chen, and Y.-W. Chang, ‘‘NTUPlace3: [57] K.-R. Dai, C.-H. Lu, and Y.-L. Li, ‘‘GRPlacer:
Sep. 1999. An analytical placer for large-scale Improving routability and wirelength of
mixed-size designs with preplaced blocks global routing with circuit replacement,’’ in
[23] A. E. Caldwell, A. B. Kahng, and I. L. Markov,
and density constraints,’’ IEEE Trans. Proc. Int. Conf. Comput.-Aided Design, 2009,
‘‘Can recursive bisection alone produce
Comput.-Aided Design, vol. 27, no. 7, pp. 351–356.
routable placements?’’ Proc. Design Autom.
pp. 1228–1240, Jul. 2008.
Conf., 2000, pp. 477–482. [58] K. Doll, F. M. Johannes, and K. J. Antreich,
[40] C. E. Cheng, ‘‘RISA: Accurate and efficient ‘‘Iterative placement improvement by
[24] A. E. Caldwell, A. B. Kahng, and I. L. Markov,
placement routability modeling,’’ in Proc. network flow methods,’’ IEEE Trans.
‘‘Optimal partitioners and end-case placers
Int. Conf. Comput.-Aided Design, 1994, Comput.-Aided Design, vol. 13, no. 10,
for standard-cell layout,’’ IEEE Trans.
pp. 650–695. pp. 1189–1200, Oct. 1994.
Comput.-Aided Design, vol. 19, no. 11,
pp. 1304–1313, Nov. 2000. [41] C.-K. Cheng and E. S. Kuh, ‘‘Module [59] K. Doll, F. M. Johannes, and G. Sigl,
placement based on resistive network ‘‘DOMINO: Deterministic placement
[25] S. Cauley, V. Balakrishnan, Y. C. Hu, and
optimization,’’ IEEE Trans. Comput.-Aided improvement with hill-climbing
C.-K. Koh, ‘‘A parallel branch-and-cut
Design, vol. CAD-3, no. 3, pp. 218–225, capabilities,’’ in Proc. Very Large Scale Integr.
approach for detailed placement,’’ ACM
Jul. 1984. (VLSI) Conf., 1991, pp. 91–100.
Trans. Design Autom. Electron. Syst., vol. 16,
no. 2, pp. 18:1–18:19, 2011. [42] Y. Cheon, P.-H. Ho, A. B. Kahng, S. Reda, and [60] W. E. Donath et al., ‘‘Transformational
Q. Wang, ‘‘Power-aware placement,’’ in Proc. placement and synthesis,’’ in Proc. Design
[26] T. F. Chan, J. Cong, and K. Sze, ‘‘Multilevel
Design Autom. Conf., 2005, pp. 795–800. Autom. Test Eur. Conf. Exhibit., 2000,
generalized force-directed method for circuit
placement,’’ in Proc. Int. Symp. Phys. Design, [43] M.-F. Chiang, T. Okamoto, and pp. 194–201.
2005, pp. 185–192. T. Yoshimura, ‘‘Register placement for [61] A. E. Dunlop et al., ‘‘Chip layout optimization
high-performance circuits,’’ in Proc. Design using critical path weighting,’’ in Proc.
[27] T. F. Chan et al., ‘‘mPL6: Enhanced
Autom. Test Eur. Conf. Exhibit., 2009, Design Autom. Conf., 1984, pp. 133–136.
multilevel mixed-size placement with
pp. 1470–1475.
congestion control,’’ in Modern Circuit [62] H. Eisenmann and F. M. Johannes, ‘‘Generic
Placement, ser. Integrated Circuits and [44] M. Cho, H. Ren, H. Xiang, and R. Puri, global placement and floorplanning,’’ in
Systems, New York, NY, USA: ‘‘History-based VLSI legalization using Proc. Design Autom. Conf., 1998,
Springer-Verlag, 2007, pp. 247–288. network flow,’’ in Proc. Design Autom. Conf., pp. 269–274.
2010, pp. 286–291.
[28] H. Chang et al., ‘‘Net criticality revisited: [63] C. M. Fiduccia and R. M. Mattheyses,
An effective method to improve timing [45] W. Choi and K. Bazargan, ‘‘Hierarchical ‘‘A linear-time heuristic for improving
in physical design,’’ in Proc. Int. Symp. global floor placement using simulated network partitions,’’ in Proc. Design Autom.
Phys. Design, 2002, pp. 155–160. annealing and network flow area migration,’’ Conf., 1982, pp. 175–181.
in Proc. Design Autom. Test Eur. Conf. Exhibit.,
[29] C. Chang, J. Cong, and X. Yuan, ‘‘Multi-level [64] T. Gao, P. M. Vaidya, and C. L. Liu,
2003, pp. 1104–1105.
placement for large-scale mixed-size IC ‘‘A performance driven macro-cell placement
design,’’ in Proc. Asia South Pacific Design [46] S. Chou, M.-K. Hsu, and Y.-W. Chang, algorithm,’’ in Proc. Design Autom. Conf.,
Autom. Conf., 2003, pp. 325–330. ‘‘Structure-aware placement for 1992, pp. 147–152.
datapath-intensive circuit designs,’’ in Proc.
[30] Y.-W. Chang, Z.-W. Jiang, and T.-C. Chen, [65] S. Ghiasi, E. Bozorgzadeh, P.-K. Huang,
Design Autom. Conf., 2012, pp. 762–767.
‘‘Essential issues in analytical placement R. Jafari, and M. Sarrafzadeh, ‘‘A unified
algorithms,’’ IPSJ Trans. Syst. LSI Design [47] W.-K. Chow, J. Kuang, X. He, W. Cai, and theory of timing budget management,’’ IEEE
Methodol., vol. 2, pp. 145–166, 2009. E. F. Y. Young, ‘‘Cell density-driven Trans. Comput.-Aided Design, vol. 25, no. 11,
detailed placement with displacement pp. 2364–2375, Nov. 2006.
[31] T. F. Chan, J. Cong, and E. Radke,
constraint,’’ in Proc. Int. Symp. Phys. Design,
‘‘A rigorous framework for convergent [66] B. Halpin, C. Y. R. Chen, and N. Sehgal,
2014, pp. 3–10.
net weighting schemes in timing-driven ‘‘A sensitivity based placer for standard
placement,’’ in Proc. Int. Conf. Comput.-Aided [48] A. Chowdhary et al., ‘‘How accurately can cells,’’ in Proc. Great Lakes Symp. VLSI, 2000,
Design, 2009, pp. 288–294. we model timing in a placement engine,’’ in pp. 193–196.
Proc. Design Autom. Conf., 2005, pp. 801–806.
[32] Y.-T. Chang, C.-C. Hsu, M. P.-H. Lin, [67] B. Halpin, C. Y. R. Chen, and N. Sehgal,
Y.-W. Tsi, and S.-F. Chen, ‘‘Post-placement [49] C. Chu and M. Pan, ‘‘IPR: An integrated ‘‘Timing driven placement using physical net
power optimization with multi-bit flip-flops,’’ placement and routing algorithm,’’ in Proc. constraints,’’ in Proc. Design Autom. Conf.,
in Proc. Int. Conf. Comput.-Aided Design, Design Autom. Conf., 2007, pp. 59–62. 2001, pp. 780–783.
2010, pp. 218–223.

Vol. 103, No. 11, November 2015 | Proceedings of the IEEE 1999
Markov et al.: Progress and Challenges in VLSI Placement Research

[68] B. Halpin, C. Y. R. Chen, and N. Sehgal, [86] S. Hur et al., ‘‘Force directed mongrel with [105] M.-C. Kim, D.-J. Lee, and I. L. Markov,
‘‘Detailed placement with net length physical net constraints,’’ in Proc. Design ‘‘SimPL: An effective placement algorithm,’’
constraints,’’ in Proc. Int. Workshop Autom. Conf., 2003, pp. 214–219. IEEE Trans. Comput.-Aided Design, vol. 31,
System-on-Chip Real-Time Appl., 2003, [87] S.-W. Hur and J. Lillis, ‘‘Mongrel: Hybrid no. 1, pp. 50–60, Jan. 2012.
pp. 22–27. techniques for standard cell placement,’’ in [106] M.-C. Kim and I. L. Markov, ‘‘ComPLx:
[69] T. Hamada, C. K. Cheng, and P. M. Chau, Proc. Int. Conf. Comput.-Aided Design, 2000, A competitive primal-dual Lagrange
‘‘Prime: A timing-driven placement tool pp. 165–170. optimization for global placement,’’ in Proc.
using a piecewise linear resistive network [88] International Technology Roadmap for Design Autom. Conf., 2012, pp. 747–752.
approach,’’ in Proc. Design Autom. Conf., Semiconductors (ITRS). [Online]. Available: [107] M.-C. Kim, N. Viswanathan, C. J. Alpert,
1993, pp. 531–536. http://public.itrs.net I. L. Markov, and S. Ramji, ‘‘MAPLE:
[70] P. S. Hauge, R. Nair, and E. J. Yoffa, ‘‘Circuit [89] M. A. B. Jackson and E. S. Kuh, Multilevel adaptive placement for mixed-size
placement for predictable performance,’’ in ‘‘Performance-driven placement of cell based designs,’’ in Proc. Int. Symp. Phys. Design,
Proc. Int. Conf. Comput.-Aided Design, 1987, ICs,’’ in Proc. Design Autom. Conf., 1989, 2012, pp. 193–200.
pp. 88–91. pp. 370–375. [108] M.-C. Kim, N. Viswanathan, Z. Li, and
[71] X. He et al., ‘‘Ripple: An effective [90] D. Jariwala and J. Lillis, ‘‘RBI: Simultaneous C. J. Alpert, ‘‘ICCAD-2013 CAD contest in
routability-driven placer by iterative cell placement and routing optimization placement finishing and benchmark suite,’’
movement,’’ in Proc. Int. Conf. Comput.-Aided technique,’’ IEEE Trans. Comput.-Aided in Proc. Int. Conf. Comput.-Aided Design, 2013,
Design, 2011, pp. 74–79. Design, vol. 26, no. 1, pp. 127–141, pp. 268–270.
[72] X. He et al., ‘‘Ripple 2.0: High quality Jan. 2007. [109] M.-C. Kim, J. Hu, and N. Viswanathan,
routability-driven placement via global [91] Z.-W. Jiang, B.-Y. Su, and Y.-W. Chang, ‘‘ICCAD-2014 CAD contest in incremental
router integration,’’ in Proc. Design Autom. ‘‘Routability-driven analytical placement timing-driven placement and benchmark
Conf., 2013, pp. 1–6. by net overlapping removal for large-scale suite,’’ in Proc. Int. Conf. Comput.-Aided
[73] D. Hill, ‘‘Method and system for high mixed-size designs,’’ in Proc. Design Autom. Design, 2014, pp. 361–366.
speed detailed placement of cells within Conf., 2008, pp. 167–172. [110] J. M. Kleinhans, G. Sigl, F. M. Johannes, and
an integrated circuit design,’’ U.S. Patent [92] H.-R. Jiang, C.-L. Chang, and Y.-M. Yang, K. Antreich, ‘‘GORDIAN: VLSI placement
6370673, 2001. ‘‘INTEGRA: Fast multibit flip-flop clustering by quadratic programming and slicing
[74] T.-Y. Ho and S.-H. Liu, ‘‘Fast legalization for for clock power saving,’’ IEEE Trans. optimization,’’ IEEE Trans. Comput.-Aided
standard cell placement with simultaneous Comput.-Aided Design, vol. 31, no. 2, Design, vol. 10, no. 3, pp. 356–365,
wirelength and displacement minimization,’’ pp. 192–204, Feb. 2012. Mar. 1991.
in Proc. VLSI System on Chip Conf., 2010, [93] A. B. Kahng, ‘‘Classical floorplanning [111] T. Kong, ‘‘A novel net weighting algorithm
pp. 369–374. harmful?’’ in Proc. Int. Symp. Phys. Design, for timing-driven placement,’’ in Proc.
[75] W. Hou et al., ‘‘A new congestion-driven 2000, pp. 207–213. Int. Conf. Comput.-Aided Design, 2002,
placement algorithm based on cell inflation,’’ pp. 172–176.
[94] A. B. Kahng, H. Lee, and J. Li, ‘‘Horizontal
in Proc. Asia South Pacific Design Autom. benchmark extension for improved [112] J. Kuang, W.-K. Chow, and E. F. Y. Young,
Conf., 2001, pp. 723–728. assessment of physical CAD research,’’ in ‘‘Tripple patterning lithography aware
[76] M.-K. Hsu and Y.-W. Chang, ‘‘Unified Proc. Great Lakes Symp. VLSI, 2014, optimization for standard cell based design,’’
analytical global placement for large-scale pp. 27–32. in Proc. Int. Conf. Comput.-Aided Design, 2014,
mixed-size circuit designs,’’ in Proc. Int. pp. 108–115.
[95] A. B. Kahng, J. Lienig, I. L. Markov, and
Conf. Comput.-Aided Design, 2010, J. Hu, VLSI Physical Design: From Graph [113] D. E. Lackey et al., ‘‘Managing power and
pp. 657–662. Partitioning to Timing Closure. New York, performance for system-on-chip designs
[77] M.-K. Hsu, Y.-W. Chang, and V. Balabanov, NY, USA: Springer-Verlag, 2011. using voltage islands,’’ in Proc. Int. Conf.
‘‘TSV-aware analytical placement for 3D Comput.-Aided Design, 2002, pp. 195–202.
[96] A. B. Kahng, I. L. Markov, and S. Reda,
IC designs,’’ in Proc. Design Autom. Conf., ‘‘On legalization of row-based placements,’’ [114] L. Lavagno, G. Martin, and L. Scheffer,
2011, pp. 664–669. in Proc. Great Lakes Symp. VLSI, 2004, EDA for IC Implementation, Circuit Design,
[78] M.-K. Hsu, S. Chou, T.-H. Lin, and pp. 214–219. Process Technology. Boca Raton, FL, USA:
Y.-W. Chang, ‘‘Routability-driven analytical CRC Press, 2006.
[97] A. B. Kahng, C.-H. Park, P. Sharma, and
placement for mixed-size circuit designs,’’ in Q. Wang, ‘‘Lens aberration aware placement [115] D.-J. Lee and I. L. Markov, ‘‘Obstacle-aware
Proc. Int. Conf. Comput.-Aided Design, 2011, for timing yield,’’ ACM Trans. Design Autom. clock-tree shaping during placement,’’
pp. 80–84. Electron. Syst., vol. 14, no. 1, pp. 16:1–16:26, IEEE Trans. Comput.-Aided Design, vol. 31,
[79] B. Hu and M. Marek-Sadowska, ‘‘Congestion 2009. no. 2, pp. 205–216, Feb. 2012.
minimization during placement without [98] A. B. Kahng, P. Tucker, and A. Zelikovsky, [116] Y.-M. Lee, T.-Y. Wu, and P.-Y. Chang,
estimation,’’ in Proc. Int. Conf. Comput.-Aided ‘‘Optimization of linear placements for ‘‘A hierarchical bin-based legalizer for
Design, 2002, pp. 739–745. wirelength minimization with free sites,’’ in standard-cell designs with minimal
[80] B. Hu and M. Marek-Sadowska, ‘‘Multilevel Proc. Asia South Pacific Design Autom. Conf., disturbance,’’ in Proc. Asia South Pacific
fixed-point-addition-based VLSI placement,’’ 1999, pp. 241–244. Design Autom. Conf., 2010, pp. 568–573.
IEEE Trans. Comput.-Aided Design, vol. 24, [99] A. B. Kahng and Q. Wang, ‘‘A faster [117] C. Li and C.-K. Koh, ‘‘Recursive function
no. 8, pp. 1188–1203, Aug. 2005. implementation of APlace,’’ in Proc. Int. smoothing of half-perimeter wirelength for
[81] J. Hu, A. B. Kahng, S.-H. Kang, M.-C. Kim, Symp. Phys. Design, 2006, pp. 218–220. analytical placement,’’ in Proc. Int. Symp.
and I. L. Markov, ‘‘Sensitivity-guided Quality Electron. Design, 2007, pp. 829–834.
[100] G. Karypis and V. Kumar, ‘‘Multilevel k-way
metaheuristics for accurate discrete gate hypergraph partitioning,’’ in Proc. Design [118] C. Li, C.-K. Koh, and P. H. Madden,
sizing,’’ in Proc. Int. Conf. Comput.-Aided Autom. Conf., 1999, pp. 343–348. ‘‘Floorplan management: Incremental
Design, 2012, pp. 233–239. placement for gate sizing and buffer
[101] A. A. Kennings, N. K. Darv, and L. Behjat,
[82] J. Hu, Y. Shin, N. Dhanwada, and insertion,’’ in Proc. Asia South Pacific
‘‘Detailed placement accounting for
R. Marculescu, ‘‘Architecting voltage islands Design Autom. Conf., 2005, pp. 349–354.
technology constraints,’’ in Proc. VLSI System
in core-based system-on-a-chip designs,’’ in on Chip Conf., 2014, DOI: 10.1109/VLSI-SoC. [119] C. Li, M. Xie, C.-K. Koh, J. Cong, and
Proc. Int. Symp. Low Power Electron. Design, 2014.7004188. P. H. Madden, ‘‘Routability-driven
2004, pp. 180–185. placement and white space allocation,’’
[102] J. Knechtel, I. L. Markov, and J. Lienig,
[83] J. Hu, J. A. Roy, and I. L. Markov, IEEE Trans. Comput.-Aided Design, vol. 26,
‘‘Assembling 2-D blocks into 3-D chips,’’
‘‘Completing high-quality routes,’’ in Proc. no. 5, pp. 858–871, May 2007.
IEEE Trans. Comput.-Aided Design, vol. 31,
Int. Symp. Phys. Design, 2010, pp. 35–41. no. 2, pp. 228–241, Feb. 2012. [120] S. Li and C.-K. Koh, ‘‘Mixed integer
[84] J. Hu, M.-C. Kim, and I. L. Markov, ‘‘Taming programming models for detailed
[103] M.-C. Kim, D.-J. Lee, and I. L. Markov,
the complexity of coordinated place and placement,’’ in Proc. Int. Symp. Phys. Design,
‘‘SimPL: An effective placement algorithm,’’
route,’’ in Proc. Design Autom. Conf., 2013, 2012, pp. 87–94.
in Proc. Int. Conf. Comput.-Aided Design,
pp. 1–7. 2010, pp. 649–656. [121] Z. Li, C. N. Sze, C. J. Alpert, J. Hu, and
[85] T. C. Hu and K. Moerder, ‘‘Multiterminal W. Shi, ‘‘Making fast buffer insertion even
[104] M.-C. Kim, J. Hu, D.-J. Lee, and I. L. Markov,
flows in a hypergraph,’’ in VLSI Circuit faster via approximation techniques,’’ in Proc.
‘‘A SimPLR method for routability-driven
Layout: Theory and Design, T. C. Hu and Asia South Pacific Design Autom. Conf., 2005,
placement,’’ in Proc. Int. Conf. Comput.-Aided
E. S. Kuh, Eds. New York, NY, USA: IEEE pp. 13–18.
Design, 2011, pp. 67–73.
Press, 1985.

2000 Proceedings of the IEEE | Vol. 103, No. 11, November 2015
Markov et al.: Progress and Challenges in VLSI Placement Research

[122] Z. Li, W. Wu, and X. Hong, ‘‘Congestion [141] G.-J. Nam and J. Cong, Modern Circuit [160] B. M. Reiss and G. G. Ettelt, ‘‘SPEED:
driven incremental placement algorithm for Placement: Best Practices and Results. Fast and efficient timing driven placement,’’
standard cell layout,’’ in Proc. Asia South Pacific New York, NY, USA: Springer-Verlag, 2007. in Proc. Int. Symp. Circuits Syst., 1995,
Design Autom. Conf., 2003, pp. 723–728. [142] W. C. Naylor, R. Donelly, and L. Sha, pp. 377–380.
[123] T. Lin and C. Chu, ‘‘POLAR 2.0: An effective ‘‘Non-linear optimization system and method [161] H. Ren, D. Z. Pan, C. J. Alpert, and
routability-driven placer,’’ in Proc. Design for wire length and delay optimization P. G. Villarrubia, ‘‘Diffusion-based placement
Autom. Conf., 2014, pp. 1–6. for an automatic electric circuit placer,’’ migration,’’ in Proc. Design Autom. Conf.,
[124] B. Liu, Y. Cai, Q. Zhou, and X. Hong, ‘‘Power U.S. Patent 6 301 693, Oct. 2001. 2005, pp. 515–520.
driven placement with layout aware supply [143] B. Obermeier and F. M. Johannes, [162] H. Ren, D. Z. Pan, C. J. Alpert, G.-J. Nam,
voltage assignment for voltage island ‘‘Temperature-aware global placement,’’ in and P. G. Villarrubia, ‘‘Hippocrates:
generation in dual-Vdd designs,’’ in Proc. Proc. Asia South Pacific Design Autom. Conf., First-do-no-harm detailed placement,’’ in
Asia South Pacific Design Autom. Conf., 2006, 2004, pp. 143–148. Proc. Asia South Pacific Design Autom. Conf.,
pp. 582–587. [144] B. Obermeier, H. Ranke, and F. M. Johannes, 2007, pp. 141–146.
[125] J. Lu et al., ‘‘ePlace: Electrostatics based ‘‘Kraftwerk: A versatile placement approach,’’ [163] H. Ren, D. Z. Pan, and D. S. Kung,
placement using Nesterov’s method,’’ in in Proc. Int. Symp. Phys. Design, 2005, ‘‘Sensitivity guided net weighting for
Proc. Design Autom. Conf., 2014, pp. 242–244. placement driven synthesis,’’ IEEE Trans.
DOI: 10.1145/2593069.2593133. [145] R. H. J. M. Otten and R. K. Brayton, Comput.-Aided Design, vol. 24, no. 5,
[126] W. K. Luk, ‘‘A fast physical constraint ‘‘Performance planning,’’ Integration, pp. 711–721, May 2005.
generator for timing driven placement,’’ in vol. 29, no. 1, pp. 1–24, 2000. [164] J. A. Roy, S. N. Adya, D. A. Papa, and
Proc. Design Autom. Conf., 1991, pp. 626–631. [146] M. Orshansky, S. Nassif, and D. Boning, I. L. Markov, ‘‘Min-cut floorplacement,’’
[127] T. Lin and C. Chu, ‘‘POLAR 2.0: An effective Design for Manufacturability and Statistical IEEE Trans. Comput.-Aided Design, vol. 25,
routability-driven placer,’’ in Proc. Design Design: A Constructive Approach (Integrated no. 7, pp. 1313–1326, Jul. 2006.
Autom. Conf., 2013, pp. 1–6. Circuits and Systems). New York, NY, USA: [165] J. A. Roy and I. L. Markov, ‘‘Seeing
[128] W.-H. Liu, W.-C. Kao, Y.-L. Li, and Springer-Verlag, 2010. the forest and the trees: Steiner wirelength
K.-Y. Chao, ‘‘Multi-threaded collision-aware [147] M. M. Ozdal et al., ‘‘The ISPD-2012 discrete optimization in placement,’’ IEEE Trans.
global routing with bounded-length maze cell sizing contest and benchmark suite,’’ in Comput.-Aided Design, vol. 23, no. 4,
routing,’’ in Proc. Design Autom. Conf., 2010, Proc. Int. Symp. Phys. Design, 2012, pp. 632–644, Apr. 2007.
pp. 200–205. pp. 161–164. [166] J. A. Roy and I. L. Markov, ‘‘ECO-system:
[129] W.-H. Liu, C.-K. Koh, and Y.-L. Li, [148] M. Pan and C. Chu, ‘‘FastRoute: A step to Embracing the change in placement,’’ IEEE
‘‘Optimization of placement solutions for integrate global routing into placement,’’ in Trans. Comput.-Aided Design, vol. 26, no. 12,
routability,’’ in Proc. Design Autom. Conf., Proc. Int. Conf. Comput.-Aided Design, 2006, pp. 2173–2185, Dec. 2007.
2013, pp. 1–9. pp. 59–62. [167] J. A. Roy, A. N. Ng, R. Aggarwal,
[130] T. Luo, D. Newmark, and D. Z. Pan, [149] M. Pan, N. Viswanathan, and C. Chu, V. Ramachandran, and I. L. Markov, ‘‘Solving
‘‘A new LP based incremental timing ‘‘An efficient and effective detailed modern mixed-size placement instances,’’
driven placement for high performance placement algorithm,’’ in Proc. Int. Conf. Integration, vol. 42, no. 2, pp. 262–275,
designs,’’ in Proc. Design Autom. Conf., 2006, Comput.-Aided Design, 2005, pp. 48–55. 2009.
pp. 1115–1120. [150] D. A. Papa, S. Krishnaswamy, and [168] J. A. Roy et al., ‘‘Capo: Robust and scalable
[131] T. Luo and D. Z. Pan, ‘‘DPlace2.0: A stable I. L. Markov, ‘‘SPIRE: A retiming-based open-source min-cut floorplacer,’’ in Proc.
and efficient analytical placement based physical-synthesis transformation system,’’ in Int. Symp. Phys. Design, 2005, pp. 224–226.
on diffusion,’’ in Proc. Asia South Pacific Proc. Int. Conf. Comput.-Aided Design, 2010, [169] J. A. Roy, N. Viswanathan, G.-J. Nam,
Design Autom. Conf., 2008, pp. 346–351. pp. 373–380. C. J. Alpert, and I. L. Markov, ‘‘CRISP:
[132] T. Luo, H. Ren, C. J. Alpert, and D. Z. Pan, [151] D. A. Papa, M. D. Moffitt, C. J. Alpert, and Congestion reduction by iterated spreading
‘‘Computational geometry based placement I. L. Markov, ‘‘Speeding up physical synthesis during placement,’’ in Proc. Int. Conf.
migration,’’ in Proc. Design Autom. Conf., with transactional timing analysis,’’ IEEE Comput.-Aided Design, 2009, pp. 357–362.
2007, pp. 41–47. Design Test, vol. 27, no. 5, pp. 14–25, [170] A. E. Ruehli, P. K. Wolff, and G. Goertzel,
[133] Y. Lu et al., ‘‘Navigating registers in Sep./Oct. 2010. ‘‘Analytical power/timing optimization
placement for clock network minimization,’’ [152] D. A. Papa et al., ‘‘RUMBLE: An incremental, technique for digital systems,’’ in Proc. Design
in Proc. Design Autom. Conf., 2005, timing-driven, physical- synthesis Autom. Conf., 1977, pp. 142–146.
pp. 176–181. optimization algorithm,’’ IEEE Trans. [171] S. M. Sait, M. R. Minhas, and J. A. Khan,
[134] N. Magen, A. Kolodny, U. Weiser, and Comput.-Aided Design, vol. 27, no. 12, ‘‘Performance and low power driven VLSI
N. Shamir, ‘‘Interconnect-power dissipation pp. 2156–2168, Dec. 2008. standard cell placement using tabu
in a microprocessor,’’ in Proc. Int. Workshop [153] D. A. Papa et al., ‘‘Physical synthesis search,’’ in Proc. Congr. Evol. Comput., 2002,
Syst. Level Interconnect Prediction, 2004, with clock-network optimization for large pp. 372–377.
pp. 7–13. systems on chips,’’ IEEE Micro, vol. 31, no. 4, [172] M. Sarrafzadeh and M. Wang, ‘‘NRG: Global
[135] F. Mo and R. K. Brayton, ‘‘Fishbone: pp. 51–62, Jul./Aug. 2011. and detailed placement,’’ in Proc. Int. Conf.
A block-level placement and routing [154] P. N. Parakh, R. B. Brown, and Comput.-Aided Design, 1997, pp. 532–537.
scheme,’’ in Proc. Int. Symp. Phys. Design, K. A. Sakallah, ‘‘Congestion driven quadratic [173] M. Sarrafzadeh, M. Wang, and X. Yang,
2003, pp. 204–209. placement,’’ in Proc. Design Autom. Conf., Modern Placement Techniques. New York,
[136] F. Mo and R. K. Brayton, ‘‘Placement based 1998, pp. 275–278. NY, USA: Springer-Verlag, 2002.
multiplier rewiring for cell-based designs,’’ in [155] S. Plaza, I. L. Markov, and V. Bertacco, [174] P. Saxena, ‘‘On controlling perturbation due
Proc. Int. Conf. Comput.-Aided Design, 2008, ‘‘Optimizing non-monotonic interconnect to repeaters during quadratic placement,’’
pp. 430–433. using functional simulation and logic IEEE Trans. Comput.-Aided Design, vol. 25,
[137] F. Mo, A. Tabbara, and R. K. Brayton, restructuring,’’ IEEE Trans. Comput.-Aided no. 9, pp. 1733–1743, Sep. 2006.
‘‘A force-directed macro-cell placer,’’ in Design, vol. 27, no. 12, pp. 2107–2119, [175] N. Selvakkumaran, P. N. Parakh, and
Proc. Int. Conf. Comput.-Aided Design, 2000, Dec. 2008. G. Karypis, ‘‘Perimeter-degree: A priori
pp. 177–180. [156] S. Popovych et al., ‘‘Density-aware detailed metric for directly measuring and
[138] F. Mo, A. Tabbara, and R. K. Brayton, placement with instant legalization,’’ in homogenizing interconnection complexity in
‘‘A timing-driven macro-cell placement Proc. Design Autom. Conf., 2014, multilevel placement,’’ in Proc. IEEE Conf.
algorithm,’’ in Proc. Int. Conf. Comput. DOI: 10.1145/2593069.2593120. Syst. Level Interconnect Prediction, 2003,
Design, 2001, pp. 322–332. [157] R. Puri, L. Stok, and S. Bhattacharya, pp. 53–59.
[139] M. D. Moffitt, J. A. Roy, I. L. Markov, and ‘‘Keeping hot chips cool,’’ in Proc. Design [176] W. Shen, Y. Cai, X. Hong, and J. Hu,
M. E. Pollack, ‘‘Constraint-driven floorplan Autom. Conf., 2005, pp. 285–288. ‘‘Activity and register placement aware gated
repair,’’ ACM Trans. Design Autom. Electron. [158] R. Puri et al., ‘‘Pushing ASIC performance in clock network design,’’ in Proc. Int. Symp.
Syst., vol. 13, no. 4, pp. 1–13, 2008. a power envelope,’’ in Proc. Design Autom. Phys. Design, 2008, pp. 182–189.
[140] R. Nair, C. L. Berman, P. S. Hauge, and Conf., 2003, pp. 788–793. [177] R. E. Showalter, ‘‘Monotone operators
E. J. Yoffa, ‘‘Generation of performance [159] K. Rajagopal et al., ‘‘Timing driven force in Banach space and nonlinear partial
constraints for layout,’’ IEEE Trans. directed placement with physical net differential equations,’’ Math. Surv.
Comput.-Aided Design, vol. 8, no. 8, constraints,’’ in Proc. Int. Symp. Phys. Design, Monographs, no. 49, pp. 162–163, 1997.
pp. 860–874, Aug. 1989. 2003, pp. 60–66.

Vol. 103, No. 11, November 2015 | Proceedings of the IEEE 2001
Markov et al.: Progress and Challenges in VLSI Placement Research

[178] G. Sigl, K. Doll, and F. M. Johannes, routability-driven placement contest and [208] J. Werber, D. Rautenbach, and C. Szegedy,
‘‘Analytical placement: A linear or benchmark suite,’’ in Proc. Design Autom. ‘‘Timing optimization by restructuring long
a quadratic objective function?’’ in Proc. Conf., 2012, pp. 774–782. combinatorial paths,’’ in Proc. Int. Conf.
Design Autom. Conf., 1991, pp. 427–432. [194] N. Viswanathan, C. J. Alpert, C. N. Sze, Comput.-Aided Design, 2007, pp. 536–543.
[179] P. Spindler and F. M. Johannes, ‘‘Fast Z. Li, and Y. Wei, ‘‘ICCAD-2012 CAD contest [209] J. Westra, C. Bartels, and P. Groeneveld,
and accurate routing demand estimation for in design hierarchy aware routability-driven ‘‘Probabilistic congestion prediction,’’ in
efficient routability-driven placement,’’ in placement and benchmark suite,’’ in Proc. Proc. Int. Symp. Phys. Design, 2004,
Proc. Design Autom. Test Eur. Conf. Exhibit., Int. Conf. Comput.-Aided Design, 2012, pp. 204–209.
2007, pp. 1226–1231. pp. 345–348. [210] J. Westra and P. Groeneveld, ‘‘Is probabilistic
[180] P. Spindler, U. Schlichtmann, and [195] N. Viswanathan, G.-J. Nam, C. J. Alpert, congestion estimation worthwhile?’’
F. M. Johannes, ‘‘Abacus: Fast legalization P. G. Villarrubia, and H. Ren, ‘‘RQL: Global in Proc. Int. Workshop Syst. Level Interconnect
of standard cell circuits with minimal placement via relaxed quadratic spreading Prediction, 2005, pp. 99–106.
movement,’’ in Proc. Int. Symp. Phys. Design, and linearization,’’ in Proc. Design Autom. [211] B. P. Wong et al., Nano-CMOS Design for
2008, pp. 47–53. Conf., 2007, pp. 453–458. Manufacturability. New York, NY, USA:
[181] P. Spindler, U. Schlichtmann, and [196] N. Viswanathan et al., ‘‘ITOP: Integrating Wiley, 2009.
F. M. Johannes, ‘‘Kraftwerk2VA fast timing optimization within placement,’’ in [212] H. Xiang et al., ‘‘Logical and physical
force-directed quadratic placement approach Proc. Int. Symp. Phys. Design, 2010, restructuring of fan-in trees,’’ in Proc.
using an accurate net model,’’ IEEE Trans. pp. 83–90. Int. Symp. Phys. Design, 2010, pp. 67–74.
Comput.-Aided Design, vol. 27, no. 8, [197] N. Viswanathan, M. Pan, and C. Chu, [213] Z. Xiu and R. Rutenbar, ‘‘Timing-driven
pp. 1398–1411, Aug. 2008. ‘‘FastPlace 3.0: A fast multilevel quadratic placement by grid-warping,’’ in Proc. Design
[182] A. Srinivasan, K. Chaudhary, and E. S. Kuh, placement algorithm with placement Autom. Conf., 2005, pp. 585–590.
‘‘Ritual: A performance driven placement congestion control,’’ in Proc. Asia South
[214] Y. Xu, Y. Zhang, and C. Chu, ‘‘FastRoute 4.0:
algorithm for small cell ICs,’’ in Proc. Pacific Design Autom. Conf., 2007,
Global router with efficient via minimization,’’
Int. Conf. Comput.-Aided Design, 1991, pp. 135–140.
in Proc. Asia South Pacific Design Autom.
pp. 48–51. [198] M. Vujkovic, D. Wadkins, B. Swartz, and Conf., 2009, pp. 576–581.
[183] W. Swartz and C. Sechen, ‘‘Timing driven C. Sechen, ‘‘Efficient timing closure without
[215] J. Z. Yan, C. Chu, and W.-K. Mak,
placement for large standard cell circuits,’’ in timing driven placement and routing,’’ in
‘‘SafeChoice: A novel approach to
Proc. Design Autom. Conf., 1995, pp. 211–215. Proc. Design Autom. Conf., 2004,
hypergraph clustering for wirelength-driven
[184] H. Tennakoon and C. Sechen, ‘‘Nonconvex pp. 268–273.
placement,’’ IEEE Trans. Comput.-Aided
gate delay modeling and delay optimization,’’ [199] C.-K. Wang et al., ‘‘Closing the gap between Design, vol. 30, no. 7, pp. 1020–1033,
IEEE Trans. Comput.-Aided Design, vol. 27, global and detailed placement: Techniques Jul. 2011.
no. 9, pp. 1583–1594, Sep. 2003. for improving routability,’’ in Proc. Int. Symp.
[216] J. Z. Yan, N. Viswanathan, and C. Chu,
[185] M. Terai, K. Takahashi, and K. Sato, ‘‘A new Phys. Design, 2015, pp. 149–156.
‘‘Handling complexities in modern
min-cut placement algorithm for timing [200] M. Wang and M. Sarrafzadeh, ‘‘On the large-scale mixed-size placement,’’ in Proc.
assurance layout design meeting net length behaviour of congestion minimization Design Autom. Conf., 2009, pp. 436–441.
constraint,’’ in Proc. Design Autom. Conf., during placement,’’ in Proc. Int. Symp.
[217] X. Yang, B.-K. Choi, and M. Sarrafzadeh,
1990, pp. 96–102. Phys. Design, 1999, pp. 145–150.
‘‘Routability-driven white space allocation
[186] L. Trevillyan, D. Kung, R. Puri, L. N. Reddy, [201] M. Wang and M. Sarrafzadeh, ‘‘Model for fixed-die standard-cell placement,’’ IEEE
and M. A. Kazda, ‘‘An integrated and minimization of routing congestion,’’ in Trans. Comput.-Aided Design, vol. 22, no. 4,
environment for technology closure of Proc. Asia South Pacific Design Autom. Conf., pp. 410–419, Apr. 2003.
deep-submicron IC designs,’’ IEEE 2000, pp. 185–190.
[218] C. Yeh, Y. Kang, S. Shieh, and J. Wang,
Design Test, vol. 21, no. 1, pp. 14–22, [202] M. Wang, X. Yang, and M. Sarrafzadeh, ‘‘Layout techniques supporting the use of
Jan./Feb. 2004. ‘‘Congestion minimization during dual supply voltages for cell-based designs,’’
[187] R.-S. Tsay, E. S. Kuh, and C.-P. Hsu, placement,’’ IEEE Trans. Comput.-Aided in Proc. Design Autom. Conf., 1999,
‘‘Proud: A fast sea-of-gates placement Design, vol. 19, no. 10, pp. 1140–1148, pp. 62–67.
algorithm,’’ in Proc. Design Autom. Conf., Oct. 2000.
[219] H. Youssef and E. Shragowitz, ‘‘Timing
1988, pp. 318–323. [203] M. Wang, X. Yang, K. Eguro, and constraints for correct peformance,’’ in
[188] R.-S. Tsay and J. Koehl, ‘‘An analytic net M. Sarrafzadeh, ‘‘Multicenter congestion Proc. Int. Conf. Comput.-Aided Design,
weighting approach for performance estimation and minimization during 1990, pp. 24–27.
optimization in circuit placement,’’ in Proc. placement,’’ in Proc. Int. Symp. Phys. Design,
[220] B. Yu et al., ‘‘Methodology for standcard
Design Autom. Conf., 1991, pp. 636–639. 2000, pp. 147–152.
cell compliance and detailed placement for
[189] K. Tsota, C. Koh, and V. Balakrishnan, [204] Y. Wang, Q. Zhou, X. Hong, and Y. Cai, triple patterning lithography,’’ IEEE Trans.
‘‘Guiding global placement with wire ‘‘Clock-tree aware placement based on Comput.-Aided Design, vol. 34, no. 5,
density,’’ in Proc. Int. Conf. Comput.-Aided dynamic clock-tree building,’’ in Proc. Int. pp. 726–739, May 2015.
Design, 2008, pp. 212–217. Symp. Circuits Syst., 2007, pp. 2040–2043.
[221] V. Yutsis, I. Bustany, D. G. Chinnery,
[190] H. Vaishnav and M. Pedram, ‘‘PCUBE: A [205] S. Ward, D. Ding, and D. Z. Pan, ‘‘PADE: J. R. Shinnerl, and W.-H. Liu, ‘‘ISPD 2014
performance driven placement algorithm for A high-performance placer with automatic benchmarks with sub-45 nm technology
low power designs,’’ in Proc. Design Autom. datapath extraction and evaluation rules for detailed-routing-driven placement,’’
Conf./EURO-VHDL, 1993, pp. 72–77. through high dimensional data learning,’’ in Proc. Int. Symp. Phys. Design,
[191] L. P. P. P. van Ginneken, ‘‘Buffer placement in Proc. Design Autom. Conf., 2012, pp. 161–168.
in distributed RC-tree network for minimal pp. 756–761.
[222] Y. Zhang and C. Chu, ‘‘CROP: Fast and
Elmore delay,’’ in Proc. Int. Symp. Circuits [206] L.-T. Wang, Y.-W. Chang, and K.-T. Cheng, effective congestion refinement of
Syst., 1990, pp. 865–868. Electronic Design Automation: Synthesis, placement,’’ in Proc. Int. Conf. Comput.-Aided
[192] N. Viswanathan, C. J. Alpert, Z. Li, Verification, Test. San Francisco, CA, USA: Design, 2009, pp. 344–350.
G.-J. Nam, and J. A. Roy, ‘‘The ISPD-2011 Morgan Kaufmann, 2009.
[223] K. Zhong and S. Dutt, ‘‘Algorithms for
routability-driven placement contest and [207] E. Wein and J. Benkoski, ‘‘Hard macros simultaneous satisfaction of multiple
benchmark suite,’’ in Proc. Int. Symp. Phys. will revolutionize SoC design,’’ EE Times, constraints and objective optimization
Design, 2011, pp. 141–146. Aug. 20, 2004. [Online]. Available: in a placement flow with application to
[193] N. Viswanathan, C. J. Alpert, C. N. Sze, http://www.eetimes.com/news/design/ congestion control,’’ in Proc. Design Autom.
Z. Li, and Y. Wei, ‘‘The DAC 2012 showArtical.jhtml?articalID=26807055. Conf., 2002, pp. 854–859.

2002 Proceedings of the IEEE | Vol. 103, No. 11, November 2015
Markov et al.: Progress and Challenges in VLSI Placement Research

ABOUT THE AUTHORS


Igor L. Markov (Fellow, IEEE) received the Ph.D. Dr. Hu won first place at the 2012 International Conference on
degree in computer science from the University Computer-Aided Design (ICCAD 2012) Routability-driven Placement
of California Los Angeles (UCLA), Los Angeles, Contest, and has been the organizer of the annual TAU Workshop Timing
CA, USA. Contest in 2014 and 2015, and the Co-Chair for the ICCAD 2014 and 2015
He is a Professor of Electrical Engineering and Timing-driven Placement Contests.
Computer Science at the University of Michigan,
Ann Arbor, MI, USA, currently on leave at Google. Myung-Chul Kim received the B.S. degree in
He researches computers that make computers. electronic and electrical engineering from the
He has coauthored five books, four U.S. patents, Pohang University of Science and Technology
and over 200 refereed publications, some of (POSTECH), Gyeongsangbuk-do, Korea, in 2006
which were honored by the best-paper awards at the Design Automation and the M.S. and Ph.D. degrees in electrical
and Test in Europe Conference (DATE), the International Symposium on engineering from the University of Michigan, Ann
Physical Design (ISPD), the International Conference on Computer-Aided Arbor, MI, USA, in 2009 and 2012, respectively.
Design (ICCAD), and the IEEE Transactions on Computer-Aided Design He is with IBM Corporation, Austin, TX, USA. His
(TCAD). During the 2011 redesign of the ACM Computing Classification research interests include VLSI physical-design
System, he led the effort on the Hardware tree. automation with emphasis on placement, clock-
Prof. Markov is an Association for Computing Machinery (ACM) ing, gate sizing, and timing analysis.
Distinguished Scientist. He is the recipient of a DAC Fellowship, an ACM Dr. Kim is the recipient of the IEEE/ACM William J. McCalla Best Paper
SIGDA Outstanding New Faculty award, an NSF CAREER award, an IBM Award at the 2010 International Conference on Computer-Aided Design
Partnership Award, a Microsoft A. Richard Newton Breakthrough (ICCAD 2010) for his placement work. During his Ph.D., he won the ISPD
Research Award, and the inaugural IEEE CEDA Early Career Award. He 2010 clock-network synthesis contest and ICCAD 2012 Routability-driven
has served on the Executive Board of ACM SIGDA and Editorial Boards of Placement Contest. He has served as a Topic Chair for physical design
several ACM and IEEE Transactions, Communications of the ACM and IEEE problems at ICCAD contests from 2013 through 2015, and he has been a
Design & Test. Chair of ACM/SIGDA CADathlon programming contest since 2014.

Jin Hu received the B.S. degrees in electrical and


computer engineering from Northwestern Univer-
sity, Evanston, IL, USA, in 2006 and the M.S.E. and
Ph.D. degrees from the University of Michigan, Ann
Arbor, MI, USA, in 2008 and 2012, respectively.
She is currently with IBM Corporation,
Hopewell Junction, NY, USA, in the EDA Depart-
ment working on timing modeling and statistical
analysis. Her research interests include physical
design, particularly in global routing and
routability-driven placement, as well as timing analysis and modeling.

Vol. 103, No. 11, November 2015 | Proceedings of the IEEE 2003
View publication stats

Anda mungkin juga menyukai