Anda di halaman 1dari 6

Introducción

La electrónica digital es un campo de la electrónica dentro del cual podemos encontrar un sin fin
de dispositivos que pueden ser aplicados para una gran cantidad de tareas, podemos encontrar
desde los más básicos como compuertas digitales(and, or, nor, not, etc.) hasta dispositivos
sumamente complejos como procesadores digitales. Dentro de esta galería de dispositivos hay dos
en particular que son el interés de esta práctica, estos son el comparador y los flip-flop.

El comparador como su nombre lo indica sirve para comparar el valor de dos o más cadenas de
bits, el cual nos permite saber si son entre estas mayor, menor o igual las cadenas a comparar, su
aplicación es muy útil para toma de decisiones, operaciones matemáticas entre otras.

El segundo dispositivo de interés es el flip flop, este es un dispositivo biestable el cual como
característica principal es que puede conservar uno de sus estados por un tiempo indefinido,
siendo esta característica sumamente útil ya que sirve como “memoria” para diferentes circuitos
digitales.

Existen principalmente dos tipos de flip flops que son:

 Asíncronos: solamente tienen entradas de control...


 Síncronos: además de las entradas de control posee una entrada de sincronismo o de
reloj.
Dentro de los asíncronos el mas utilizado es el flip flop RS.

Y dentro de los síncronos se encuentra como los más populares los flip-flop JK
En esta práctica nos enfocaremos en la implementación en la FPGA Nexis 2, mediante el IDE de ISE
para llevar acabo una comparadora con opciones de salida menor que, mayor que e igual y un
flip-flop RS

Imágenes alusivas a los dos ejercicios realizados en la practica

Comparador con A=B


Comparador con A<B
Comparador con A>B

Conclusión

De esta práctica podemos concluir la implementación de los dispositivos mencionados durante


esta práctica son muy importantes para la conformación de dispositivos más importantes, pero
que también por si solos ya tienen ciertas aplicaciones que pueden llegar a ser muy interesantes.

Principalmente el flip flop presenta ciertas características muy peculiares debido a su capacidad de
mantener o “almacenar” el estado anterior del dispositivo, esto es una característica muy
importante ya que permite hacer registros o alguna otra aplicación que requiera de memorias
básicas.

También como se observó en este caso el flip flop RS tiene la desventaja de poseer un estado no
definido que para nuestro caso era cuando A y B eran 1, esto es una desventaja ya que en caso de
que el funcionamiento del circuito mandara esta entrada al flip flop, nos daría resultados
erróneos, debido a esto se necesita tener siempre en cuenta esa consideración que dependiendo
de cómo este hecho el flip flop puede variar el estado no definido, estos datos siempre están
visibles en el datasheet de cada dispositivo.

Código flip flop


Dependencies:

--

-- Revision:

-- Revision 0.01 - File Created

-- Additional Comments:

--

----------------------------------------------------------------------------------

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

-- Uncomment the following library declaration if using

-- arithmetic functions with Signed or Unsigned values

--use IEEE.NUMERIC_STD.ALL;

-- Uncomment the following library declaration if instantiating

-- any Xilinx primitives in this code.

--library UNISIM;

--use UNISIM.VComponents.all;

entity flipflop is

Port ( s : in STD_LOGIC;

r : in STD_LOGIC;

q : inout STD_LOGIC;

qn : inout STD_LOGIC);

end flipflop;

architecture Behavioral of flipflop is

signal notQ :STD_LOGIC;

begin
q<=r nor notQ;

qn<=notQ;

notQ<=s nor Q;

end Behavioral;

Anda mungkin juga menyukai