Anda di halaman 1dari 12

US 20130294871Al

(19) United States


(12) Patent Application Publication (10) Pub. No.: US 2013/0294871 A1
WIDMANN et al. (43) Pub. Date: NOV. 7, 2013

(54) EFFICIENT MATERIAL HANDLING IN Publication Classi?cation


SEMICONDUCTOR WAFER PROCESSING
(51) Int. Cl.
(71) ApplicantszAMIR WIDMANN, HAIFA (IL); H01L 21/677 (2006.01)
MICHAEL ADEL, ZICHRON (IL); (52) US, Cl,
PATI SEKULA, SUNNYVALE, CA CPC .............................. .. H01L 21/67733 (2013.01)
(Us) USPC ....................... .. 414/222.01; 414/806; 29/428

MICHAEL ADEL, ZICHRON (IL);


PATI SEKULA, SUNN Y VALE, CA An apparatus includes a dedicated material handling module
(Us) having a dedicated automated material handling system
(AMHS) de?nes a transport route between a ?rst tool and a
(73) Assignee? KLA 'TENCOR CORPORATION” second tool selected from a plurality of tools in a fabrication
Milpitas: CA (Us) facility. The dedicatedANHS is con?gured to transport Wafer
carriers between the ?rst tool and the second tool or vice versa
(21) Appl' NO‘: 13/874,764 independent of a fabrication facility AMHS that is con?gured
_ to transport Wafer carriers among the plurality of tools. It is
(22) Flled: May 1’ 2013 emphasized that this abstract is provided to comply With the
. . rules requiring an abstract that Will alloW a searcher or other
Related U's' Apphcatlon Data reader to quickly ascertain the subject matter of the technical
(60) Provisional application No. 61/642,747, ?led on May disclosure. It is submitted With the understanding that it Will
4, 2012, provisional application No. 61/643,539, ?led not be used to interpret or limit the scope or meaning of the
on May 7, 2012. claims.

m
405b

405 a
410 w 0OOOO

Manning‘; L";
. C::::;)
l2; &
iimcgrapiw prtxesmg call
2 Fxposure rm
we! 4:: m
/\/ <1:
I 455
#38
‘\s“
CGNYRQLLER m
43‘ :Pu 45a = 5 w 1?“

,,..§'PMRWGG W
433w ‘

.............. t as“
Patent Application Publication Nov. 7, 2013 Sheet 1 of 3 US 2013/0294871 A1

-A Q
105b 106

104 \,\ /\/ W108


mo O 00 O !M/
10521 <33 ’ ' ’
120 E:::>
N \/\&D Ezwusure tau!
Mamba‘! [12> N 1 10 m Lithography processing 02!! a
too! 41:3 ¢QOOOOO H
FIG. 1 — Prior Art

ZQQ 205b 206


204 //L/ //k/
\’\\
m>o0000 U
7 Exposore mo;

E::> Lithography processing ceil <12:


4:1 O O O O O [i
Metmlogv 205a
{00! N

FIG. 2 — Prior Art

QQQ 325
/\/
[11> O O O O O
(f3:
& [21> Expamre tooi
E::> Lithography procesaing cell <23
<mOOOOO H . Meimtogy 3 05 a
(00% N

FIG. 3 — Prior Art


Patent Application Publication Nov. 7, 2013 Sheet 3 of3 US 2013/0294871 A1

570

550

552 560
5 580

555

I:
505a 505b

5050

5056

505g
5}; 558

1 505d

505f

505h

FIG. 5
US 2013/0294871 A1 Nov. 7, 2013

EFFICIENT MATERIAL HANDLING IN vidual Wafers from the FOUP and transferring them to the
SEMICONDUCTOR WAFER PROCESSING tool for a particular processing or measurement step.
[0007] The material handling system of the fab facility may
CLAIM OF PRIORITY also have one or more stockers for storing lots, such as, e.g.,
for temporarily storing Wafers due to time deviations among
[0001] This application is a nonprovisional of and claims the various tools, or for storage to facilitate transport of the
the priority bene?t of commonly owned, co-pending US. stockers betWeen various fabrication bays. Each stocker may
Provisional Patent Application No. 61/642,747, to Amir Wid have one or more load ports for loading and unloading FOUPs
mann et al., ?led May 4, 2012, and entitled “EFFICIENT to and from theAMHS of the fab. TheAMHS of a facility may
MATERIAL HANDLING IN THE VICINITY OF A
also include a material control system (MCS) for controlling
LITHOGRAPHY CELL”, the entire disclosures of Which are
the How WIP in the facility and issuing commands to the
incorporated herein by reference. various transport and stocker modules in the AMHS.
[0002] This application is a nonprovisional of and claims
[0008] By Way of example and not by Way of limitation, a
the priority bene?t of commonly oWned, co-pending US. typical AMHS in a semiconductor fab may utiliZe an OHT
Provisional Patent Application No. 61/643,53 9, to Amir Wid system that includes a track de?ning a route betWeen various
mann et al., ?led May 7, 2012, and entitled “EFFICIENT tools and/or stockers in the facility. The track may be located
MATERIAL HANDLING IN THE VICINITY OF A
near a ceiling of the facility and have one or more computer
LITHOGRAPHY CELL”, the entire disclosures of Which are
controlled OHT vehicles that travel on the track. Each vehicle
incorporated herein by reference. may include a gripper for gripping a FOUP from a load port
of a stocker or tool and a hoist mechanism that raises and
FIELD OF THE DISCLOSURE loWers the gripper to raise and loWer a FOUP. In a typical
[0003] The present disclosure is relates generally to mate operation, the OHT vehicle may be positioned over a load
rial handling systems, and more speci?cally to an automated port of a tool or stocker to loWer the gripper and retrieve a
material handling system (AMHS) in a semiconductor facil FOUP from the load port. The hoist mechanism raises the
ity that alloWs e?icient transportation of Work in process FOUP and the OHT vehicle then transports the FOUP along
(WIP) betWeen lithography and inspection stations. the track to another load port of a tool or stocker in order to
unload the FOUP for storage or a subsequent fabrication step.
BACKGROUND OF THE DISCLOSURE Each of these lot transfers may be performed according to a
transport command received from the fab MCS.
[0004] Modern semiconductor fabrication facilities (fabs) [0009] There are currently available several different semi
typically use a variety of different tools to perform various conductor fabrication facility layouts and material transpor
fabrication steps on a Wafer, such as in the production of tation architectures for moving Wafers betWeen the lithogra
integrated circuits (ICs) on silicon Wafers. For example, a fab phy processing stations and metrology or other inspection
may include tools for performing a variety of different pro stations. Examples of metrology and/or inspection actions
cessing and inspection steps such as, e. g., lithography, frequently performed in such con?gurations include, but are
metrology, etching, ion implantation, deposition, etc. In order not limited to, overlay, critical dimension, focus, dose, ?lm
to e?iciently transport Work-in-process (WIP) among the thickness, and macro and micro defect inspection. The rapid
various different tools to perform different fabrication steps transportation of WIP from the lithography processing cell to
on the WIP, a material handling system is generally employed the metrology station is particularly critical to lithographic
in the fab. Material handling systems in current and next semiconductor processing because of the possibility of
generation fabs typically include an automated material han lithography reWork, Wherein the WIP is returned to a lithog
dling system (AMHS), and may also include some amount of raphy processing cell after metrology or inspection results,
manual transport of material by Workers in the facility. e.g., for resist removal and additional lithographic processing
[0005] Automated material handling systems that are com if the metrology or inspection results are outside of predeter
monly employed in fabrication facilities include, e.g., over mined limits.
head hoist transports (OHT), rail guided vehicles (RGV), [0010] In FIG. 1, one such layout is depicted having a
automated guided vehicles (AGV), overhead shuttles (OHS), standalone con?guration 100, in Which a post-lithography
conveyor systems, and combinations thereof. Regardless of metrology station 10511 is a separate entity With its oWn Wafer
the particular type of AMHS used in the facility, the AMHS handler 110. A robot 120 Within the cell 1051) transfers indi
Will often transport Wafers to the various tools in lot contain vidual Wafers betWeen FOUPs at one or more load ports 104
ers, called cassettes or carriers, that each hold a plurality of and a processing tool 106. The robot 120 transfers Wafers
Wafers at a time. Commonly employed Wafer carriers include betWeen the cell 1051) and the tool 106 via a slit valve 108. The
mechanical interface (SMIF) pods and front opening uni?ed metrology station 105a can be arbitrarily located in the fab
pods (FOUPs), each of Which may hold a plurality of Wafers rication facility but is often located close to the lithography
and enable access to individual Wafers contained therein by a processing cell 1051). FOUPs can be transferred betWeen the
respective tool’ s material handler. With the emergence of 300 metrology state 105a and cell 1051) via the fab’s AMHS. This
mm Wafers, automated OHT systems Which transfer the con?guration is advantageous in terms of ?exibility and cost
Wafers in FOUPs have become increasingly common. of oWnership as it alloWs a single metrology station to serve a
[0006] Each tool’s station may generally include one or number of litho graphy processing cells, relying on the AMHS
more material handlers for handling the WIP that is trans of the fabrication facility to convey material to and from the
ported to it in lots via the fab AMHS. The material handler at station. In this standalone con?guration, Wafers are generally
a tool’ s station may generally include one or more load ports transferred betWeen the lithography processing cell 1051) and
(LP) for loading and unloading FOUPs via the AMHS of the the metrology station 10511 in cassettes. HoWever, the stand
fab, as Well as a robotic handling system for removing indi alone con?guration 100 suffers from a major drawback of
US 2013/0294871 A1 Nov. 7, 2013

long and varying time to result, While the metrology system and the revieW tool. In further implementations, the substrate
105a Waits for the AMHS of the facility to transfer a com carriers may be front opening uni?ed pods (FOUPs).
pleted lot from the lithography cell 1051). [0017] In certain alternative implementations, the Wafer
[0011] In FIG. 2, another such layout is depicted having an carriers may be FOUPs; the dedicated material handling
integrated metrology con?guration 200, in Which the metrol module may further include a mini stocker; the facility
ogy station 20511 is integrated With a lithography processing AMHS is an OHT system and the transport route of the ?rst
cell 2051). As in the con?guration 100 shoWn in FIG. 1, Wafers AMHS is de?ned by a track that extends to the each tool in the
are transferred betWeen FOUPs at one or more load ports 204 plurality; and the dedicated AMHS is an OHT system and the
and the cell 2051) by a robot 220. In this con?guration, the transport route of the secondAMHS may be de?ned by a track
robot 220 can directly transfer single Wafers betWeen a lithog interfaces With the mini stocker and extends betWeen the ?rst
raphy tool 206 and the metrology station 20511 for measure tool and the second tool.
ment. A crucial bene?t of the integrated con?guration 200 is [0018] In certain other alternative implementations the fab
that of time to result since Wafers can be individually trans rication facility AMHS may be an OHT system and a trans
ferred into the metrology station 205a prior to completion of port route of the facility AMHS may be de?ned by a track that
processing of the Whole lot, thereby alloWing feedback of extends to the each tool in the plurality and the dedicated
results Within lot processing time. AMHS may also be an OHT system and the transport route of
[0012] In FIG. 3, yet another such layout is depicted having the dedicated AMHS is de?ned by a track that extends to each
an embedded metrology con?guration 300, Whereby the tool in the subset.
metrology station 30511 is integrated into the exposure tool [0019] According to another aspect of the present disclo
325, and single Wafers are transferred from the exposure tool sure, a method may be implemented in a fabrication facility
325 to the metrology station 30511 for measurement immedi having a facility material handling system (AMHS) and a
ately subsequent to exposure and prior to subsequent process plurality of tools. The facility material handling system de?ne
ing, such as develop or post exposure bake. This has addi a transport route connecting the plurality of tools in the fab
tional bene?ts in terms of time to result but suffers from rication facility, the facility AMHS may be con?gured to
metrology challenges due to loW image contrast prior to pro transport Wafer carriers. The method may comprise installing
cessing. a dedicated material handling module at the facility, said
[0013] Both the integrated con?gurations and embedded dedicated material handling module including a dedicated
metrology con?gurations suffer from cost of oWnership dis AMHS that de?nes a transport route connecting a ?rst tool
advantages since the metrology station is dedicated to the and a second tool selected from the plurality of tools.
lithography cell, resulting in substantial idle times for the [0020] The dedicatedAMHS is con?gured to transport sub
metrology station during and betWeen lot processing periods. strate carriers betWeen the ?rst tool and the second tool or vice
Likewise, the standalone con?guration suffers from long and versa independent of the facility AMHS. According to certain
varying time to result because it relies on the facility level
implementations, the ?rst tool may be a processing tool and
AMHS to transfer completed lots form the lithography sta the second tool may be an analysis tool. The processing tool
tion.
may be a lithography tool and the analysis tool may be a
[0014] It is Within this context that aspects of the present metrology tool or inspection tool. In some implementations
disclosure arise.
the facility AMHS may be an overhead track (OHT) system
and the dedicatedAMHS may also be an OHT system. In such
SUMMARY OF THE DISCLOSURE
implementations, installing the dedicated material handling
[0015] According to an aspect of the present disclosure an module may include positioning a track of the second AMHS
apparatus may comprise a dedicated material handling mod near a ceiling of the fabrication facility and beloW a track of
ule having a dedicated automated material handling system the ?rst AMHS. In some implementations, installing the dedi
(AMHS) that de?nes a transport route betWeen a ?rst tool and cated material handling module may include positioning a
a second tool selected from a plurality of tools in a fabrication track of the second AMHS betWeen the processing tool and
facility. The dedicated may be con?gured to transport Wafer the analysis tool. In some implementations the dedicated
carriers betWeen the ?rst tool and the second tool or vice versa material handling module further includes a mini stocker.
independent of a fabrication facility AMHS that is con?gured [0021] According to an additional aspect of the present
to transport Wafer carriers among the plurality of tools. disclosure, an alternative method may be implemented in a
[0016] In some implementations, the apparatus may further fabrication facility having a facility material handling system
include the ?rst tool. In some implementations, the ?rst tool (AMHS) and a plurality of tools. The facility material han
may be a substrate processing tool and the second tool may be dling system may de?ne a transport route connecting the
an analysis tool. In some implementations, the processing plurality of tools in the fabrication facility. The facility
tool may be a lithography tool and the analysis tool may be a AMHS may be con?gured to transport Wafer carriers. The
metrology tool or an inspection tool. In other implementa alternative method may comprise performing a ?rst set of one
tions the dedicated material handling module may further or more Wafer processing steps on one or more semiconductor
include a mini stocker, Wherein the mini stocker interfaces Wafers using a ?rst tool of the plurality of tools; and transfer
With the transport route of the dedicated AMHS. In still other ring the one or more semiconductor Wafers from the ?rst tool
implementations, the fabrication facility AMHS may be an to a second tool selected from the plurality of tools in a Wafer
overhead hoist transport (OHT) system and a transport route carrier via a dedicated material handling module. The dedi
of the fabrication facility AMHS may be de?ned by a track cated material handling module may include a dedicated
that extends to each tool in the plurality. In yet other addi AMHS that de?nes a transport route connecting the ?rst tool
tional implementations, the dedicated AMHS may be an OHT and the second tool. The dedicated AMHS may be con?gured
system and the transport route of the dedicated AMHS may be to transport substrate carriers betWeen the ?rst tool and the
de?ned by a track that extends betWeen the processing tool second tool or vice versa independent of the facility AMH.
US 2013/0294871 A1 Nov. 7, 2013

[0022] In some implementations of the alternative method, [0031] In one aspect of the present disclosure, these tWo
performing the ?rst set of Wafer processing steps may include tools may be a processing tool and an analysis tool in order
fabricating a semiconductor device on a Wafer. In some accelerate reWork due to results from the revieW tool being
implementations, the second tool may be an analysis tool. In outside of predetermined limits. By Way of example and not
some implementations, the alternative method may further by Way of limitation, the revieW tool may be an inspection or
comprise examining the Wafer With the analysis tool to obtain metrology tool and the processing tool may be a lithography
results, and transporting the Wafer in a Wafer carrier back to tool, such as an exposure tool, etching tool, deposition tool, or
the ?rst tool With the dedicated material handling module other tool as may be commonly employed in a semiconductor
When the results are outside of predetermined limits. fab.
[0032] As used herein, the term “analysis tool” is intended
BRIEF DESCRIPTION OF THE DRAWINGS to cover tools used to perform measurements on a substrate
before, during, after or betWeen substrate processing steps.
[0023] Objects and advantages of the present disclosure
Will become apparent upon reading the folloWing detailed [0033] Analysis tools may be categoriZed into subclasses,
description and upon reference to the accompanying draW including, but not limited to metrology tools, inspection tools,
ings in Which: and revieW tools.
[0024] FIG. 1 is a schematic illustration of fab facility lay [0034] A metrology tool is generally con?gured to perform
out having a standalone metrology con?guration. an analysis by making measurements and providing outputs
that correspond to the value of some physical property. The
[0025] FIG. 2 is a schematic illustration of a fab facility
value output is typically a numerical value or set of numerical
layout having an integrated metrology con?guration. values, Which may be transmitted or stored in analog or digital
[0026] FIG. 3 is a schematic illustration of a fab facility form. Examples of metrology tools include, but are not lim
layout having an embedded metrology con?guration. ited to overlay tools, interferometers, critical dimension (CD)
[0027] FIG. 4 is a schematic illustration of fab facility lay tools (e.g., CD scanning electron microscope (CD-SEM)),
out having a dedicated material handling module according to ?lm thickness tools, ion implant metrology tools, surface
an embodiment of the present disclosure. pro?ling tools, resistivity metrology tools, reticle pattern
[0028] FIG. 5 is a schematic illustration of a fabrication placement metrology tools, edge metrology tools, re?ecto
facility having a dedicated material handling module and a meters, and ellipsometers.
facility level AMHS according to an embodiment of the [0035] Speci?c examples of commercially available over
present disclosure. lay metrology tools include the Archer series overlay tools
from KLA-Tencor Corporation of Milpitas, Calif.
DESCRIPTION OF THE SPECIFIC [0036] Examples of optical CD metrology tools include
EMBODIMENTS SpectraShape optical CD tools from KLA-Tencor Corpora
[0029] Although the folloWing detailed description con tion of Milpitas, Calif.
tains many speci?c details for the purposes of illustration, [0037] Examples of optical ?lm thickness/refractive index/
anyone of ordinary skill in the art Will appreciate that many stress metrology tools include Aleris family, ASET-FSx, and
variations and alterations to the folloWing details are Within SpectraFx tools from KLA-Tencor Corporation of Milpitas,
the scope of the invention. Accordingly, the illustrative Calif.
embodiments of the invention described beloW are set forth [0038] Examples of metrology tools for measuring Wafer
Without any loss of generality to, and Without imposing limi geometry and topography include WaferSight tools from
tations upon, the claimed invention. KLA-Tencor Corporation of Milpitas, Calif. In addition, the
[0030] Aspects of the present disclosure are related to SURFmonitor module for Surfscan SPx series tools from
material handling systems in a fabrication facility that include KLA-Tencor can indicate sub-angstrom surface topography
both a facility level AMHS that transports lots of material variation on blanket ?lms and bare substrates.
betWeen a plurality of tools in the facility, and a dedicated [0039] Examples of ion implant metrology tools include
material handling module that transports lots of material ThermaProbe tools from KLA-Tencor Corporation of Milpi
betWeen a ?rst tool and a second tool selected from the plu tas, Calif.
rality of tools in the facility. Like the facility level AMHS, the [0040] Examples of surface pro?ling metrology tools
dedicated material handling module may be con?gured to include HRP-x50 automated stylus-based surface pro?lers
transport Wafer lots in containers, such as FOUPs or other from KLA-Tencor Corporation of Milpitas, Calif.
Wafer carriers, rather than individual Wafers betWeen tools in [0041] Examples of resistivity metrology tools include RS
the facility for fabrication steps at different tools. Unlike the x00 sheet resistance mapping tools from KLA-Tencor Cor
facility level AMHS, Which is responsible for transport of poration of Milpitas, Calif..
WIP among all or a large number of tools in the facility, the [0042] Examples of reticle pattern placement metrology
scope of the dedicated material handling module is limited to tools include IPRO Series tools from KLA-Tencor Corpora
the transport of lots betWeen the tWo selected tools in order to tion of Milpitas, Calif.
maximize the e?iciency of transport of WIP in the vicinity of [0043] Examples of edge metrology tools include VisEdge
those selected tools. The tWo tools may be selected from the Family tools from KLA-Tencor Corporation of Milpitas,
plurality of facility tools as a subset for Which repeated trans Calif.
port therebetWeen is common in the facility due to material [0044] An inspection tool is generally con?gured to look
?oW concerns related to the fabrication process. Accordingly, for defects, i.e., something that is out of the ordinary. Typical
the dedicated material handling module may facilitate more output of an inspection tool is a count of defects per area for
e?icient transport of material betWeen those tools than Would a substrate or portion of a substrate. Examples of inspection
be otherWise be achieved by transporting material via the tools include, but are not limited to optical and electron beam
facility level AMHS. Wafer inspection systems for patterned or unpattemed Wafers,
US 2013/0294871 A1 Nov. 7, 2013

macro defect inspection tools, edge defect inspection tools, revieW tools include the IRIS infrared inspection and revieW
infrared inspection tools, and reticle inspection tools. station from KLA-Tencor Corporation of Milpitas, Calif.
[0045] Speci?c examples of commercially available [0056] It is noted that some tools can implement both
inspection tools include, but are not limited to the following. metrology and revieW functions, some can implement revieW
[0046] Optical Wafer inspection tools for patterned Wafers and inspection functions, some can implement metrology and
include 28XX series and 29XX series broadband optical inspection functions, and some can implement all three func
defect inspection tools and Puma series laser-based optical tions. Therefore, it is possible for tWo or more of these func
defect inspection tools and the 8900 high-speed bright?eld/ tions to be implemented by the same type of tool or, in some
dark?eld optical defect inspection system; all of Which are cases, the same tool.
available from KLA-Tencor Corporation of Milpitas, Calif. [0057] As used herein, the term “processing tool” generally
[0047] Electron beam Wafer inspection tools for patterned refers to any of a number of different types of tools used to
Wafers include the eS800 electron beam defect inspection perform various fabrication processes on the substrate.
system from KLA-Tencor Corporation of Milpitas, Calif. Examples of such processes include, but are not limited to
[0048] Optical Wafer inspection tools for unpattemed patterning, etching, material deposition, resist stripping,
Wafers include the Surfscan SPx and series and SURFmonitor cleaning, polishing, ion implantation, annealing.
(SURFmonitor is an option on an SPx tool) Wafer defect [0058] By Way of example, and Without limitation of
inspection tools from KLA-Tencor Corporation of Milpitas, embodiments of the invention, a processing tool may gener
Calif. ally include a lithographic patterning tool such as a scanner or
[0049] Examples of edge defect inspection tools include stepper developer. Such developers are similar in operation to
VisEdge family tools from KLA-Tencor Corporation of Mil a slide projector or a photographic enlarger. Such tools are
pitas, Calif. often used in photolithographic processes used to form
[0050] Examples of macro defect inspection tools include microscopic circuit elements on the surface of a semiconduc
LDS infrared defect inspection tools and revieW station from tor Wafer. In the patterning tool, a substrate, e. g., a semicon
KLA-Tencor Corporation of Milpitas, Calif. ductor Wafer, may be retained on a stage, Which may include
[0051] Examples of infrared defect inspection tools include a chuck, e. g., a vacuum chuck or an electrostatic chuck.
IRIS infrared defect inspection tools and revieW station from Elements of a circuit or other component to be created on the
KLA-Tencor Corporation of Milpitas, Calif. IC are reproduced in a pattern of transparent and opaque areas
[0052] Examples of reticle inspection tools include on the surface of a photomask or reticle. The pattern on the
TeraFab series Photomask inspection tools from KLA-Ten reticle often corresponds to a pattern for a single die or chip.
cor Corporation of Milpitas, Calif. Light from a source passes through the reticle and forms an
[0053] Inspection tools may also be con?gured for back image of the reticle pattern. The image is focused and some
end of line (BEOL) inspection of fabricated devices. times reduced by a lens, and projected onto the surface of a
Examples of BEOL inspection tools include, but are not lim substrate that is coated With a photoresist. The focused image
on the resist is often referred to as an exposure ?eld. After
ited to, component inspection tools con?gured to inspect
various semiconductor components that are handled in a tray, exposure, the coated substrate may be chemically developed,
such as microprocessors or memory chips. Component defect causing the photoresist to dissolve in certain areas according
inspection tool capabilities include, but are not limited to: 3D to the amount of light the areas received during expo sure. This
coplanarity inspection; measurement of the evenness of the transfers the pattern on the reticle to the resist. The patterning
contacts; and 2D surface inspection to check the package’s tool may be equipped With heater elements, such as heat
surface aspects, the identi?cation mark and the orientation. lamps, to facilitate heating of the resist either before or after
BEOL inspection tools may also be con?gured to inspect exposure, e.g., to harden it. A patterning tool may be a stepper
diced or undiced Wafers, or diced Wafers mounted on ?lm With an alignment system that moves the substrate after
frame carriers. Such tools may be con?gured to inspect sur exposing one die so that another portion of the substrate may
face quality of the Wafers, the quality of the Wafer cutting, or be exposed With the same exposure ?eld.
Wafer bumps. [0059] The processing tool may alternatively be con?gured
[0054] Commercially available examples of BEOL inspec as a scanner. Scanners are steppers that increase the length of
tion tools include, but are not limited to component inspec the exposure ?eld by moving the reticle and stage in opposite
tors, such as the ICOS CI-T620, ICOS CI-Tl20/CI-Tl30, directions to each other during the exposure. Instead of
ICOS CI-Tl20S/CI-Tl30S, and ICOS CI-3050 model tools exposing the entire ?eld at once, the exposure is made through
from KLA-Tencor Corporation of Milpitas, Calif. an “exposure slit” that is as Wide as the exposure ?eld, but
[0055] A revieW tool is generally con?gured to take the only a fraction ofits length (e.g., a 8x26 mm slit for a 33x26
output of a metrology or inspection tool and investigate fur mm ?eld is an industry standard). The image from the expo
ther. For revieW of metrology, the revieW tool may be con?g sure slit is scanned across the exposure area on the substrate.
ured to investigate Why the value is different. For inspection [0060] By Way of example, and Without loss of generality,
the revieW tool may be con?gured to investigate the nature of the processing tool may also be con?gured as an electron
defects discovered by an inspection tool. Examples of revieW beam lithography tool. Unlike the scanner and the stepper, an
tools include electron beam, optical, or infrared revieW tools. electron beam lithography tool is a direct Write lithography
Examples of electron beam revieW tools include the eDR system. A beam of electrons is directed across the substrate
7000 series SEM-based defect revieW and classi?cation sys covered With a resist Without the need for a photomask. By
tems from KLA-Tencor Corporation of Milpitas, Calif. Way of example and Without loss of generality, the processing
Examples of optical revieW tools include INX-3x00 series tool may also be a directed self-assembly (DSA) lithography
automated, full-spectrum optical revieW systems from KLA tool. DSA utiliZes natural processes such as preferred bond
Tencor Corporation of Milpitas, Calif. Examples of infrared ing orientations, Within materials to produce ordered struc
US 2013/0294871 A1 Nov. 7, 2013

tures. Manipulation of these processes can be used to form [0067] In one embodiment, the dedicated material handling
stripes and can be ?ned tuned by altering the chemistry to module 455 may include a mini stocker and an automated
achieve nanometric features. material handling system in the form of an overhead hoist
[0061] In semiconductor fabrication, substrates With the transportation (OHT) system. One example of an OHT sys
developed resist may be subject to further processing, e.g., tem that may be implemented in a dedicated material han
etching or deposition. Such processes may take place in other dling module according to the present disclosure is described
types of processing tools. Such processing tools may include in US. Pat. No. 7,771,153 to Doherty et al., entitled “AUTO
spin-coaters, Which deposit the resist on the substrate or pre MATED MATERIAL HANDLING SYSTEM FOR SEMI
bake chambers, in Which the resist is heated prior to exposure CONDUCTOR MANUFACTURING BASED ON A COM
or developing in an exposure tool. By Way of example, and BINATION OF VERTICAL CAROUSELS AND
Without loss of generality, other types of processing tools OVERHEAD HOISTS”, the entire disclosure of Which is
include, but are not limited to, deposition tools, etch tools, ion herein incorporated by reference.
implant tools, resist application tools, resist stripping tools, [0068] In contrast to con?gurations mentioned above With
and chemical mechanical polishing (CMP) tools. reference to FIG. 1 through FIG. 3 and unique to the embodi
[0062] The facility level AMHS may include any of a vari ment of FIG. 4, the use of this mini stocker and OHT system
ety of material handling systems commonly employed in as a dedicated material handling module betWeen the lithog
semiconductor fabs, such as, e.g., an overhead hoist transport raphy processing cell 4051) and the metrology station 40511
(OHT) system, a rail guided vehicle (RGV) system, an auto has special signi?cance due to the acceleration of the above
mated guided vehicle (AGV) system, an overhead shuttle described reWork option. Although this may not achieve the
(OHS) system, a conveyor system, or some combination same acceleration of time to result that is achieved by the
thereof. integrated or embedded con?gurations, it can present a major
[0063] The dedicated material handling module may also cost of oWnership advantage. In contrast to the integrated
include an automated material handling system, the scope of con?gurations or embedded con?gurations Which dedicate
Which is limited to the subset of tools for Which it is selected. the much higher cost metrology station, only the mini-stocker
The AMHS employed by the dedicated module may be, e.g., and OHT system of the material handling module 455 are
an overhead hoist transport (OHT) system, a rail guided dedicated to the lithography processing cell 4051). Accord
vehicle (RGV) system, an automated guided vehicle (AGV) ingly, the metrology station 405a can receive WIP either
system, an overhead shuttle (OHS) system, or a conveyor rapidly and directly from the adjacent lithography cell 4051)
system. The type of material system utilized by the dedicated via the dedicated material handling module 455, or from other
material handling module may be of a same type as a system locations in the facility, such as, e.g., other lithography cells
employed by the facility level AMHS, or may be of a different or post etch processing, via the overall fab level AMHS if
type than the facility level AMHS. material is not forthcoming locally.
[0064] The dedicated material handling module may fur [0069] Furthermore, it is noted that the time to result bene?t
ther include a temporary storage unit, such as, e. g., a stocker, of utiliZing a dedicated material handling module in this
mini stocker, or buffer station that includes one or more load manner may be tWo fold. For one, the mean time to result for
ports for temporarily storing lots for transport betWeen the lots may be reduced due to the dedicated material handling
subset of tools. The temporary storage unit may be, e.g., a module creating a direct link betWeen the metrology station
mini stocker in the form of an overhead buffer (OHB) station and the lithography station. For another, the breadth of dis
disposed near a ceiling of the facility and underneath or tribution (i.e. standard deviation) of times to result may be
adjacent to a track of the dedicated material handling module radically diminished due to the limited role of the dedicated
so that it does not consume additional ?oor space in the module, thereby creating a more predictable and determinis
facility. This OHB station may disposed above one of the tic material How in the fabrication facility.
tools in the subset, or arbitrarily along the route de?ned by the [0070] An additional advantage to the present disclosure
dedicated material handling module. Another type of mini may be that, in some embodiments, the con?guration may be
stocker that an AMHS system could us is a “Near Tool retro?tted to existing fabrication facility architectures While
Buffer” Which may be located in front of or next to a process/ consuming minimal or no additional fabrication facility real
metrology tool. Such a mini-stocker may be part of the overall estate. By Way of non-limiting example, in some embodi
AMHS system. ments the mini-stocker may be in the form of an overhead
[0065] An example of an apparatus 400 according to an buffer (OHB) station disposed under or adjacent to a track of
aspect of the present disclosure is depicted in FIG. 4, although a dedicated OHT system. Accordingly, for the relatively mod
it Will be understood that many details are omitted from the est cost of an additional material handling module dedicated
embodiment shoWn in FIG. 4 for purposes of illustration. betWeen the tWo stations, improved time to result may be
Similar to the stand alone con?guration depicted in FIG. 1, achieved. In an illustrative embodiment, a facility upgrade
the metrology station 405a includes a Wafer handler 410 for can be implemented by inserting the dedicated material han
receiving lot cassettes and transferring Wafers onto the dling module betWeen the lithography cell and the metrology
metrology measurement chuck. HoWever, in contrast to the station, and physically beloW the fab level AMHS.
con?gurations mentioned above With reference to FIGS. 1-3, [0071] It is further noted that, from a factory automation
there is an additional dedicated material handling module 455 perspective, the metrology station can noW serve tWo sce
Which transfers lot cassettes betWeen a processing tool 405!) narios. For one, the metrology station may receive WIP from
(e. g., a lithography processing cell) and an analysis tool 405a, a speci?c lithography processing cell to Which it is linked
e.g., a metrology station. through the dedicated material handling module. For another,
[0066] The analysis tool 405a, processing tool 405!) and the metrology station may receive WIP as a standalone
dedicated material handling module 455 may operate under metrology station via the fab level AMHS. This ?exibility can
the control of a controller 430. ensure that the metrology station is not idle When the speci?c
US 2013/0294871 A1 Nov. 7, 2013

lithography processing cell is doWn, such as, for example, tracks, thereby enabling entrance and exit of lots via the fab
because of scheduled maintenance. level AMHS, the dedicated material handling module 555, or
[0072] In FIG. 5, a material handling system in a semicon a combination thereof.
ductor fabrication facility according to an embodiment of the [0078] In the illustrated embodiment, the mini stocker 580
present disclosure is depicted. In the illustrated embodiment, of the dedicated module 555 may be located arbitrarily along
only a single bay of a facility having an intra-bay AMHS is the route de?ned by the track 575 of the dedicated module.
depicted for purposes of illustration, but it can be appreciated HoWever, in some embodiments, the mini stocker may be
that a material handling system in accordance With the located at one of the tools, such as at the lithography tool
present disclosure may include, e.g., inter-bay systems, intra 505b, by being disposed directly above the tool or being
bay systems, or combinations thereof. integrated into the tool’ s front end. In yet further embodi
[0073] In the illustrated embodiment, the material handling ments, the mini stocker may be a free standing stocker located
system 500 includes a ?rst AMHS 550, and a dedicated mate arbitrarily on the ?oor of the facility.
rial handling module 555. The ?rst AMHS 550 may de?ne a [0079] In this manner, the inspection station 505a can inter
transport route 560 that connects a plurality of tools 505a-h face With the dedicated OHT system to transport WIP directly
and a main stocker 570. The stocker 570 may generally have to the lithography station via the dedicated material handling
one or more load ports for input and output of cassettes and module 555, but may still interface With the fab level AMHS
may provide storage of WIP during fabrication, such as may 550 to transport WIP to other tools in the facility if needed,
be desired, e.g., due to deviation in timing of fabrication steps such as, e.g., another lithography station in the facility When
performed at the different tool’s stations 505a-h, or to facili the dedicated lithography station 5051) is doWn due to sched
tate the transport of cassettes to another bay. uled maintenance. UtiliZing the embodiment shoWn in FIG. 5,
the inspection station 505a can be linked to the lithography
[0074] In the illustrated embodiment, the ?rstAMHS 550 is station 5051) via the dedicated module 555, thereby achieving
depicted as an overhead hoist transport (OHT) system having some of the time to result bene?ts of the embedded con?gu
a track 560, Which may be ?xed near a ceiling of a fabrication
rations and integrated con?gurations mentioned above With
facility. The track 560 de?nes a route to the plurality of tools
reference to FIGS. 2 and 3. HoWever, because the inspection
505a-h as Well as to the stocker 570.
station 505a may still interface With the facility level AMHS
[0075] The ?rst OHT system may also have one or more 550, it is not entirely dependent upon the lithography station
transport vehicles 552 that travel on the track 560, and Which 5051) to Which it is linked, as in the embedded and integrated
grip Wafer carriers in order to transport Work in process (WIP) con?gurations. This ?exibility enables the high cost inspec
from among the various tools 505a-h and the stocker 570. The tion station 50511 to receive WIP from elseWhere in the facil
material handling system may also include another auto ity, such as, e. g., another lithography station, When material is
mated system for transporting WIP interbay, in Which case the not forthcoming locally via from the linked station 5051).
material handling system of the facility may include a plural [0080] In order to enable transport of WIP to a linked tool
ity of main stockers in order to facilitate interbay transport. via either system, at least one of the tools linked by the
[0076] In the illustrated embodiment, the material handling dedicated module may have a load port that is accessible,
system 500 further includes a dedicated material handling either directly or via an intermediate interface, by both the fab
module 555, Which has a second AMHS that is dedicated to level AMHS and the AMHS of the dedicated material han
transporting cassettes betWeen the lithography station 505a dling module. In some embodiments, each of the linked tools
and the inspection station 505b, thereby accelerating time to are accessible by both the dedicated material handling mod
result due to reWork based on results from inspection per ule and the fab level AMHS, so that WIP may be transported
formed at station 5051). The AMHS of the dedicated material to and from either linked tool via either system depending on
handling module 555 is also depicted as including an OHT the system schedule. In some other embodiments, only one of
system having a dedicated track 575, Which, for example, the linked tools, such as an inspection station, is accessible by
may be disposed near a ceiling of the fabrication facility but both the fab level material handling system and the dedicated
loWer than the track 560 of the ?rst AMHS. The dedicated module AMHS, While the other is accessible to only the
track 575 de?nes a transport route betWeen the tWo tools 505a dedicated module.
and 50519 that runs a loop betWeen the tWo tools and also [0081] In order to enable accessibility to a tool’s load port
interfaces With a mini stocker 580. The mini stocker may also by both the dedicated module and the facility level AMHS, a
have one or more load ports to enable temporary storage of material handling system in accordance With the present dis
lots transportedbetWeen the tWo tools. One or more dedicated closure may be set up in a variety of Ways.
OHT vehicles 558 may be travel on the track and are con?g [0082] By Way of example and not by Way of limitation,
ured to transport Wafer carriers, such as FOUPs, and interface Where both the facility level AMHS and the dedicated module
With load ports at the tools 505a, 505b, and mini stocker 580. utiliZe the same type of system, they may be set up in such a
[0077] In the material handling system 500, each of the manner that their guides do not prevent access to the tool by
plurality of tools 505a-h may have one or more load ports for a vehicle of the other system. For example, if the fab level
loading and unloading lots in Wafer carriers via vehicles of the AMHS and the dedicated material handling module each
material handling system 500. The load ports of the plurality utiliZe an OHT system, then the track of the dedicated OHT
tools 505a-h interface With the track 560 of the ?rst AMHS may be set up in such a manner that it does not physically
550, While the loads ports of the lithography station 505a and block access to a tool by a vehicle on a track of the fab level
inspection station 5051) additionally interface With the track OHT.
575 of the dedicated material handling module 555. Accord [0083] In some embodiments, in order to prevent the track
ingly, the subset of tools 505a and 5051) may interface With of the dedicated OHT from blocking access to the tool by a
both the ?rst AMHS 550 and the second AMHS of the dedi vehicle of the fab level AMHS, each of the tWo tracks may be
cated material handling module 555 via their respective con?gured to access a different respective port, Wherein these
US 2013/0294871 A1 Nov. 7, 2013

different respective ports may interface With a particular tool. preexisting facility level AMHS in a fabrication facility, and
For example, the track of the dedicated OHT may have a route the dedicated module 555 may be retro?tted onto the system
that passes above a ?rst port, and a track of the fab level to achieve some or all of the bene?ts described herein. This
AMHS may have a route that passes above a second port, ensures ?exibility and compatibility With various material
Wherein the track of the dedicated OHT does not pass over the handling architectures While still achieving the time to result
second port to thereby leave it unobstructed allowing a bene?ts mentioned above.
vehicle of the fab level AMHS to drop doWn past the dedi [0089] Aspects of the present disclosure provide an inter
cated OHT track and access the second port. By Way of mediate solution betWeen the standalone con?guration
example and not by Way of limitation, these different ports depicted in FIG. 1, and the embedded and integrated con?gu
may be different load ports of one of the tools, Wherein the rations depicted in FIG. 2 and FIG. 3.According to aspects of
tool is equipped With multiple load ports. By Way of further the present disclosure substantially all of the cost of oWner
non-limiting example, the different ports may be different ship bene?ts of the standalone may be achieved, While also
ports of a buffer station or other interfacing unit, Wherein the enabling substantial acceleration of time to result similar to
system is equipped With a mechanism for moving lots the integrated and embedded con?gurations mentioned
betWeen the different ports and/ or from the different ports to above.
a load port of the tool. [0090] Although aspects of the present disclosure have
[0084] In some embodiments, at least one of the facility been described With particular reference to implementations
level AMHS and the dedicated OHT may include a vehicle in a lithography cell of a semiconductor fabrication facility, it
having lateral motion capability in order to access ports that is understood that the present disclosure may be implemented
are located laterally offset from the track, Which Would enable broadly in conjunction With other process modules, such as,
a vehicle to access a port that is not disposed directly beloW its e.g., etching, ion implantation, deposition, etc.
respective track. This Would enable the tWo systems to inter [0091] While the above is a complete description of the
face With the same port Without their respective tracks inter preferred embodiment of the present invention, it is possible
fering With one another. to use various alternatives, modi?cations and equivalents.
[0085] In some embodiments, a moveable port is provided Therefore, the scope of the present invention should be deter
that may occupy a plurality of positions, and the fab level mined not With reference to the above description but should,
AMHS and dedicated material handling module may inter instead, be determined With reference to the appended claims,
face With the port at different positions of the port. One along With their full scope of equivalents. Any feature,
example of a movable port is described by U.S. Pat. No. Whether preferred or not, may be combined With any other
7,771,153, Which describes a carousel type stocker in Which feature, Whether preferred or not. In the claims that folloW, the
a plurality of load ports and/or storage locations in a stocker inde?nite article “A”, or “An” refers to a quantity of one or
are moved to different positions in a rotating fashion. In some more of the item folloWing the article, except Where expressly
embodiments of the present disclosure, a material handling stated otherWise. The appended claims are not to be inter
system may include a fab level AMHS and a dedicated mate preted as including means-plus-function limitations, unless
rial handling module Which both interface With the carousel such a limitation is explicitly recited in a given claim using the
type stocker, such as, e.g., by de?ning routes that are adjacent phrase “means for.”
to opposing sides of the carousel type stocker. The different What is claimed is:
routes may thereby enable the fab level AMHS to access a
port of the stocker Without the track of the dedicated material
1. An apparatus, comprising:
handling module interfering. By Way of non-limiting a dedicated material handling module having a dedicated
example, this stocker may be further be located at or near a automated material handling system (AMHS) that
tool’ s front end, and a dedicatedAMHS may be con?gured to de?nes a transport route betWeen a ?rst tool and a second
transfer lots from the stocker to the tool. tool selected from a plurality of tools in a fabrication
facility, Wherein the dedicated AMHS is con?gured to
[0086] By Way of example and not by Way of limitation, the transport Wafer carriers betWeen the ?rst tool and the
dedicated material handling module may include a system
second tool or vice versa independent of a fabrication
that is a different type than the fab level AMHS. For example,
facility AMHS that is con?gured to transport Wafer car
if the fab level AMHS utiliZes an OHT system, the dedicated
riers among the plurality of tools.
material handling module may include a different type of
system, such as an RGV system. Vehicles of each system may 2. The apparatus of claim 1, further comprising:
access the same loadports or storage units of the tools Without the ?rst tool.
their respective guides interfering With each other, e.g., With 3. The apparatus of claim 1, Wherein the ?rst tool is a
out the rail of the dedicated RGV, Which may be disposed near substrate processing tool and the second tool is an analysis
a ?oor of a facility, interfering With a track of the fab level tool.
OHT system, Which may be disposed near a ceiling of the 4. The apparatus of claim 3, Wherein the processing tool is
facility. a lithography tool and the analysis tool is a metrology tool or
[0087] It is further noted that some combination of the an inspection tool.
above may be used to optimiZe the How of material in the 5. The apparatus of claim 3, Wherein the dedicated material
dedicated route and/or in a route de?ned by the fab level handling module further includes a mini stocker, Wherein the
AMHS and enable interfaces betWeen the tools and respective mini stocker interfaces With the transport route of the dedi
material handling systems as desired. cated AMHS.
[0088] An advantageous aspect of the present disclosure is 6. The apparatus of claim 3, Wherein the fabrication facility
that a dedicated material module may be retro?tted to an AMHS is an overhead hoist transport (OHT) system and a
existing facility AMHS. For example, turning to the illustra transport route of the fabrication facility AMHS is de?ned by
tive embodiment of FIG. 5, the ?rst AMHS 550 may be a a track that extends to each tool in the plurality.
US 2013/0294871 A1 Nov. 7, 2013

7. The apparatus of claim 3, wherein the dedicated AMHS 13. The method of claim 11, Wherein the processing tool is
is an OHT system and the transport route of the dedicated a lithography tool and the analysis too tool is a metrology tool
AMHS is de?ned by a track that extends betWeen the pro or inspection tool.
cessing tool and the analysis tool. 14. The method of claim 11, Wherein the facility AMHS is
8. The apparatus of claim 3, Wherein the substrate carriers an overhead track (OHT) system, Wherein the dedicated
AMHS is an OHT system, and Wherein said installing the
are front opening uni?ed pods (FOUPs).
dedicated material handling module includes positioning a
9. The apparatus of claim 1, track of the second AMHS near a ceiling of the fabrication
Wherein the Wafer carriers are FOUPs; facility and beloW a track of the ?rst AMHS.
Wherein the dedicated material handling module further 15. The method of claim 11, Wherein said installing the
includes a mini stocker; dedicated material handling module includes positioning a
Wherein the facility AMHS is an OHT system and the track of the second AMHS betWeen the processing tool and
transport route of the ?rst AMHS is de?ned by a track the analysis tool.
1 6. The method of claim 1 1, Wherein the dedicated material
that extends to the each tool in the plurality; and
handling module further includes a mini stocker.
Wherein the dedicated AMHS is an OHT system and the 17. In a fabrication facility having a facility material han
transport route of the dedicated AMHS is de?ned by a dling system (AMHS) and a plurality of tools, the facility
track interfaces With the mini stocker and extends material handling system having a ?rst AMHS that de?nes a
betWeen the ?rst tool and the second tool. transport route connecting the plurality of tools in the fabri
10. The apparatus of claim 1, cation facility, the facility AMHS being con?gured to trans
Wherein the fabrication facility AMHS is an OHT system port Wafer carriers, a method comprising:
performing a ?rst set of one or more Wafer processing steps
and a transport route of the facility AMHS is de?ned by on one or more semiconductor Wafers using a ?rst tool of
a track that extends to the each tool in the plurality;
the plurality of tools;
Wherein the dedicated AMHS is an OHT system and the transferring the one or more semiconductor Wafers from
transport route of the dedicated AMHS is de?ned by a the ?rst tool to a second tool selected from the plurality
track that extends to each tool in the subset. of tools in a Wafer carrier via a dedicated material han
11. In a fabrication facility having a facility material han dling module, Wherein the dedicated material handling
dling system (AMHS) and a plurality of tools, the facility module includes a dedicated AMHS that de?nes a trans
material handling system having a ?rst AMHS that de?nes a port route connecting the ?rst tool and the second tool,
transport route connecting the plurality of tools in the fabri Wherein the dedicated AMHS is con?gured to transport
cation facility, the facility AMHS being con?gured to trans substrate carriers betWeen the ?rst tool and the second
tool or vice versa independent of the facility AMHS.
port Wafer carriers, a method comprising:
18. The method of claim 16, Wherein performing the ?rst
installing a dedicated material handling module at the set of Wafer processing steps includes fabricating a semicon
facility, said dedicated material handling module includ ductor device on a Wafer.
ing a dedicated AMHS that de?nes a transport route 19. The method of claim 17, Wherein said second tool is an
connecting a ?rst tool and a second tool selected from analysis tool.
the plurality of tools, Wherein the dedicated AMHS is 20. The method of claim 18, further comprising analyZing
con?gured to transport substrate carriers betWeen the the Wafer With the analysis tool to obtain results, and trans
?rst tool and the second tool or vice versa independent of porting the Wafer in a Wafer carrier back to the ?rst tool With
the facility AMHS. the dedicated material handling module When the results are
12. The method of claim 10, Wherein the ?rst tool is a outside of predetermined limits.
processing tool and the second tool is an analysis tool. * * * * *

Anda mungkin juga menyukai