Anda di halaman 1dari 3

Actividades a desarrollar

Resolver los siguientes ejercicios:


1. Describa en VDHL tres multiplexores utilizando la sentencia with-
select. Los tres multiplexores deben tener un tamaño diferente (2 a 1,
8 a 1, etc.) y cada entrada un número de bits diferente.
a. Una impresión de pantalla de la descripción en VHDL (Ver la
advertencia al final de la guía, con respecto a las impresiones
de pantallas válidos)
b. Una impresión de pantalla del resultado (diagrama) de la
simulación, en el cual se debe evidenciar el correcto funcionamiento
del diseño. NO se debe incluir el código VHDL de la simulación.
MULTIPLEXOR CON 4 ENTRADAS
MULTIPLEXOR CON 8 ENTRADAS
MULTIPLEXOR CON 2 ENTRADAS

Anda mungkin juga menyukai